TW202221580A - 使用機器學習決定基板輪廓性質 - Google Patents

使用機器學習決定基板輪廓性質 Download PDF

Info

Publication number
TW202221580A
TW202221580A TW110126793A TW110126793A TW202221580A TW 202221580 A TW202221580 A TW 202221580A TW 110126793 A TW110126793 A TW 110126793A TW 110126793 A TW110126793 A TW 110126793A TW 202221580 A TW202221580 A TW 202221580A
Authority
TW
Taiwan
Prior art keywords
substrate
manufacturing system
spectral data
data
metrology
Prior art date
Application number
TW110126793A
Other languages
English (en)
Inventor
烏彭德拉 烏梅薩拉
布雷克 埃里克森
潘拉珊 庫馬
麥可 庫特尼
史蒂芬特瑞 廷戴爾
祝昭釗
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202221580A publication Critical patent/TW202221580A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/01Dynamic search techniques; Heuristics; Dynamic trees; Branch-and-bound

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computational Linguistics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Abstract

本案提供一種用於訓練一機器學習模型的方法,該機器學習模型用以預測正於一製造系統處被處理的一目前基板的計量量測結果。產生用於該機器學習模型的訓練資料。產生一第一訓練輸入,該第一訓練輸入包括關聯於先前於該製造系統處被處理的一先前基板的一表面的歷史波譜資料及/或歷史非波譜資料。產生用於該第一訓練輸入的一第一目標輸出。該第一目標輸出包括關聯於先前於該製造系統處被處理的該先前基板的歷史計量量測結果。提供資料以在(i)包括該第一訓練輸入的一訓練輸入集、和 (ii)包括該第一目標輸出的一目標輸出集上訓練該機器學習模型。

Description

使用機器學習決定基板輪廓性質
本揭示案的實施例概略關於製造系統,且更特定地關於決定基板的輪廓(profile)屬性。
基板輪廓屬性是能在基板於製造系統處被處理的期間或其後用於評估該基板的計量。典型地,基板輪廓屬性是利用一計量系統測量的,該計量系統與該製造系統處使用的製造工具分開。為了測量一基板輪廓屬性,基板從製造工具被移出並於計量系統處被測量。在於該計量系統處獲得針對該基板的量測之後,該基板被送回該製造工具以供進一步處理。將基板從製造工具移出以於計量系統處測量該基板是一個昂貴的操作,其導致整體製程效率降低。由於從製造工具移出基板的成本所致,在製造系統處處理的少數基板被測量,導致在該製造系統處處理的全部基板中的低取樣率。該些少數基板產生的量測結果被用以針對該製造工具處處理的未經測量的其他基板進行製程決策。基於針對少數基板所產生之測量結果作出的製程決策能產生基板瑕疵,在一些實例中且會產生對製造系統處之設備的破壞。
所說明的實施例中有些涵蓋一種用於訓練一機器學習模型的方法,該機器學習模型用以預測正於一製造系統處被處理的一目前基板的計量量測結果。該方法包括產生用於該機器學習模型的訓練資料。產生該訓練資料的步驟包括產生一第一訓練輸入,該第一訓練輸入包括關聯於先前於該製造系統處被處理的一先前基板的一部分的歷史波譜資料及/或歷史非波譜資料。產生該訓練資料的步驟進一步包括產生用於該第一訓練輸入的一第一目標輸出,其中該第一目標輸出包括關聯於先前於該製造系統處被處理的該先前基板的歷史計量量測結果。該方法進一步包括提供該資料以在下列兩者上訓練該機器學習模型:(i)包括該第一訓練輸入的一訓練輸入集、及(ii)包括該第一目標輸出的一目標輸出集。
在一些實施例中,一種設備包括用以儲存一經訓練的機器學習模型的一記憶體以及耦合至該記憶體的一處理裝置。該處理裝置用以提供關聯於正於一製造系統處被處理的一目前基板的波譜資料及/或非波譜資料作為給該經訓練機器學習模型的輸入。該處理裝置進一步用以從該經訓練機器學習模型獲得一或更多輸出。該處理裝置進一步用以從該一或更多輸出擷取針對正於該製造系統處被處理之該目前基板的一計量量測。
在一些實施例中,一種非暫態電腦可讀取儲存媒體包括指令,當該等指令由一處理裝置執行時致使該處理裝置接收關聯於一製造系統處所處理的一目前基板的輸入波譜資料及/或輸入非波譜資料。該處理裝置進一步用以利用一經訓練機器學習模型來處理關聯於該目前基板的該輸入波譜資料及/或輸入非波譜資料。該處理裝置進一步用以基於利用該經訓練機器學習模型來處理關聯於該目前基板的該輸入波譜資料及/或輸入非波譜資料的步驟,來獲得一或更多輸出,該一或更多輸出指示出針對正於該製造系統處被處理之該目前基板的一計量量測。
基板輪廓的屬性(例如包括三維(3D)結構的表面、包括非3D結構的表面、等等)對於最後的經處理基板、及/或製造系統處基板的整體製造產出來說是重要的。在一些實例中,可藉由在製造系統處的基板製程期間或其後,藉由產生針對該基板的計量量測結果,來監測基板輪廓的屬性。計量量測能包括蝕刻速率(即,在處理腔室中沉積在基板表面上的特定材料被蝕刻的速率)、蝕刻速率均勻度(即,於基板之表面的兩個或更多個部分處的蝕刻速率的變異性)、關鍵尺寸(即,用於測量基板的元件的尺寸的量測單位,像是線、欄、開口、空間、等等)、關鍵尺寸均勻度(即,跨於一基板表面的關鍵尺寸的變異性)、邊緣到邊緣位置誤差(EPE)(即,在一基板的表面上包括的預期的特徵與結果的特徵之間的差異)、以此類推。
本文中描述的實施方式提供用於訓練及使用機器學習模型的方法及系統,以預測正於一製造系統處被處理的目前基板的計量量測結果。可利用針對該製造系統處處理的一先前基板的個不同部分所收集的歷史波譜資料來訓練該機器學習模型。波譜資料可對應於針對一個偵測到的能量波的各個給定波長的經偵測能量波強度(即,能量的量的強度)。在一些實施例中,可在量測系統內包括的一基板量測子系統處產生波譜資料。在其他的或類似的實施例中,可於製造系統的另一部分處產生波譜資料,像是於處理腔室處。可將歷史波譜資料提供做為機器學習模型的訓練輸入。也可利用針對先前基板之不同部分所收集的歷史非波譜資料來訓練機器學習。例如,可針對該基板產生渦流資料、電容資料、及其他,並將渦流資料、電容資料、及其他提供作為機器學習模型的訓練輸入。
在一些實施例中,該機器學習模型可經進一步利用歷史波譜資料來訓練,該歷史波譜資料指示出關聯於該歷史波譜資料的該先前基板的該部分。位置資料可指當用於基板的一部分的波譜資料被量測時(即,位於基板量測子系統時或位於處理腔室時)該基板的位置及/或定向。在一些實施例中,該歷史位置資料可也被提供做為用於機器學習模型的訓練輸入。
該機器學習模型可經進一步利用歷史計量量測結果來訓練,該歷史計量量測結果乃針對於該製造系統處處理的先前基板所收集。在一些實施例中,歷史計量量測能為接收自與製造系統分開的一計量量測系統(稱為外部計量量測系統)。在其他的或類似的實施例中,歷史計量量測能為接收自該製造系統的客戶端裝置。可針對於該製造系統處處理的各基板產生歷史計量量測結果。該歷史計量量測結果可經提供作為用於機器學習模型的目標輸出。
一旦經訓練,該機器學習模型可被用以預測正於該製造系統被處理的一目前基板的計量量測結果。可在該製造系統處的一基板製程期間或之後針對該目前基板產生波譜資料(即,位於該基板量測子系統或位於該處理腔室)。可提供波譜資料至該經訓練機器學習模型作為輸入。在一些實施例中,可也針對該目前基板產生位置資料,其中該位置資料關聯於波譜資料。在此類實施例中,可連同波譜資料將位置資料提供給該經訓練機器學習模型做為另一輸入。該經訓練機器學習模型能產出一或更多輸出,包括針對於該製造系統處處理的一先前基板的計量量測以及一信賴位凖,該信賴位凖有關正於該製造系統處理的目前基板乃關聯於針對該先前基板的計量量測。針對正於該製造系統處理的目前基板的計量量測可經擷取自一或更多輸出。在一些實施例中,針對該目前基板的計量量測能經由顯示於該製造系統之客戶端裝置處的一圖形使用者介面(GUI)來提供給製造系統的使用者。
本揭示案的態樣藉由提供用於訓練及使用機器學習模型的系統及方法來解決前述的習用技術的不足,該機器學習模型用以預測針對正於製造系統處處理的一基板的計量量測值。能針對位於製造系統之不同部分(即,基板量測子系統、處理腔室、等等)處的各基板產生波譜資料及/或非波譜資料,並將其提供給該經訓練機器學習模型以決定針對一基板的一計量量測值,同時該基板維持在該製造系統內。藉由在基板維持於該製造系統內的同時決定針對該基板的計量量測值,在基板製程期間該基板不從該製造系統移出,藉而改善整體的系統產出量。進一步,因為能針對正於製造系統處被處理的各基板產生波譜資料及/或頻譜,能針對各基板產生計量量測值,產生該製造系統處所處理之全部基板的高取樣率。基於一基板的計量量測值,可於製造系統處針對該基板進行製程修改,而非基於另一基板的計量量測值,因此增加製程修改將造成該基板的成功製造的可能性。因此,發生在製造系統內的瑕疵數目將減少,藉而改善整體系統效率。此外,能偵測到針對該基板的離期望計量量測值的偏差,而能基於該所偵測到的偏差來起始一錯誤通訊協定(error protocol)(例如傳送一錯誤訊息給製造系統的操作者、停止製造系統處的操作、等等),因此避免對基板及/或製造系統的不必要破壞。
第1圖描繪按照本揭示案的態樣的一例示性電腦系統架構100。在一些實施例中,電腦系統架構100可被包括作為用於處理基板的製造系統(像是第3圖的製造系統300)的一部分。電腦系統架構100包括客戶端裝置120、製造設備124、計量設備128、預測性伺服器112(例如用以產生預測性資料、用以提供模型調適、用以使用知識庫、等等)、及資料存儲140。預測性伺服器112可為一預測性系統110的一部分。預測性系統110可進一步包括伺服器機器170及180。製造設備124可包括感測器125,該感測器經配置以擷取針對於該製造系統處處理的的一基板的資料。在一些實施例中,製造設備124及感測器126可為一感測器系統的一部分,該感測器系統包括一感測器伺服器(例如位於製造設施處的現場服務伺服器(FSS))及感測器識別符讀取器(例如用於感測器系統的前開式晶圓傳送盒(FOUP) 射頻識別(RFID)讀取器)。在一些實施例中,計量設備128可為一計量系統的一部分,該計量系統包括一計量伺服器(例如計量資料庫、計量資料夾、等等)及計量識別符讀取器(例如用於計量系統的FOUP RFID讀取器)。
製造設備124可在一段時間上遵循一配方來生產產品或進行行程。製造設備124可包括一基板量測子系統,該基板量測子系統包括一或更多感測器126,該一或更多感測器經配置以產生針對嵌入在該基板量測子系統內的基板的波譜資料及/或位置資料。經配置以產生波譜資料的感測器126(本文中稱為波譜感測組件)可包括反射量測感測器、橢偏量測感測器、熱波譜感測器、電容感測器、以此類推。在一些實施例中,波譜感測組件可被包括在該基板量測子系統內或該製造系統的另一部分內。一或更多感測器126(例如渦流感測器、等等)可也經配置以產生針對該基板的非波譜資料。有關製造設備124及基板量測子系統的進一步細節將針對第3圖及第4圖來提供。
在一些實施例中,感測器126可提供關聯於製造設備124的感測器資料。感測器資料可包括下列一或更多者的值:溫度(例如加熱器溫度)、間距(SP)、壓力、高頻射頻(HFRF)、靜電卡盤(ESC)的電壓、電流、流量、功率、電壓、等等。感測器資料可關聯於或指示出像是硬體參數之製造參數(像是製造設備124的設定或組件(例如大小、類型、等等)),或製造設備124的製程參數。在製造設備124進行製造流程的同時感測器資料可被提供(例如當處理產品時的設備讀數)。對各基板而言感測器資料142可為不同的。
計量設備128可提供關聯於製造設備124所處理的基板(例如晶圓等等)的計量資料。該計量資料可包括以下一或更多者的值:薄膜屬性資料(例如晶圓空間薄膜屬性)、尺寸(例如厚度、高度、等等)、介電常數、摻雜濃度、密度、瑕疵、等等。在一些實施例中,該計量資料可進一步包括一或更多表面輪廓屬性資料的值(例如蝕刻速率、蝕刻速率均勻度、基板表面上包括的一或更多特徵的關鍵尺寸、跨於基板表面的關鍵尺寸均勻度、邊緣位置誤差、等等)。該計量資料可為屬於完成產品或半完成產品的。該計量資料可為針對各基板不同的。
客戶端裝置120可包括一計算裝置,像是個人電腦(PC)、膝上型電腦、行動電話、智慧型電話、平板電腦、上網本電腦、連網電視(「智慧型TV」)、連網媒體播放器(例如藍光播放器)、機上盒、影音平台(over-the-top,OTT)串流裝置、操作盒(operator box)、等等。
在一些實施例中,該計量資料可為接收自客戶端裝置120。客戶端裝置120能顯示圖形使用者介面(GUI),其中該GUI讓使用者能提供針對於該製造系統處處理的基板的計量量測值作為輸入。
資料存儲140可為記憶體(例如隨機存取記憶體)、磁碟機(例如硬碟、隨身碟)、資料庫系統、或能夠儲存資料的另一類型的組件或裝置。資料存儲140可包括遍跨多個計算裝置(例如多個伺服器電腦)的多個儲存組件(例如多個磁碟機或多個資料庫)。資料存儲140可儲存波譜資料、非波譜資料、計量資料、及預測性資料。波譜資料可包括歷史波譜資料(例如針對於該製造系統處處理的前一基板所產生的波譜資料)及/或目前波譜(針對正於該製造系統處處理的一目前基板所產生的波譜資料)。目前波譜資料可為針對其而產生預測性資料的資料。儘管本揭示案之實施例參考波譜資料以供訓練機器學習模型,應注意本揭示案之實施例也能包括被使用以訓練機器學習模型的非波譜資料。在一些實施例中,計量資料能包括歷史計量資料(例如針對於該製造系統處處理的一先前基板的計量量測值)。資料存儲140可也儲存關聯於正於製造系統處被處理的基板的情境式資料(例如配方名稱、配方步驟數、預防性維護指示、操作者、等等)。
在一些實施例中,資料存儲140可經配置以儲存製造系統之使用者無法存取的資料。例如,針對正於該製造系統處處理的一基板所獲得的波譜資料、非波譜資料、及/或位置資料,可為該製造系統之使用者所無法存取的。在一些實施例中,於資料存儲140所儲存的全部資料可為該製造系統的使用者(例如操作者)不能存取的。在其他的或類似的實施例中,儲存於資料存儲140的資料的一部分可為使用者無法存取的,同時儲存於資料存儲140的資料的另一部分可被該使用者存取。在一些實施例中,可利用使用者未知的一加密機制來加密儲存於資料存儲140的資料的一或更多部分(例如利用一私人加密金鑰來加密資料)。在其他的或類似的實施例中,資料存儲140可包括多個資料存儲,其中使用者無法存取的資料被儲存在一或更多第一資料存儲中,而使用者可以存取的資料被儲存在一或更多第二資料存儲中。
在一些實施例中,預測性系統110包括伺服器機器170及伺服器機器180。伺服器機器170包括一訓練集產生器172,其能夠產生訓練資料集(例如一資料輸入集合及一目標輸出集合)來訓練、驗證、及/或測試機器學習模型190。以下針對第2圖詳細說明資料集產生器172的一些操作。在一些實施例中,資料集產生器172可將訓練資料切分成為一訓練集、一驗證集、及一測試集。在一些實施例中,預測性系統110產生多個訓練資料集合。例如第一訓練資料集可對應於第一類型的波譜資料(例如反射量測波譜資料),而第二訓練資料集可對應於第二類型的波譜資料(橢偏量測波譜資料)。
伺服器機器180可包括一訓練引擎182、一驗證引擎184、一選擇引擎185、及/或一測試引擎186。引擎可指硬體(例如電路系統、專屬邏輯、可程式編寫邏輯、微代碼、處理裝置、等等)、軟體(像是處理裝置、一般用途電腦系統、或專屬機器上運行的指令)、韌體、微代碼,或以上之組合。訓練引擎182能夠訓練一機器學習模型190。機器學習模型190可指由訓練引擎182利用訓練資料所創造的模型人為產物(artifact),該訓練資料包括訓練輸入及對應的目標輸出(針對個別訓練輸入的正確答案)。訓練引擎182可在訓練資料中找出將訓練輸入對映至目標輸出(預期的答案)的規律,並提供擷取了這些規律的機器學習模型190。機器學習模型190可使用支援向量機器(SVM)、徑向基礎函數(RBF)、叢集、監督機器學習、半監督機器學習、未經監督的機器學習、k個最近鄰演算法(k-NN)、線性回歸、隨機森林、神經網路(例如人工神經網路)、等等中之一或更多者。
驗證引擎184能夠利用來自訓練集產生器172的一驗證集的一組對應特徵來驗證一經訓練的機器學習模型190。驗證引擎184可基於該驗證集的該組對應特徵來決定各個經訓練機器學習模型190的準確度。驗證引擎184可摒棄所具有的準確度不符合臨界準確度的一經訓練的機器學習模型190。在一些實施例中,選擇引擎185能夠選擇所具有的準確度符合臨界準確度的一經訓練的機器學習模型190。在一些實施例中,選擇引擎185能夠選擇經訓練機器學習模型190中具有最高準確度的經訓練機器學習模型190。
測試引擎186能夠利用來自資料集產生器172的一測試集的一組對應特徵來測試一經訓練的機器學習模型190。例如,利用訓練集的第一組特徵所訓練的一第一經訓練的機器學習模型190可被利用測試集的第一組特徵來測試。測試引擎186可基於測試集來決定全部的經訓練機器學習模型中具有最高準確度的經訓練機器學習模型190。
預測性伺服器112包括一預測性組件114,其能夠提供針對正於一製造系統處被處理的一目前基板的一部分的波譜資料及/或非波譜資料,作為給經訓練的機器學習模型190的輸入,以及能夠在該輸入上運行經訓練的機器學習模型190以獲得一或更多輸出。如以下針對第4圖所詳細說明的,在一些實施例中,預測性組件114也能夠從經訓練機器學習模型190的輸出擷取資料,並利用信賴資料來估計針對該基板的一部分的計量量測。
信賴資料可包括或指示出一信賴位凖,該信賴位凖有關於一計量值對應於目前波譜資料及/或波譜資料所相關聯的一基板的一或更多屬性。在一例中,信賴位凖是在0到1之間(含0與1)的一實數,其中0表示對於該計量值對應於關聯於該目前波譜資料的基板的一或更多屬性沒有信心,而1表示對於該計量值對應於關聯於該目前波譜資料的基板的一或更多屬性有絕對信心。在一些實施例中,系統100可使用預測性系統110來針對正於製造系統處理的基板決定計量值,而非利用計量設備128來決定經量測的計量值。
客戶端裝置120、製造設備124、感測器126、計量設備128、預測性伺服器112、資料存儲140、伺服器機器170、及伺服器機器180可經由網路130來互相耦接。在一些實施例中,網路130是一公用網路,其提供客戶端裝置120往預測性伺服器112、資料存儲140、及其他公開可用之計算裝置的存取。在一些實施例中,網路130是一私人網路,其提供客戶端裝置120往製造設備124、計量設備128、資料存儲140、及其他私人可用計算裝置的存取。網路130可包括一或更多廣域網路(WAN)、區域網路(LAN)、有線網路(例如乙太網路)、無線網路(例如802.11網路或Wi-Fi網路)、蜂巢網路(例如長期演化(LTE)網路)、路由器、集線器、交換器、伺服器電腦、雲端運算網路,及/或以上的組合。
應注意在一些其他實施方式中,伺服器機器170及180、還有預測性伺服器112的功能可由較少數目的機器來提供。例如,在一些實施例中,伺服器機器170及180可被整合成為單一機器,同時在一些其他的或類似實施例中,伺服器機器170及180、還有預測性伺服器112可被整合成為單一機器。
一般來說,被描述為在一種實施方式中由伺服器機器170、伺服器機器180、及/或預測性伺服器112進行的功能也能在客戶端裝置120上進行。此外,歸功於特定組件的功能性能由不同的組件或多個一起操作的組件來進行。
在實施例中,「使用者」可被表示成單一個人。然而,本揭示案的其他實施例包括屬於複數個使用者及/或一個自動化來源所控制的實體的「使用者」。例如,經聯合成為管理者群組的一組個人使用者可被認為是一「使用者」。
第2圖是按照本揭示案的態樣用於訓練機器學習模型的方法200的流程圖。方法200由處理邏輯進行,該處理邏輯可包括硬體 (電路系統、專屬邏輯、等等)、軟體(像是在一般用途電腦系統或專屬機器上運行者)、韌體,或以上之某種組合。在一實施方式中,方法200可由一電腦系統(像是第1圖的電腦系統架構100)進行。在其他的或類似的實施方式中,方法200的一或更多操作可由圖式中未描繪的一或更多個其他機器來進行。在一些態樣中,方法200的一或更多操作可由伺服器機器170的訓練集產生器172進行。
為了解釋上的簡化,方法經描繪及說明成一系列的動作。然而,按照本揭示案的動作可能以各種順序及/或同時地發生,且有其他動作未經呈現及說明在本文中。此外,不是全部經描繪的動作可被進行來實施按照本案揭示之所請標的的方法。此外,本領域中的技藝人士將理解並欣賞該些方法可以經替代地經由狀態圖或事件來表示成一系列的相關狀態。額外地,應理解本說明書中所揭露的方法能夠被儲存在一製造產物上,以促進將此類方法傳輸及移轉至計算裝置。如本文中所用的,製造產物一詞本意為涵蓋可從任何電腦可讀取裝置或儲存媒體存取的電腦程式。
於方塊210,處理邏輯將一訓練集T初始化為一空集合(例如{})。於方塊220,處理邏輯接收針對正於一製造系統處被處理的一基板的資料(例如波譜資料、非波譜資料、等等)。在一些實施例中,該資料可為接收自與該製造系統整合的一基板量測子系統。在其他的或類似的實施例中,該資料可為接收自於該製造系統之另一部分處(例如處理腔室、裝載匣、傳送腔室、等等)的一或更多感測器。應注意在一些其他實施方式中,該資料可以一些其他方式接收,而可不是接收自該製造系統的一部分。
於方塊230,處理邏輯可選地接收針對正於該製造系統處被處理的該基板的位置資料。在一些實施例中,該位置資料可為連同該資料接收自該基板量測子系統。在其他的或類似的實施例中,該資料可為接收自位於該製造系統之另一部分處的一或更多感測器。應注意在一些其他實施方式中,該位置資料可以一些其他方式接收,而可不是接收自該製造系統的一部分。
於方塊240,處理邏輯接收針對該基板的一或更多計量量測結果。能針對位於與該製造系統分開的一計量量測系統(即,外部計量量測系統)處的一基板獲得一計量量測結果。在一些實施例中,該外部計量量測系統可經通訊耦合至該製造系統(例如藉由第1圖的網路130)。在此類實施例中,處理邏輯能經由網路從該外部計量量測系統接收針對該基板的一或更多計量量測結果。在其他實施例中,能於該外部計量量測系統處產生計量量測結果並經由客戶端裝置將該等計量量測結果提供給該製造系統。例如,連接至該製造系統的一客戶端裝置能提供圖形使用者介面(GUI)給該製造系統的使用者(例如操作者)。在該基板於該外部計量子系統處被測量後,使用者能將計量量測值經由GUI提供給客戶端裝置。回應於接收晶提供的計量量測值,客戶端裝置能於一資料存儲(像是製造系統的資料存儲140)處儲存計量量測值。
於方塊250,處理邏輯產生一輸入/輸出對映。該輸入/輸出對映指的訓練輸入包括(或是基於)針對基板的資料,及針對該訓練輸入的目標輸出,其中該目標輸出識別出針對該基板的一計量量測值,而其中該訓練輸入關聯於(或對映至)該目標輸出。於方塊260,處理邏輯將該輸入/輸出對映加至訓練集T。
於方塊270,處理邏輯決定是否訓練集(T)包括足夠的訓練資料量來訓練一機器學習模型。應注意在一些實施方式中,訓練集T的足夠程度可僅基於訓練集中輸入/輸出對映的個數來決定,而在一些其他實施方式中訓練集T的足夠程度可額外於(或替代於)基於訓練集中輸入/輸出對映的個數來決定之外基於一或更多其他準則(例如隊訓練範例的多樣性的度量,等等)來決定。回應於決定了該訓練集(T)包括足夠的訓練資料量來訓練該機器學習模型,處理邏輯提供該訓練集(T)來訓練該機器學習模型。回應於決定該訓練集不包括足夠的訓練資料量來訓練該機器學習模型,方法200回到方塊220。
於方塊280,處理邏輯提供訓練集T來訓練該機器學習模型。在一實施方式中,訓練集T被提供至伺服器機器180的訓練引擎182來進行訓練。在神經網路的情況中(舉例來說),一給定輸入/輸出對映的輸入值(例如針對前一基板的波譜資料)被輸入至神經網路,而該輸入/輸出對映的輸出值被儲存在該神經網路的輸出節點中。神經網路中的連接權重(connection weights)接著按照學習演算法(例如後向傳播、等等)被調整,且針對訓練集T中的其他輸入/輸出對映重複此程序。在方塊280之後,機器學習模型190能被用以估計在製造系統處處理的未來基板的計量值(例如,按照下面說明的第6圖的方法600)。
第3圖是按照本揭示案的態樣的範例製造系統300的俯視示意圖。製造系統300可在基板302上進行一或更多程序。基板302可為適合用於在其上製造電子裝置或電路組件的任何適當地剛性、固定尺寸、平坦的物品,像是(例如)含矽的盤或晶圓、有圖案的晶圓、玻璃板、或類似者。
製造系統300可包括一製程工具304及耦接至製程工具304的一工廠介面306。製程工具304可包括一外殼308,該外殼中具有一傳送腔室310。傳送腔室310可包括設置在其周圍並與其耦接的一或更多處理腔室(也稱為製程腔室)314、316、318。處理腔室314、316、318可藉由個別的端口耦接至傳送腔室310,像是狹縫閥或類似者。傳送腔室310可也包括一傳送腔室機械手312,其經配置以在製程腔室314、316、318、裝載匣320、等等之間傳送基板302。傳送腔室機械手312可包括一或多個手臂,其中各手臂包括一或更多個端效器於各手臂的末端。端效器可經配置以搬運特定物體,像是晶圓。
處理腔室314、316、318可經調適以在基板302上實施任意數目的程序。在各處理腔室314、316、318中可發生相同或不同的基板製程。基板製程可包括原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除、或類似者。在一些實施例中,基板製程可包括原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除、或類似者中兩個或更多個的組合。可在其中的基板上實施其他程序。處理腔室314、316、318可各包括一或更多感測器,該一或更多感測器經配置以在基板製程之前、之後、或期間擷取針對基板302的資料及/或處理腔室314、316、318之內的環境的資料。在一些實施例中,該一或更多感測器可經配置以擷取針對基板302的一部分的波譜資料及/或非波譜資料。
一裝載匣320可也耦合至外殼308及傳送腔室310。裝載匣320可經配置以在一側上介接於、並耦合至傳送腔室310以及工廠介面306。裝載匣320可有環境控制的大氣,在一些實施例中該大氣可從真空環境(其中基板可在與傳送腔室310之間往來傳送)改變成位於大氣壓力或接近大氣壓力的惰性氣體環境(其中基板可在與工廠介面306之間往來傳送)。
工廠介面306可為任何適當的封閉體,像是(例如)設備前端模組(EFEM)。工廠介面306可經配置以從對接於工廠介面306之各不同載入端口324處的基板載體322(例如前開式晶圓傳送盒(Front Opening Unified Pods,FOUP))接收基板302。工廠介面機械手326(以虛線顯示)可經配置以在基板載體(也稱為容器)322與裝載匣320之間傳送基板302。在其他及/或類似實施例中,工廠介面306可經配置以接收來自替換零件儲存容器322的替換零件。
製造系統300可也連接至經配置以提供有關製造系統300的資訊給使用者(例如操作者)的一客戶端裝置(未圖示)。在一些實施例中,該客戶端裝置可經由一或更多圖形使用者介面(GUI)提供資訊給製造系統300的使用者。例如,該客戶端裝置可經由GUI提供有關針對一基板302將對一製程配方所做的一或更多修改的資訊。
製造系統300可也包括系統控制器328。系統控制器328可為及/或包括一計算裝置,該計算裝置像是個人電腦、伺服器電腦、可程式編寫邏輯控制器(PLC)、微控制器、及其他。系統控制器328可包括一或更多處理裝置,該一或更多處理裝置可為像是微處理器之一般用途處理裝置、中央處理單元、或類似者。更特定地,該處理裝置可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集的處理器或是實施指令集之組合的處理器。該處理裝置可也為一或更多特殊用途處理裝置,像是應用特定積體電路(ASIC)、現場可程式編寫閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器、或類似者。系統控制器328可包括資料儲存裝置(例如一或更多磁碟機及/或固態磁碟機)、主記憶體、靜態記憶體、網路介面、及/或其他組件。系統控制器328可執行指令已進行本文中所述方法論及/或實施例中任一或更多者。在一些實施例中,系統控制器328可執行指令以按照一製程配方在製造系統300處進行一或更多操作。指令可被儲存在一電腦可讀取儲存媒體上,其可包括主記憶體、靜態記憶體、輔助儲存及/或處理裝置(在指令的執行期間)。
系統控制器328可從包括在製造系統300之不同部分(例如處理腔室314、316、318、傳送腔室310、裝載匣320、等等)之上或之內的感測器接收資料。系統控制器328所接收的資料可包括針對基板302的一部分的波譜資料及/或非波譜資料。為了本說明書之目的,系統控制器328經描述成從包括在處理腔室314、316、318內的感測器接收資料。然而,系統控制器328可從製造系統300的任何部分接收資料,且可按照本文中所述實施例來使用接收自該部分的資料。在一例示範例中,系統控制器328可在處理腔室314、316、318處的一基板製程之前、之後、或期間接收來自用於處理腔室314、316、318之一或更多感測器的波譜資料。接收自製造系統300之不同部分的感測器的資料可被儲存在一資料存儲350中。資料存儲350可被包括當作系統控制器328內的一組件,或者可為與系統控制器328分開的組件。在一些實施例中,資料存儲350可為針對第1圖所述的資料存儲140。
製造系統300可進一步包括一基板量測子系統340。基板量測子系統340可在於製造系統300處處理基板302之前或之後獲得針對基板302之一或更多部分的波譜量測結果。在一些實施例中,基板量測子系統340可回應於從系統控制器328接收針對波譜量測結果的一請求而獲得針對基板302之一或更多部分的波譜量測結果。基板量測子系統340可經整合在製造系統300的一部分內。在一些實施例中,基板量測子系統340可經整合在工廠介面306內。在其他的或類似的實施例中,基板量測子系統340可不與製造系統300的任何部分整合,而替代地為一單機組件。在此類實施例中,基板量測子系統340處量測的基板302可在於製造系統300處處理基板302之前在與製造系統300之一部分之間來回傳送。
基板量測子系統340可藉由產生針對基板302的一部分的波譜資料及/或波譜,來獲得針對基板302的該部分的波譜量測結果。在一些實施例中,基板量測子系統340經配置以產生針對基板302的波譜資料、非波譜資料、位置資料、及其他基板屬性資料(例如基板302的厚度、基板302的寬度、等等)。在產生針對基板302的資料之後,基板量測子系統340可發送所產生資料至系統控制器328。回應於接收來自基板量測子系統340的資料,系統控制器328可將該資料儲存於資料存儲350。
第4圖是按照本揭示案的態樣的基板量測子系統400的截面示意側視圖。基板量測子系統400可經配置以在處理腔室處處理一基板(像是第3圖的基板302)之前或之後,獲得針對基板302的一或更多部分的量測結果。基板量測子系統400可藉由產生關聯於基板302的一部分的資料(例如波譜資料、非波譜資料、等等)來獲得針對基板302的該部分的波譜量測結果。在一些實施例中,基板量測子系統400可經配置以產生關聯於基板302的波譜資料、非波譜資料、位置資料、及/或其他屬性資料。基板量測子系統400可包括一控制器430,該控制器經配置以執行一或更多指令以用於產生關聯於基板302的一部分的資料。
基板量測子系統400可偵測到基板302已被傳送至基板量測子系統400。回應於偵測到基板302已被傳送至基板量測子系統400,基板量測子系統400可決定基板302的位置及/或定向。可基於對基板302之參考位置的識別來決定基板302的位置及/或定向。參考位置可為包括了一識別用特徵的基板302的一部分,該識別用特徵關聯於基板302的一特定部分。控制器328可基於用於基板302的經決定的識別用資訊,來決定關聯於基板302之一特定部分的識別用特徵。
控制器430可利用一或更多攝影機組件450來識別基板302的參考位置,該一或更多攝影機組件450經配置以擷取基板302的影像資料。攝影機組件450可產生針對基板302之一或更多部分的影像資料,並將該影像資料發送至控制器430。控制器430可分析該影像資料以識別關聯於基板302之參考位置的一識別用特徵。控制器430可進一步基於所辨識出的基板302的識別用特徵來決定如描繪在影像資料中的基板302的位置及/或定向。控制器430可基於經識別出的基板302之識別用特徵、以及如描繪在影像資料中的經決定的基板302的位置及/或定向,來決定基板302的位置及/或定向。回應於決定基板302的位置及/或定向,控制器430可產生關聯於基板302之一或更多部分的位置資料。在一些實施例中,該位置資料可包括各關聯於基板302的一部分的一或更多座標(例如笛卡爾座標、極座標、等等),其中各座標是基於離基板302的參考位置的距離所決定的。
基板量測子系統400可包括用於測量基板302的一或更多量測組件。在一些實施例中,基板量測子系統400可包括經配置以產生針對基板302之一或更多部分的波譜資料的一或更多波譜感測組件420。如先前討論的,波譜資料可對應於針對一所偵測到的能量波的各波長而言該偵測到的波的強度(即,能量的強度或量)。有關所收集的波譜資料的進一步細節將針對第5圖來提供。
波譜感測組件420可經配置以偵測反射自基板302的一部分的能量波,並產生關聯於經偵測到的波的波譜資料。波譜感測組件420可包括一波產生器422及一反射波接收器424。在一些實施例中,波產生器422可為一光波產生器,其經配置以朝基板302的一部分產生一束光。在此類實施例中,反射波接收器424可經配置以接收來自基板302的該部分的反射光束。波產生器422可經配置以產生一能量流426(例如光束)並發送能量流426至基板302的一部分。反射能量波428可從基板302的該部分反射並被反射波接收器424接收。儘管第3A圖描繪從基板302的表面反射離開的單一能量波,多個能量波可自基板302的表面反射離開並被反射波接收器424接收。
回應於反射波接收器424接收來自基板302的該部分的反射能量波428,波譜感測組件420可測量反射能量波428中包括的各個波的波長。波譜感測組件420可進一步測量各經量測波長的強度。回應於測量各波長及各波長強度,波譜感測組件420可產生針對基板302的該部分的波譜資料。波譜感測組件420可將產生的波譜資料發送至控制器430。控制器430可回應於接收經產生的波譜資料,產生在經接收波譜資料與針對基板302之被測量部分的位置資料之間的一對映。
基板量測子系統400可經配置以基於將於基板量測子系統400處獲得的量測類型來產生一特定類型的波譜資料。在一些實施例中,波譜感測組件420可為經配置以產生一類型的波譜資料的第一波譜感測組件。例如,波譜感測組件420可經配置以產生反射量測波譜資料、橢偏量測波譜資料、超光譜成像資料、化學成像資料、熱波譜資料、或傳導波譜資料。在此類實施例中,該第一波譜感測組件可自基板量測子系統400移除而以一第二波譜感測組件取代,該第二波譜感測組件經配置以產生不同類型的波譜資料(例如反射量測波譜資料、橢偏量測波譜資料、超光譜成像資料、化學成像資料、渦流波譜資料、熱波譜資料、或傳導波譜資料)。
在一些實施例中,一或更多量測組件(像是波譜感測組件420)可為基板量測子系統400內的固定的組件。在此類實施例中,基板量測子系統400可包括經配置相對於波譜感測組件420來修改基板302之位置及/或定向的一或更多位置組件440。在一些實施例中,位置組件440可經配置以沿相對於波譜感測組件420的第一軸及或第二軸來平移基板302。在其他的或類似的實施例中,位置組件440可經配置以圍繞相對於波譜感測組件420的一第三軸來旋轉基板302。
隨著波譜感測組件420產生針對基板302之一或更多部分的波譜資料,位置組件440可按照將針對基板302進行測量的一或更多經決定部分來修改基板302的位置及/或定向。例如,在波譜感測組件420產生針對基板302的波譜資料之前,位置組件440可將基板302定位於笛卡爾座標(0,0)而波譜感測組件420可針對位於笛卡爾座標(0,0)的基板302產生第一波譜資料。回應於波譜感測組件420產生針對位於笛卡爾座標(0,0)的基板302的第一波譜資料,位置組件440可沿第一軸平移基板302,使得波譜感測組件420經配置以產生針對位於笛卡爾座標(0,1)的基板302的第二波譜資料。回應於波譜感測組件420產生針對位於笛卡爾座標(0,1)的基板302的第二波譜資料,控制器430可沿第二軸旋轉基板302,使得波譜感測組件420經配置以產生針對位於笛卡爾座標(1,1)的基板302的第三波譜資料。此程序可發生數次,直到產生針對基板302的各個經決定部分的波譜資料為止。
在一些實施例中,一或更多材料層412可被包括在基板302的一表面上。一或更多層412可包括蝕刻材料、光阻材料、遮罩材料、沉積材料、等等。在一些實施例中,一或更多層412可包括按照在一處理腔室處進行的蝕刻程序將被蝕刻的蝕刻材料。在此類實施例中,按照先前揭露的實施例,可針對沉積在基板302上的具有未經蝕刻的蝕刻材料的層412的一或更多部分收集波譜資料。在其他的或類似的實施例中,一或更多層412可包括已經於處理腔室處按照一蝕刻程序蝕刻的蝕刻材料。在此類實施例中,一或更多結構特徵(例如線、欄、開口、等等)可被蝕刻進入基板302的一或更多層412中。在此類實施例中,可針對被蝕刻進入基板302之一或更多層412中的一或更多結構特徵收集波譜資料。
按照本文中所述實施例,回應於接收針對基板302的波譜資料、位置資料、或屬性資料中之至少一者,控制器430可將所接收資料發送至系統控制器328,以供處理及分析。
第5圖圖示按照本揭示案的態樣針對一基板所收集的波譜資料500。按照本揭示案的態樣,波譜資料可產生自第4圖之基板量測子系統400、或一處理腔室(像是第3圖的處理腔室314、316、318)的感測器所接收的反射能量。如圖示,基板量測子系統400所接收的反射能量波中可包括多個波長。各反射能量波可關聯於基板302的一不同部分。在一些實施例中,針對基板量測子系統400所接收的各反射能量波可測量一強度。如第5圖中所見,能針對基板量測子系統400所接收的反射能量波的各個波長測量各強度。各強度與各波長之間的關聯能為形成波譜資料500的基礎。在一些實施例中,一或更多波長可能關聯於在期望的強度值範圍外部的一強度值。例如,線510可能關聯於在期望的強度值範圍(如線520所描繪)外部的一強度值。在此類實施例中,在期望強度值範圍外的該強度值可能是在基板302的一部分處存在一瑕疵的表示。按照先前所述實施例,可基於對基板302的該部分處的瑕疵的表示對基板302的一製程配方做出修改。
第6圖是按照本揭示案的態樣用於利用機器學習模型來針對一基板的輪廓估計一計量值的方法600的流程圖。方法600由處理邏輯進行,該處理邏輯可包括硬體(電路系統、專屬邏輯、等等)、軟體(像是在一般用途電腦或專屬機器上運行者)、韌體,或以上的某種組合。在一些實施例中,可利用第1圖的預測性伺服器112及經訓練的機器學習模型190來進行方法600。在其他的或類似的實施例中,第6圖的一或更多方塊可由第1圖中沒有描繪的一或更多其他機器來進行。
於方塊610,處理邏輯接收針對正於一製造系統處處理的一基板的波譜資料。在一些實施例中,按照先前描述的實施例,該波譜資料可能為接收自該製造系統的一基板量測子系統或另一部分。
於方塊620,處理邏輯將針對該基板的波譜資料提供做為給一經訓練的機器學習模型的輸入。於方塊630,處理邏輯獲得來自該機器學習模型的輸出。於方塊640,處理邏輯從獲得於方塊630的輸出擷取信賴資料。在一些實施例中,該信賴資料包括一信賴位凖,該信賴位凖有關該基板的一輪廓乃關聯於一計量值。在一例中,該信賴位凖是在0與1之間(包含0與1)的一實數。應注意信賴位凖不一定是機率。例如,全部計量值的信賴位凖的總和可不等於1。
於方塊650,處理邏輯利用信賴資料來估計針對該製造系統處正處理的基板的一計量值。在一些實施例中,若針對一計量值的信賴位凖滿足一臨界條件,則一基板被識別為關聯於該計量值。於方塊660,處理邏輯可選地提供對該估計計量值的一指示給該製造系統的一使用者。
在一些實施例中,在該製造系統的部分中包括的一或更多感測器,能為另一部分中包括的感測器相同類型(或類似類型)的感測器。例如,一基板量測子系統中包括的經配置以產生針對一基板的波譜資料的一或更多感測器,與一處理腔室中所包括的也經配置以產生針對該基板的波譜資料的感測器為相同類型(或類似類型)的。在此類實施例中,能利用基板量測子系統抑或處理腔室中的感測器產生的波譜資料來訓練機器學習模型,按照先前描述的實施例。收集自基板量測子系統抑或處理腔室的波譜資料可被使用作為給該經訓練機器學習模型的訓練輸入。來自該經訓練機器學習模型的輸出可被使用以擷取關聯於一基板的一計量量測值,按照先前描述的實施例。如此,在一些實施例中,利用收集自基板量測子系統的波譜資料所訓練的一機器學習模型可被使用來利用獲得自處理腔室的輸入波譜資料以決定計量量測值。
第7A圖~第7C圖描繪按照本揭示案的態樣的一範例GUI 700,其用於提供針對一基板的一部分的計量量測的指示。在一些實施例中,可經由該製造系統的一客戶端裝置向該製造系統的一使用者顯示GUI 700。
GUI 700可包括一第一部分710,其顯示一或更多互動式組件。第一部分710可包括一腔室選擇組件,其讓使用者能選擇該製造系統的一處理腔室的一識別符。回應於該處理腔室識別符被選擇,可經由GUI 700的其他部分顯示所選擇腔室處所處理的一基板的資料。在一些實施例中,該腔室選擇組件能包括一下拉式選單,其提供可供使用者選擇的該製造系統的一或更多處理腔室的清單。在其他的或類似的實施例中,該腔室選擇組件能包括可促進使用者對一處理腔室識別符之選取的任何其他類型組件。
第一部分710可進一步包括一配方選擇組件,其讓使用者能選擇一基板製程配方的一操作的識別符。回應於該操作識別符被選取,可經由GUI 700的其他部分顯示關聯於該製程配方的一經選擇操作的資料。在一些實施例中,該配方選擇組件能包括一下拉式選單,其提供可供使用者選擇的一或更多製程配方操作的清單。在其他的或類似的實施例中,該配方選擇組件能包括可促進使用者對一操作識別符之選取的任何其他類型組件。
第一部分710可進一步包括一時段選擇組件,其讓使用者能選擇針對該製造系統處進行的一製程的一時段。回應於該時段被選擇,可經由GUI 700的其他部分顯示關聯於在該所選擇時段內該製造系統處所處理之基板的資料。在一些實施例中,該時段選擇組件能包括一日曆組件,其提供一日曆顯示出該製造系統處進行了一基板製程的特定日期及/或時間。該製造系統的使用者能經由GUI 700的第一部分710的時段選擇組件來選擇第一日期及/或時間及第二日期及/或時間。該所選擇第一日期及/或時間及該所選擇第二日期及/或時間可界定該所選時段。在其他的或類似的實施例中,該時段選擇組件能包括可促進使用者對時段之選取的任何其他類型組件。
第一部分710可進一步包括一或更多額外組件以讓使用者能選擇或提供關聯於該製造系統處進行之一製程的額外設定。例如,第一部分710可包括一控制下限組件及/或一控制上限組件,其讓使用者能提供關聯於一製程的控制下限及/或控制上限。另一例中,第一部分710可包括一臨界組件,其讓使用者能提供關聯於一製程的臨界值。可在第一部分710中包括可促進使用者選擇或提供關聯於一製程之額外設定的任何其他類型的組件。
GUI 700可進一步包括一第二部分712,其提供關聯於在該製造系統處處理的基板的計量資料。在一些實施例中,該計量資料能關聯於該製造系統處處理的兩個或更多個基板。在此類實施例中,該計量資料能在圖形化格式中顯示,像是相關於第7圖所描繪的圖。在其他的或類似的實施例中,該計量資料能經顯示在適合用於顯示計量資料的任何其他格式中。
GUI 700可進一步包括一第二部分714,其提供關聯於該製造系統處處理的一基板的等值線圖(contour map)。該等值線圖可提供給使用者針對一基板的一部分的一或更多計量量測結果的視覺表示。例如,該等值線圖能提供給使用者關聯於一基板的薄膜厚度或蝕刻速率的視覺表示。
在一些實施例中,於該製造系統處可處理各種類型的基板。例如,可於該製造系統處理覆層晶圓(blanket wafer)或有圖案的晶圓(patterned wafer)。GUI 700可提供一或更多視窗以顯示關聯於該製造系統處處理之各不同類型基板的資料。GUI 700的第三部分可包括一視窗選擇器716,其促進在經由GUI 700顯示的不同視窗之間的過渡。使用者可經由視窗選擇器716選擇一選項,以致使關聯於一類型之基板的不同視窗經由GUI 700被顯示。例如,回應於使用者選擇視窗選擇器716的「blanket」選項(如第7A圖中所描繪),關聯於覆層晶圓的資料可經由GUI 700被顯示。回應於使用者選擇視窗選擇器716的「patterned」選項,關聯於有圖案晶圓的資料可經由GUI 700被顯示。
在一些實施例中,依照視窗選擇器716的經選擇選項而定,可經由GUI 700顯示不同類型的計量資料給使用者。如第7B圖中所描繪,回應於使用者選擇視窗選擇器716的「patterned」選項,則提供關聯於該製造系統處處理的一或更多有圖案晶圓的資料。在一些實施例中,回應於使用者選擇視窗選擇器716的「patterned」選項,關聯於一或更多基板的關鍵尺寸(稱為CD指數)的資料可經由GUI 700的第二部分712被顯示。在其他的或類似的實施例中,關聯於另一計量量測(例如蝕刻速率、蝕刻速率均勻度、關鍵尺寸均勻度、邊緣到邊緣位置誤差、等等)的資料可經由812的第二部分被顯示。
在一些實施例中,使用者可藉由選擇視窗選擇器716的「raw data」(「原始資料」)選項來檢視被用以產生GUI 700之一或更多組件(例如第二部分712中提供的圖)的資料。如第7C圖中描繪的,回應於使用者選擇視窗選擇器716的「raw data」選項,可提供關聯於該製造系統處處理的一或更多基板的原始資料720。原始資料720可包括何時針對該基板產生一量測的一時間戳記、包括該基板的一批次(lot)的一識別符、該基板的一識別符、針對該基板的一製程配方的一操作、一製程配方的一迴圈(即,兩個或更多個重複的操作)的一識別符、關聯於該基板的位置資料、及該基板的一模型厚度。
在一些實施例中,可顯示GUI 700的第一部分710,無論經由GUI 700所提供的視窗為何。在其他的或類似的實施例中,針對GUI 700所提供的各不同視窗,第一部分710可不經顯示。
第8圖描繪按照本揭示案之一或更多態樣所操作的一例示性電腦系統800的方塊圖。在替代實施例中,該機器可經連接(例如連網的)至區域網路(LAN)、內部網路、外部網路、或網際網路中的其他機器。該機器可以客戶端-伺服器網路環境中之伺服器或客戶端機器的身份來操作,或者當作點對點(或分散式)網路環境中的一對等機器來操作。該機器可為個人電腦(PC)、平板電腦、機上盒(STB)、個人數位助理(PDA)、手機、網路家電、伺服器、網路路由器、切換器或橋接器,或任何能夠執行指定將由該機器採取之動作的一組指令(連續的或其他方式)的機器。進一步,儘管只圖示單一個機器,用語「機器」也應被認為包括個別地或一起執行一組(或多組)指令的機器(例如電腦)的任何集合,以進行本文中討論之方法的一或更多者。在實施例中,計算裝置1100可對應於第3圖的系統控制器328或第4圖的控制器430。
範例計算裝置800包括一處理裝置802、一主記憶體804(例如唯讀記憶體(ROM)、快閃記憶體、像是同步DRAM(SDRAM)之動態隨機存取記憶體(DRAM)、等等)、靜態記憶體806(例如快閃記憶體、靜態隨機存取記憶體(SRAM)、等等)、及一輔助記憶體(例如資料儲存裝置828),其經由一匯流排808來彼此通訊。
處理裝置802可代表一或更多個一般用途處理器,像是微處理器、中央處理單元、或類似者。更特定地,處理裝置802可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器,實施其他指令集的處理器、或是實施指令集之組合的處理器。處理裝置802也可為一或更多特殊用途處理裝置,像是應用特定積體電路(ASIC)、現場可程式編寫閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器、或類似者。處理裝置802也可是(或包括)一晶片上系統(SoC)、可程式編寫邏輯控制器(PLC)、或其他類型的處理裝置。處理裝置802經配置以執行該處理邏輯來進行本文中討論的操作及步驟。
計算裝置800可進一步包括一網路介面裝置822以用於與一網路864通訊。計算裝置800也可包括一視訊顯示單元810(例如液晶顯示器(LCD)或陰極射線管(CRT))、字母數字輸入裝置812(例如鍵盤)、游標控制裝置814(例如滑鼠)、及信號生成裝置820(例如揚聲器)。
資料儲存裝置828可包括一機器可讀取儲存媒體 (或更特定地為非暫時性電腦可讀取儲存媒體)824,在該機器可讀取儲存媒體上儲存一或更多組指令826,其體現本文中所述方法或功能中任一或更多者。其中非暫時性儲存媒體指的是載波之外的儲存媒體。在由電腦裝置800執行指令826期間,指令826可也駐存(完全地或至少部分地)在主記憶體804及/或處理裝置802內,主記憶體804及處理裝置802也構成電腦可讀取儲存媒體。
電腦可讀取儲存媒體824可也被用以儲存模型190及被使用以訓練模型190的資料。電腦可讀取儲存媒體824可也儲存一軟體函式庫,其包含叫用模型190的方法。儘管電腦可讀取儲存媒體824在一範例實施例中經顯示為單一媒體,「電腦可讀取儲存媒體」一詞應被認定為包括儲存該一或更多組指令的單一媒體或多個媒體(例如集中式或分散式資料庫,及/或相關聯快取及伺服器)。「電腦可讀取儲存媒體」一詞也應被認定包括任何能夠儲存或編碼供由該機器執行之一組指令的媒體,以及致使該機器進行本揭示案之方法中任一或更多者的媒體。「電腦可讀取儲存媒體」一詞因此應被認定為包括(但不限於)固態記憶體、及光學及磁性媒體。
以上說明闡述了數種特定細節,像是特定系統、組件、方法、等等的範例,以提供對本揭示案之數個實施例的良好理解。然而,本領域之技藝人士將顯而易見可在沒有這些特定細節下實施本揭示案的至少一些實施例。在其他實例中,熟知的組件或方法未經詳細描述或是以簡單方塊圖格式呈現,以避免不必要地模糊了本揭示文件。因此,經闡述的特定細節僅為例示性的。特定實施方式可能與這些例示性細節有差異,而仍可被設想為落在本揭示案之範疇內。
本說明書之整體中對「一個實施例」或「一實施例」的指稱表示關聯於該實施例所描述的一特定特徵、結構、或特性被包括在至少一個實施例中。因此,整份說明書中不同地方出現的短語「在一個實施例中」或「在一實施例中」不必然全部指稱同一實施例。此外,用語「或」本意為表示包含「或」而非互斥「或」。當本文中使用用語「大約」或「趨近於」時,此本意為表示所呈現的標稱值在± 10%的範圍內是精確的。
儘管本文中的方法操作以特定順序顯示及說明,各方法的操作的順序可經更改使得某些操作可以相反順序進行,使得某些操作至少部分地可與其他操作同時地進行。在另一實施例中,不同操作的指令或次操作可以間歇的及/或交替的方式。
將理解以上說明本意為例示性的而非限制性的。本領域之技藝人士在閱讀與理解以上說明後將顯而易見許多其他實施例。本揭示案的範疇因此應參照隨附請求項、連同此類請求項享有的等效者的完整範疇來決定。
110:預測性系統 112:預測性伺服器 114:預測性組件 120:客戶端裝置 124:製造設備 126:感測器 128:計量設備 130:網路 140:資料存儲 170,180:伺服器機器 172:訓練集產生器 182:訓練引擎 184:驗證引擎 186:選擇引擎 188:測試引擎 190:模型 200:方法 210,220,230,240,250,260,270,280:方塊 300:製造系統 302:基板 304:製程工具 306:工廠介面 308:外殼 310:傳送腔室 312:傳送腔室機械手 314,316,318:處理腔室 320:裝載匣 322:基板載體 324:載入端口 326:工廠介面機械手 328:系統控制器 340:基板量測子系統 350:系統存儲 400:基板量測子系統 412:層 420:波譜感測組件 422:波產生器 424:反射波接收器 426:能量流 428:反射能量波 430:控制器 440:位置組件 450:攝影機組件 500:波譜資料 510,520:線 600:方法 610,620,630,640,650,660:方塊 700:GUI 710:第一部分 712:第二部分 714:第二部分 716:視窗選擇器 720:原始資料 800:電腦系統 802:處理裝置 804:主記憶體 806:靜態記憶體 808:匯流排 810:視訊顯示器 812:字母數字輸入裝置 814:游標控制裝置 820:信號生成裝置 822:網路介面裝置 824:電腦可讀取媒體 826:指令 828:資料儲存裝置 864:網路
本揭示案經藉由範例,而非藉由限制,來於隨附圖式中的圖中例示,在圖式中類似的參考符號指稱類似的元件。應注意本揭示案中對「一」或「一個」實施例的不同指稱不必然指相同實施例,以及此類指稱意指至少一個。
第1圖描繪按照本揭示案的態樣的一例示性電腦系統架構。
第2圖是按照本揭示案的態樣的用於訓練機器學習模型之方法的流程圖。
第3圖是按照本揭示案的態樣的範例製造系統的俯視示意圖。
第4圖是按照本揭示案的態樣的基板量測子系統的截面示意側視圖。
第5圖圖示按照本揭示案的態樣針對一基板所收集的波譜資料。
第6圖是按照本揭示案的態樣利用一機器學習模型來估計針對一基板之輪廓的一計量值的方法流程圖。
第7A~7C圖圖示按照本揭示案的態樣的一範例GUI,其用於提供對一基板之輪廓的估計計量值的指示。
第8圖描繪按照本揭示案的一或更多態樣的例示性電腦系統操作的方塊圖。
200:方法
210,220,230,240,250,260,270,280:方塊

Claims (20)

  1. 一種用於訓練一機器學習模型的方法,該機器學習模型用以預測正於一製造系統處被處理的一目前基板的計量量測結果,該方法包含下列步驟: 產生用於該機器學習模型的訓練資料,其中產生該訓練資料的步驟包含下列步驟: 產生一第一訓練輸入,該第一訓練輸入包含關聯於先前於該製造系統處被處理的一先前基板的一部分的歷史波譜資料或歷史非波譜資料中至少一者;及 產生用於該第一訓練輸入的一第一目標輸出,其中該第一目標輸出包含關聯於先前於該製造系統處被處理的該先前基板的歷史計量量測結果;及 提供該訓練資料,以在 (i) 包含該第一訓練輸入的一訓練輸入集、和 (ii)包含該第一目標輸出的一目標輸出集上訓練該機器學習模型。
  2. 如請求項1之方法,其中產生該第一訓練輸入的步驟包含下列步驟: 從該製造系統的一基板量測子系統,接收用於該先前基板的該部分的一第一組量測結果,其中該第一組量測結果包含用於該先前基板的該部分的該歷史波譜資料或該歷史非波譜資料中的該至少一者,及其中該第一訓練輸入是基於所接收的用於該先前基板的該部分的該第一組量測結果所產生的。
  3. 如請求項1之方法,其中產生該第一目標輸出的步驟包含下列步驟: 從通訊耦合至該製造系統的一計量系統,接收關聯於先前於該製造系統處被處理的該先前基板的該歷史計量量測結果,其中該第一目標輸出是基於所接收的該歷史計量量測結果所產生的。
  4. 如請求項1之方法,其中產生該第一目標輸出的步驟包含下列步驟: 從該製造系統的一客戶端裝置,接收關聯於先前於該製造系統處被處理的該先前基板的該歷史計量量測結果,其中該第一目標輸出是基於所接收的該歷史計量量測結果所產生的。
  5. 如請求項1之方法,進一步包含下列步驟: 產生一第二訓練輸入,該第二訓練輸入包含歷史位置資料,該歷史位置資料指示出關聯於該歷史波譜資料或該歷史非波譜資料中之該至少一者的該先前基板的該部分,其中該訓練輸入集進一步包含該第二訓練輸入。
  6. 如請求項5之方法,其中產生該第二訓練輸入之步驟包含下列步驟: 從一基板量測子系統,接收用於該先前基板的該部分的一第一組量測結果,其中該第一組量測結果包含用於該先前基板的該部分的該歷史波譜資料或該歷史非波譜資料中的該至少一者以及指示出關聯於該歷史波譜資料或該歷史非波譜資料中之該至少一者的該先前基板的該部分的該歷史位置資料,以及其中該第一訓練輸入是基於所接收的用於該先前基板的該部分的該第一組量測結果所產生的。
  7. 如請求項1之方法,其中該訓練輸入集的各訓練輸入對映至該目標輸出集的一目標輸出。
  8. 如請求項1之方法,其中該機器學習模型經配置以產生一或更多輸出,該一或更多輸出指示出針對正於該製造系統處被處理的該目前基板的一計量量測的一信賴位凖。
  9. 一種設備,包含: 一記憶體,用以儲存一經訓練機器學習模型;及 一處理裝置,耦合至該記憶體,該處理裝置用以: 提供關聯於正於一製造系統處被處理的一目前基板的波譜資料或非波譜資料中的一或更多者,作為給該經訓練機器學習模型的輸入; 獲得來自該經訓練機器學習模型的一或更多輸出;及 從該一或更多輸出擷取針對正於該製造系統處被處理之該目前基板的一計量量測。
  10. 如請求項9之設備,其中該處理裝置進一步用以: 從該製造系統的一基板量測子系統接收用於正於該製造系統處被處理的一目前基板的一部分的一組量測結果,該組量測結果包含該波譜資料或該非波譜資料中的該一或更多者。
  11. 如請求項10之設備,其中該處理裝置進一步用以: 連同關聯於正於該製造系統處被處理的該目前基板的該波譜資料或該非波譜資料中之該一或更多者,提供位置資料作為輸入給該經訓練機器學習模型,該位置資料指示出關聯於該波譜資料或該非波譜資料中之該一或更多者的該基板的一部分。
  12. 如請求項11之設備,其中該處理裝置進一步用以: 從該製造系統的一基板量測子系統,接收針對正於該製造系統處被處理的一目前基板的一部分的一組量測結果,該組量測結果包含該波譜資料或該非波譜資料中之該一或更多者及指示出關聯於該波譜資料或該非波譜資料中之該一或更多者的該目前基板的該部分的該位置資料。
  13. 如請求項9之設備,其中該處理裝置進一步用以: 經由該製造系統的一客戶端裝置,致使針對正於該製造系統處被處理的該目前基板的該計量量測經由一圖形使用者介面(GUI)被提供給該製造系統的一使用者。
  14. 如請求項9之設備,其中該一或更多輸出包含 (i) 針對該製造系統處處理的一先前基板的一計量量測,及 (ii) 對於正於製造系統處被處理的該目前基板關聯於針對該先前基板之該計量量測的一信賴位凖。
  15. 如請求項14之設備,其中,為從該一或更多輸出擷取針對正於該製造系統處被處理的該目前基板的該計量量測,該處理裝置將決定該信賴位凖滿足一臨界條件。
  16. 如請求項9之設備,其中該經訓練機器學習模型被藉由一輸入輸出對映所訓練,該輸入輸出對映包含一輸入及一輸出,該輸入係基於關聯於先前於該製造系統處被處理之一先前基板的一表面的歷史波譜資料或歷史非波譜資料中之至少一者,而該輸出識別出關聯於該製造系統處先前所處理的該先前基板的一歷史計量量測。
  17. 一種包含指令的非暫態電腦可讀取儲存媒體,當該等指令由一處理裝置執行時致使該處理裝置進行以下操作: 接收關聯於一製造系統處所處理的一目前基板的輸入波譜資料或輸入非波譜資料中之一或更多者; 利用一經訓練機器學習模型,來處理關聯於該目前基板的該輸入波譜資料或該輸入非波譜資料中之該一或更多者;及 基於利用該經訓練機器學習模型來處理關聯於該目前基板的該輸入波譜資料或該輸入非波譜資料中之該一或更多者的步驟,獲得指示出針對正於該製造系統處被處理之該目前基板的一計量量測的一或更多輸出。
  18. 如請求項17之非暫態電腦可讀取儲存媒體,其中該輸入波譜資料或該輸入非波譜資料中之該一或更多者是從該製造系統的一基板量測系統接收的。
  19. 如請求項17之非暫態電腦可讀取儲存媒體,其中該處理裝置進一步用以: 接收輸入位置資料,該輸入位置資料指示出該製造系統處處理的該目前基板的一位置,其中該輸入位置資料是利用該經訓練機器學習模型連同該輸入波譜資料或該輸入非波譜資料中之該一或更多者所處理的。
  20. 如請求項17之非暫態電腦可讀取儲存媒體,其中該處理裝置進一步用以: 經由該製造系統的一客戶端裝置,致使針對正於該製造系統處被處理的該目前基板的該計量量測經由一圖形使用者介面(GUI)被提供給該製造系統的一使用者。
TW110126793A 2020-07-22 2021-07-21 使用機器學習決定基板輪廓性質 TW202221580A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063055244P 2020-07-22 2020-07-22
US63/055,244 2020-07-22
US17/379,707 US20220026817A1 (en) 2020-07-22 2021-07-19 Determining substrate profile properties using machine learning
US17/379,707 2021-07-19

Publications (1)

Publication Number Publication Date
TW202221580A true TW202221580A (zh) 2022-06-01

Family

ID=79688153

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126793A TW202221580A (zh) 2020-07-22 2021-07-21 使用機器學習決定基板輪廓性質

Country Status (7)

Country Link
US (1) US20220026817A1 (zh)
EP (1) EP4186012A1 (zh)
JP (1) JP2023535125A (zh)
KR (1) KR20230005322A (zh)
CN (1) CN115699038A (zh)
TW (1) TW202221580A (zh)
WO (1) WO2022020524A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD977504S1 (en) * 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20240071838A1 (en) * 2022-08-24 2024-02-29 Applied Materials, Inc. Substrate placement optimization using substrate measurements
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101910268B1 (ko) * 2017-02-23 2018-10-19 에스케이 주식회사 반도체 gp 예측 방법 및 시스템
US10387755B2 (en) * 2017-06-28 2019-08-20 Applied Materials, Inc. Classification, search and retrieval of semiconductor processing metrology images using deep learning/convolutional neural networks
US10969773B2 (en) * 2018-03-13 2021-04-06 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
CN111971551A (zh) * 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus

Also Published As

Publication number Publication date
KR20230005322A (ko) 2023-01-09
WO2022020524A1 (en) 2022-01-27
US20220026817A1 (en) 2022-01-27
CN115699038A (zh) 2023-02-03
JP2023535125A (ja) 2023-08-16
EP4186012A1 (en) 2023-05-31

Similar Documents

Publication Publication Date Title
TW202221580A (zh) 使用機器學習決定基板輪廓性質
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US11842910B2 (en) Detecting outliers at a manufacturing system using machine learning
TW202221817A (zh) 用來改進製造製程效能的集成式基板測量系統
CN115769352A (zh) 基板测量子系统
JP2024510568A (ja) プロセスチャンバ健康状態モニタリングおよび仮想モデルを使用した診断のためのシステムおよび方法
TW202240024A (zh) 使用機器學習控制沉積膜的濃度輪廓
TW202326314A (zh) 用於半導體製造設備的自適應故障排除的系統和方法
US20230062206A1 (en) Determining substrate profile properties using machine learning
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
WO2024081764A1 (en) Determining substrate profile properties using machine learning
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20230236583A1 (en) Chamber component condition estimation using substrate measurements
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
KR20240027837A (ko) 무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘