KR20230005322A - 기계 학습을 사용한 기판 프로파일 속성들의 결정 - Google Patents

기계 학습을 사용한 기판 프로파일 속성들의 결정 Download PDF

Info

Publication number
KR20230005322A
KR20230005322A KR1020227041747A KR20227041747A KR20230005322A KR 20230005322 A KR20230005322 A KR 20230005322A KR 1020227041747 A KR1020227041747 A KR 1020227041747A KR 20227041747 A KR20227041747 A KR 20227041747A KR 20230005322 A KR20230005322 A KR 20230005322A
Authority
KR
South Korea
Prior art keywords
substrate
manufacturing system
spectral data
data
processed
Prior art date
Application number
KR1020227041747A
Other languages
English (en)
Inventor
우펜드라 움메탈라
블레이크 에릭슨
프라샨트 쿠마르
마이클 커트니
스티븐 트레이 틴델
자오자오 주
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230005322A publication Critical patent/KR20230005322A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • G06F16/90Details of database functions independent of the retrieved data types
    • G06F16/906Clustering; Classification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/01Dynamic search techniques; Heuristics; Dynamic trees; Branch-and-bound

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Computational Linguistics (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Databases & Information Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Abstract

제조 시스템에서 처리되고 있는 현재 기판의 계측 측정들을 예측하도록 기계 학습 모델을 트레이닝하기 위한 방법이 제공된다. 기계 학습 모델에 대한 트레이닝 데이터가 생성된다. 제조 시스템에서 이전에 처리된 이전 기판의 표면과 연관된 이력 스펙트럼 데이터 및/또는 이력 비-스펙트럼 데이터를 포함하는 제1 트레이닝 입력이 생성된다. 제1 트레이닝 입력에 대한 제1 타깃 출력이 생성된다. 제1 타깃 출력은 제조 시스템에서 이전에 처리된 이전 기판과 연관된 이력 계측 측정들을 포함한다. (i) 제1 트레이닝 입력을 포함하는 트레이닝 입력들의 세트, 및 (ii) 제1 타깃 출력을 포함하는 타깃 출력들의 세트에 대해 기계 학습 모델을 트레이닝하기 위해 데이터가 제공된다.

Description

기계 학습을 사용한 기판 프로파일 속성들의 결정
[0001] 본 개시내용의 실시예들은 일반적으로 제조 시스템들에 관한 것이고, 더 구체적으로는 기판의 프로파일 속성들을 결정하는 것에 관한 것이다.
[0002] 기판 프로파일 속성들은 제조 시스템에서의 처리 동안 또는 처리 후에 기판을 평가하기 위해 사용될 수 있는 메트릭(metric)들이다. 전형적으로, 기판 프로파일 속성들은 제조 시스템에서 사용되는 제조 툴(tool)과는 별개인 계측 시스템을 사용하여 측정된다. 기판 프로파일 속성을 측정하기 위해, 기판은 제조 툴로부터 제거되고 계측 시스템에서 측정된다. 기판에 대한 측정이 계측 시스템에서 획득된 후에, 기판은 추가 처리를 위해 제조 툴로 복귀된다. 계측 시스템에서 기판을 측정하기 위해 제조 툴로부터 기판을 제거하는 것은 전체 프로세스 효율의 감소를 초래하는 고비용의 동작이다. 제조 툴로부터 기판을 제거하는 비용으로 인해, 제조 시스템에서 처리되는 소수의 기판들이 측정되어, 제조 시스템에서 처리되는 모든 기판들의 낮은 샘플링 레이트를 초래한다. 이러한 소수의 기판들에 대해 생성된 측정들은 측정되지 않은, 제조 툴에서 처리되는 다른 기판들에 대한 프로세스 결정들을 행하는 데 사용된다. 소수의 기판들에 대해 생성된 측정들에 기초하여 행해지는 프로세스 결정들은 기판 결함들, 및 일부 경우들에서는 제조 시스템에서의 장비에 대한 손상을 초래할 수 있다.
[0003] 설명되는 실시예들 중 일부는 제조 시스템에서 처리되고 있는 현재 기판의 계측 측정들을 예측하도록 기계 학습 모델을 트레이닝하기 위한 방법을 포함한다. 방법은 기계 학습 모델에 대한 트레이닝 데이터를 생성하는 단계를 포함한다. 트레이닝 데이터를 생성하는 단계는 제조 시스템에서 이전에 처리된 이전 기판의 표면과 연관된 이력 스펙트럼 데이터 및/또는 이력 비-스펙트럼 데이터를 포함하는 제1 트레이닝 입력을 생성하는 단계를 포함한다. 트레이닝 데이터를 생성하는 단계는 제1 트레이닝 입력에 대한 제1 타깃 출력을 생성하는 단계를 더 포함하고, 제1 타깃 출력은 제조 시스템에서 이전에 처리된 이전 기판과 연관된 이력 계측 측정들을 포함한다. 방법은 (i) 제1 트레이닝 입력을 포함하는 트레이닝 입력들의 세트, 및 (ii) 제1 타깃 출력을 포함하는 타깃 출력들의 세트에 대해 기계 학습 모델을 트레이닝하기 위한 데이터를 제공하는 단계를 더 포함한다.
[0004] 일부 실시예들에서, 장치는 트레이닝된 기계 학습 모델을 저장하는 메모리, 및 메모리에 결합된 처리 디바이스를 포함한다. 처리 디바이스는, 트레이닝된 기계 학습 모델에 대한 입력으로서 제조 시스템에서 처리되고 있는 현재 기판과 연관된 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 제공한다. 처리 디바이스는 트레이닝된 기계 학습 모델로부터 하나 이상의 출력들을 더 획득한다. 처리 디바이스는, 하나 이상의 출력들로부터, 제조 시스템에서 처리되고 있는 현재 기판에 대한 계측 측정을 더 추출한다.
[0005] 일부 실시예들에서, 비일시적 컴퓨터 판독가능 저장 매체는, 처리 디바이스에 의해 실행될 때, 처리 디바이스로 하여금, 제조 시스템에서 처리되는 현재 기판과 연관된 입력 스펙트럼 데이터 및/또는 입력 비-스펙트럼 데이터를 수신하게 하는 명령어들을 포함한다. 처리 디바이스는 트레이닝된 기계 학습 모델을 사용하여 현재 기판과 연관된 입력 스펙트럼 데이터 및/또는 입력 비-스펙트럼 데이터를 더 처리한다. 처리 디바이스는, 트레이닝된 기계 학습 모델을 사용한, 현재 기판과 연관된 입력 스펙트럼 데이터 및/또는 입력 비-스펙트럼 데이터의 처리에 기초하여, 제조 시스템에서 처리되고 있는 현재 기판에 대한 계측 측정을 표시하는 하나 이상의 출력들을 더 획득한다.
[0006] 본 개시내용은 유사한 참조부호들이 유사한 요소들을 표시하는 첨부 도면들의 도형들에서 제한이 아니라 예로서 예시된다. 본 개시내용에서 "일(an)" 또는 "하나의(one)" 실시예에 대한 상이한 참조들은 반드시 동일한 실시예에 대한 것은 아니며, 이러한 참조들은 적어도 하나를 의미한다는 점에 유의해야 한다.
[0007] 도 1은 본 개시내용의 양태들에 따른 예시적인 컴퓨터 시스템 아키텍처를 묘사한다.
[0008] 도 2는 본 개시내용의 양태들에 따른 기계 학습 모델을 트레이닝하는 방법의 흐름도이다.
[0009] 도 3은 본 개시내용의 양태들에 따른 예시적인 제조 시스템의 개략적인 평면도이다.
[0010] 도 4는 본 개시내용의 양태들에 따른 기판 측정 서브시스템의 개략적인 측단면도이다.
[0011] 도 5는 본 개시내용의 양태들에 따른 기판에 대해 수집된 스펙트럼 데이터를 예시한다.
[0012] 도 6은 본 개시내용의 양태들에 따른 기계 학습 모델을 사용하여 기판의 프로파일에 대한 계측 값을 추정하는 방법의 흐름도이다.
[0013] 도 7a-c는 본 개시내용의 양태들에 따른 기판의 프로파일에 대한 추정된 계측 값의 표시를 제공하는 예시적인 GUI를 예시한다.
[0014] 도 8은 본 개시내용의 하나 이상의 양태들에 따라 동작하는 예시적인 컴퓨터 시스템의 블록도를 묘사한다.
[0015] 기판 프로파일(예를 들어, 3차원(3D) 구조들을 포함하는 표면, 비-3D 구조들을 포함하는 표면 등)의 속성들은 제조 시스템에서의 최종 처리된 기판의 전체 성능 및/또는 기판들의 전체 생산 수율에 중요하다. 일부 경우들에서, 기판 프로파일의 속성들은 제조 시스템에서의 기판 프로세스 동안의 또는 그 후의 기판에 대한 계측 측정들의 생성에 의해 모니터링될 수 있다. 계측 측정은 에칭 레이트(etch rate)(즉, 기판의 표면 상에 증착된 소정 재료가 처리 챔버 내에서 에칭되는 레이트), 에칭 레이트 균일성(etch rate uniformity)(즉, 기판의 표면의 2개 이상의 부분들에서의 에칭 레이트의 변동), 임계 치수(critical dimension)(즉, 라인들, 열들, 개구들, 공간들 등과 같은 기판의 요소들의 치수를 측정하기 위한 측정의 단위), 임계 치수 균일성(즉, 기판의 표면에 걸친 임계 치수들의 변동), 에지 대 에지 배치 에러(edge to edge placement error)(EPE)(즉, 기판의 표면 상에 포함된 의도된 그리고 결과적인 피처(feature)들 사이의 차이) 등을 포함할 수 있다.
[0016] 본 명세서에 설명된 구현들은 제조 시스템에서 처리되고 있는 현재 기판의 계측 측정들을 예측하도록 기계 학습 모델을 트레이닝하고 사용하기 위한 방법들 및 시스템들을 제공한다. 기계 학습 모델은 제조 시스템에서 처리된 이전 기판의 다양한 부분들에 대해 수집된 이력 스펙트럼 데이터를 사용하여 트레이닝될 수 있다. 스펙트럼 데이터는 검출된 에너지 파의 각각의 주어진 파장에 대한 검출된 에너지 파의 강도(즉, 에너지 양의 강도)에 대응할 수 있다. 일부 실시예들에서, 스펙트럼 데이터는 측정 시스템 내에 포함된 기판 측정 서브시스템에서 생성될 수 있다. 다른 또는 유사한 실시예들에서, 스펙트럼 데이터는 제조 시스템의 다른 부분에서, 예컨대 처리 챔버에서 생성될 수 있다. 이력 스펙트럼 데이터는 기계 학습 모델에 대한 트레이닝 입력으로서 제공될 수 있다. 기계 학습은 또한 이전 기판의 다양한 부분들에 대해 수집된 이력 비-스펙트럼 데이터를 사용하여 트레이닝될 수 있다. 예를 들어, 와전류 데이터, 용량 데이터 등이 기판에 대해 생성되고 기계 학습 모델에 대한 트레이닝 입력으로서 제공될 수 있다.
[0017] 일부 실시예들에서, 기계 학습 모델은 이력 스펙트럼 데이터와 연관된 이전 기판의 부분을 표시하는 이력 스펙트럼 데이터를 사용하여 더 트레이닝될 수 있다. 포지션 데이터는 기판의 부분에 대한 스펙트럼 데이터가 (즉, 기판 측정 서브시스템에서 또는 처리 챔버에서) 측정되었을 때의 기판의 포지션 및/또는 배향을 지칭할 수 있다. 일부 실시예들에서, 이력 포지션 데이터는 또한 기계 학습 모델에 대한 트레이닝 입력으로서 제공될 수 있다.
[0018] 기계 학습 모델은 제조 시스템에서 처리된 이전의 기판에 대해 수집된 이력 계측 측정들을 사용하여 더 트레이닝될 수 있다. 일부 실시예들에서, 이력 계측 측정은 제조 시스템과는 별개인 계측 측정 시스템(외부 계측 측정 시스템으로 지칭됨)으로부터 수신될 수 있다. 다른 또는 유사한 실시예들에서, 이력 계측 측정은 제조 시스템의 클라이언트 디바이스로부터 수신될 수 있다. 이력 계측 측정들은 제조 시스템에서 처리되는 각각의 기판에 대해 생성될 수 있다. 이력 계측 측정들은 기계 학습 모델에 대한 타깃 출력들로서 제공될 수 있다.
[0019] 기계 학습 모델은 트레이닝되면, 제조 시스템에서 처리되고 있는 현재 기판의 계측 측정들을 예측하는 데 사용될 수 있다. 스펙트럼 데이터는 제조 시스템에서의 기판 프로세스 동안 또는 그 후에 현재 기판에 대해 (즉, 기판 측정 서브시스템에서 또는 처리 챔버에서) 생성될 수 있다. 스펙트럼 데이터는 트레이닝된 기계 학습 모델에 입력으로서 제공될 수 있다. 일부 실시예들에서, 포지션 데이터는 또한 현재 기판에 대해 생성될 수 있고, 포지션 데이터는 스펙트럼 데이터와 연관된다. 그러한 실시예들에서, 포지션 데이터는 트레이닝된 기계 학습 모델에 스펙트럼 데이터와 함께 다른 입력으로서 제공될 수 있다. 트레이닝된 기계 학습 모델은 제조 시스템에서 처리된 이전 기판에 대한 계측 측정, 및 제조 시스템에서 처리되고 있는 현재 기판이 이전 기판에 대한 계측 측정과 연관된다는 신뢰도 레벨을 포함하는 하나 이상의 출력들을 생성할 수 있다. 제조 시스템에서 처리되고 있는 현재 기판에 대한 계측 측정은 하나 이상의 출력들로부터 추출될 수 있다. 일부 실시예들에서, 현재 기판에 대한 계측 측정은 제조 시스템의 클라이언트 디바이스에 디스플레이된 그래픽 사용자 인터페이스(GUI)를 통해 제조 시스템의 사용자에게 제공될 수 있다.
[0020] 본 개시내용의 양태들은 제조 시스템에서 처리되고 있는 기판에 대한 계측 측정 값들을 예측하도록 기계 학습 모델을 트레이닝하고 사용하기 위한 시스템들 및 방법들을 제공함으로써 위에서 언급된 종래 기술의 결함들을 해결한다. 스펙트럼 데이터 및/또는 비-스펙트럼 데이터는 제조 시스템의 다양한 부분들(즉, 기판 측정 서브시스템, 처리 챔버 등)에서 각각의 기판에 대해 생성될 수 있고, 기판이 제조 시스템 내에 남아 있는 동안 기판에 대한 계측 측정 값을 결정하기 위해 트레이닝된 기계 학습 모델에 제공될 수 있다. 기판이 제조 시스템 내에 남아 있는 동안 기판에 대한 계측 측정 값을 결정함으로써, 기판은 기판 프로세스 동안 제조 시스템으로부터 제거되지 않으며, 그에 의해 전체 시스템 처리량을 개선한다. 또한, 스펙트럼 데이터 및/또는 스펙트럼이 제조 시스템에서 처리되고 있는 각각의 기판에 대해 생성될 수 있기 때문에, 계측 측정 값이 각각의 기판에 대해 생성될 수 있어서, 제조 시스템에서 처리되는 모든 기판들의 높은 샘플링 레이트를 초래할 수 있다. 다른 기판에 대한 계측 측정 값에 기초하는 것이 아니라, 기판에 대한 계측 측정 값에 기초하여, 제조 시스템에서 기판에 대한 프로세스 수정들이 이루어질 수 있고, 그에 의해 프로세스 수정이 기판의 성공적 처리를 초래할 가능성을 증가시킬 수 있다. 결과적으로, 제조 시스템 내에서 발생하는 결함들의 수가 감소할 것이고, 그에 의해 전체 시스템 효율을 개선할 것이다. 또한, 기판에 대한 예상 계측 측정 값으로부터의 편차가 검출될 수 있고, 검출된 편차에 기초하여 에러 프로토콜(예를 들어, 에러 메시지를 제조 시스템의 오퍼레이터에게 송신하는 것, 제조 시스템에서의 동작들을 정지시키는 것 등)이 개시될 수 있고, 따라서 기판 및/또는 제조 시스템에 대한 불필요한 손상을 방지할 수 있다.
[0021] 도 1은 본 개시내용의 양태들에 따른 예시적인 컴퓨터 시스템 아키텍처(100)를 묘사한다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 도 3의 제조 시스템(300)과 같은 기판들을 처리하기 위한 제조 시스템의 일부로서 포함될 수 있다. 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120), 제조 장비(124), 계측 장비(128), (예를 들어, 예측 데이터를 생성하고, 모델 적응을 제공하고, 지식 베이스(knowledge base)를 사용하는 것 등을 위한) 예측 서버(112), 및 데이터 저장소(140)를 포함한다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 기계들(170 및 180)을 더 포함할 수 있다. 제조 장비(124)는 제조 시스템에서 처리되고 있는 기판에 대한 데이터를 캡처하도록 구성된 센서들(125)을 포함할 수 있다. 일부 실시예들에서, 제조 장비(124) 및 센서들(126)은 센서 서버(예를 들어, 제조 설비에서의 현장 서비스 서버(FSS)) 및 센서 식별자 판독기(예를 들어, 센서 시스템을 위한 전방 개방 통합 포드(front opening unified pod: FOUP) 무선 주파수 식별(RFID) 판독기)를 포함하는 센서 시스템의 일부일 수 있다. 일부 실시예들에서, 계측 장비(128)는 계측 서버(예를 들어, 계측 데이터베이스, 계측 폴더들 등) 및 계측 식별자 판독기(예를 들어, 계측 시스템을 위한 FOUP RFID 판독기)를 포함하는 계측 시스템의 일부일 수 있다.
[0022] 제조 장비(124)는 레시피에 따라 제품들을 생성하거나 기간에 걸쳐 런(run)들을 수행할 수 있다. 제조 장비(124)는 기판 측정 서브시스템 내에 내장된 기판에 대한 스펙트럼 데이터 및/또는 포지션 데이터를 생성하도록 구성된 하나 이상의 센서들(126)을 포함하는 기판 측정 서브시스템을 포함할 수 있다. 스펙트럼 데이터를 생성하도록 구성된 센서들(126)(본 명세서에서 스펙트럼 감지 컴포넌트들로 지칭됨)은 반사계측 센서들, 타원계측 센서들, 열 스펙트럼 센서들, 용량성 센서들 등을 포함할 수 있다. 일부 실시예들에서, 스펙트럼 감지 컴포넌트들은 기판 측정 서브시스템 또는 제조 시스템의 다른 부분 내에 포함될 수 있다. 하나 이상의 센서들(126)(예를 들어, 와전류 센서들 등)은 또한 기판에 대한 비-스펙트럼 데이터를 생성하도록 구성될 수 있다. 제조 장비(124) 및 기판 측정 서브시스템에 관한 추가의 상세들은 도 3 및 도 4와 관련하여 제공된다.
[0023] 일부 실시예들에서, 센서들(126)은 제조 장비(124)와 연관된 센서 데이터를 제공할 수 있다. 센서 데이터는 온도(예를 들어, 가열기 온도), 간격(SP), 압력, 고주파 무선 주파수(HFRF), 정전 척(electrostatic chuck: ESC)의 전압, 전류, 유동, 전력, 전압 등 중 하나 이상의 것의 값을 포함할 수 있다. 센서 데이터는 제조 장비(124)의 설정들 또는 컴포넌트들(예를 들어, 크기, 유형 등)과 같은 하드웨어 파라미터들, 또는 제조 장비(124)의 프로세스 파라미터들과 같은 제조 파라미터들과 연관되거나 그들을 표시할 수 있다. 센서 데이터(예를 들어, 제품들을 처리할 때의 장비 판독들)는 제조 장비(124)가 제조 프로세스들을 수행하고 있는 동안 제공될 수 있다. 센서 데이터(142)는 각각의 기판에 대해 상이할 수 있다.
[0024] 계측 장비(128)는 제조 장비(124)에 의해 처리되는 기판들(예를 들어, 웨이퍼들 등)과 연관된 계측 데이터를 제공할 수 있다. 계측 데이터는 막 속성 데이터(예를 들어, 웨이퍼 공간 막 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등 중 하나 이상의 것의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 하나 이상의 표면 프로파일 속성 데이터(예를 들어, 에칭 레이트, 에칭 레이트 균일성, 기판의 표면 상에 포함된 하나 이상의 피처들의 임계 치수, 기판의 표면에 걸친 임계 치수 균일성, 에지 배치 에러 등)의 값을 더 포함할 수 있다. 계측 데이터는 완성된 또는 반완성된 제품에 대한 것일 수 있다. 계측 데이터는 각각의 기판에 대해 상이할 수 있다.
[0025] 클라이언트 디바이스(120)는 개인용 컴퓨터(PC)들, 랩톱들, 모바일 폰들, 스마트폰들, 태블릿 컴퓨터들, 넷북 컴퓨터들, 네트워크 접속 텔레비전들("스마트 TV들"), 네트워크 접속 미디어 플레이어들(예를 들어, 블루레이 플레이어), 셋톱 박스, 오버-더-톱(over-the-top: OTT) 스트리밍 디바이스들, 오퍼레이터 박스들 등과 같은 컴퓨팅 디바이스를 포함할 수 있다.
[0026] 일부 실시예들에서, 계측 데이터는 클라이언트 디바이스(120)로부터 수신될 수 있다. 클라이언트 디바이스(120)는 그래픽 사용자 인터페이스(GUI)를 디스플레이할 수 있고, GUI는 사용자가 제조 시스템에서 처리된 기판들에 대한 계측 측정 값들을 입력으로서 제공할 수 있게 한다.
[0027] 데이터 저장소(140)는 메모리(예를 들어, 랜덤 액세스 메모리), 드라이브(예를 들어, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 유형의 컴포넌트 또는 디바이스일 수 있다. 데이터 저장소(140)는 다수의 컴퓨팅 디바이스들(예를 들어, 다수의 서버 컴퓨터들)에 걸쳐 있을 수 있는 다수의 저장 컴포넌트들(예를 들어, 다수의 드라이브들 또는 다수의 데이터베이스들)을 포함할 수 있다. 데이터 저장소(140)는 스펙트럼 데이터, 비-스펙트럼 데이터, 계측 데이터, 및 예측 데이터를 저장할 수 있다. 스펙트럼 데이터는 이력 스펙트럼 데이터(예를 들어, 제조 시스템에서 처리된 이전 기판에 대해 생성된 스펙트럼 데이터) 및/또는 현재 스펙트럼 데이터(제조 시스템에서 처리되고 있는 현재 기판에 대해 생성된 스펙트럼 데이터)를 포함할 수 있다. 현재 스펙트럼 데이터는 예측 데이터가 생성되는 데이터일 수 있다. 본 개시내용의 실시예들은 기계 학습 모델을 트레이닝하기 위한 스펙트럼 데이터를 참조하지만, 본 개시내용의 실시예들은 또한 기계 학습 모델을 트레이닝하기 위해 사용되는 비-스펙트럼 데이터를 포함할 수 있다는 점에 유의해야 한다. 일부 실시예들에서, 계측 데이터는 이력 계측 데이터(예를 들어, 제조 시스템에서 처리된 이전 기판에 대한 계측 측정 값들)를 포함할 수 있다. 데이터 저장소(140)는 또한 제조 시스템에서 처리되고 있는 기판과 연관된 맥락 데이터(예를 들어, 레시피 이름, 레시피 단계 번호, 예방 유지보수 표시자, 오퍼레이터 등)를 저장할 수 있다.
[0028] 일부 실시예들에서, 데이터 저장소(140)는 제조 시스템의 사용자에 의해 액세스가능하지 않은 데이터를 저장하도록 구성될 수 있다. 예를 들어, 제조 시스템에서 처리되고 있는 기판에 대해 획득된 스펙트럼 데이터, 비-스펙트럼 데이터, 및/또는 포지션 데이터는 제조 시스템의 사용자에 의해 액세스가능하지 않을 수 있다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 모든 데이터는 제조 시스템의 사용자(예를 들어, 오퍼레이터)에 의해 액세스 불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 일부는 사용자에 의해 액세스 불가능할 수 있는 반면, 데이터 저장소(140)에 저장된 데이터의 다른 부분은 사용자에 의해 액세스가능할 수 있다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 하나 이상의 부분들은 사용자에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화될 수 있다(예를 들어, 데이터는 비공개 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 저장소(140)는 다수의 데이터 저장소들을 포함할 수 있고, 여기서 하나 이상의 제1 데이터 저장소들에는 사용자에 의해 액세스 불가능한 데이터가 저장되고, 하나 이상의 제2 데이터 저장소들에는 사용자에 의해 액세스가능한 데이터가 저장된다.
[0029] 일부 실시예들에서, 예측 시스템(110)은 서버 기계(170) 및 서버 기계(180)를 포함한다. 서버 기계(170)는 기계 학습 모델(190)을 트레이닝, 검증 및/또는 테스트하기 위한 트레이닝 데이터 세트들(예를 들어, 데이터 입력들의 세트 및 타깃 출력들의 세트)을 생성할 수 있는 트레이닝 세트 생성기(172)를 포함한다. 데이터 세트 생성기(172)의 일부 동작들은 도 2와 관련하여 아래에 상세히 설명된다. 일부 실시예들에서, 데이터 세트 생성기(172)는 트레이닝 데이터를 트레이닝 세트, 검증 세트 및 테스트 세트로 분할할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 트레이닝 데이터의 다수의 세트들을 생성한다. 예를 들어, 트레이닝 데이터의 제1 세트는 제1 유형의 스펙트럼 데이터(예를 들어, 반사계측 스펙트럼 데이터)에 대응할 수 있고, 트레이닝 데이터의 제2 세트는 제2 유형의 스펙트럼 데이터(타원계측 스펙트럼 데이터)에 대응할 수 있다.
[0030] 서버 기계(180)는 트레이닝 엔진(182), 검증 엔진(validation engine)(184), 선택 엔진(185), 및/또는 테스트 엔진(186)을 포함할 수 있다. 엔진은 하드웨어(예를 들어, 회로, 전용 로직, 프로그래밍 가능 로직, 마이크로코드, 처리 디바이스 등), 소프트웨어(예를 들어, 처리 디바이스, 범용 컴퓨터 시스템, 또는 전용 기계 상에서 실행되는 명령어들), 펌웨어, 마이크로코드, 또는 이들의 조합을 지칭할 수 있다. 트레이닝 엔진(182)은 기계 학습 모델(190)을 트레이닝할 수 있다. 기계 학습 모델(190)은 트레이닝 입력들 및 대응하는 타깃 출력들(개개의 트레이닝 입력들에 대한 정확한 답변들)을 포함하는 트레이닝 데이터를 사용하여 트레이닝 엔진(182)에 의해 생성되는 모델 아티팩트(model artifact)를 지칭할 수 있다. 트레이닝 엔진(182)은 트레이닝 입력을 타깃 출력(예측될 답변)에 매핑하는, 트레이닝 데이터 내의 패턴들을 찾고, 이러한 패턴들을 캡처하는 기계 학습 모델(190)을 제공할 수 있다. 기계 학습 모델(190)은 지원 벡터 기계(SVM), 방사상 기저 함수(RBF), 클러스터링, 감독 기계 학습, 반감독 기계 학습, 비감독 기계 학습, k-최근접 이웃 알고리즘(k-nearest neighbor algorithm: k-NN), 선형 회귀, 랜덤 포레스트(random forest), 신경망(예를 들어, 인공 신경망) 등 중 하나 이상을 사용할 수 있다.
[0031] 검증 엔진(184)은 트레이닝 세트 생성기(172)로부터의 검증 세트의 특징들의 대응하는 세트를 사용하여 트레이닝된 기계 학습 모델(190)을 검증할 수 있다. 검증 엔진(184)은 검증 세트의 특징들의 대응하는 세트들에 기초하여 트레이닝된 기계 학습 모델들(190) 각각의 정확도를 결정할 수 있다. 검증 엔진(184)은 임계 정확도를 충족시키지 않는 정확도를 갖는 트레이닝된 기계 학습 모델(190)을 폐기할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 임계 정확도를 충족시키는 정확도를 갖는 트레이닝된 기계 학습 모델(190)을 선택할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 트레이닝된 기계 학습 모델들(190) 중 최고 정확도를 갖는 트레이닝된 기계 학습 모델(190)을 선택할 수 있다.
[0032] 테스트 엔진(186)은 데이터 세트 생성기(172)로부터의 테스트 세트의 특징들의 대응하는 세트를 사용하여 트레이닝된 기계 학습 모델(190)을 테스트할 수 있다. 예를 들어, 트레이닝 세트의 특징들의 제1 세트를 사용하여, 트레이닝된 제1 트레이닝된 기계 학습 모델(190)은 테스트 세트의 특징들의 제1 세트를 사용하여 테스트될 수 있다. 테스트 엔진(186)은, 테스트 세트들에 기초하여, 트레이닝된 기계 학습 모델들 모두 중 최고 정확도를 갖는 트레이닝된 기계 학습 모델(190)을 결정할 수 있다.
[0033] 예측 서버(112)는, 제조 시스템에서 처리되고 있는 현재 기판의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 트레이닝된 기계 학습 모델(190)에 대한 입력으로서 제공하고, 트레이닝된 기계 학습 모델(190)을 입력에 대해 실행하여 하나 이상의 출력들을 획득할 수 있는 예측 컴포넌트(114)를 포함한다. 도 4와 관련하여 아래에 상세하게 설명되는 바와 같이, 일부 실시예들에서, 예측 컴포넌트(114)는 또한, 트레이닝된 기계 학습 모델(190)의 출력으로부터 데이터를 추출하고, 신뢰도 데이터를 사용하여 기판의 부분에 대한 계측 측정을 추정할 수 있다.
[0034] 신뢰도 데이터는 계측 값이 현재 스펙트럼 데이터 및/또는 스펙트럼 데이터와 연관된 기판의 하나 이상의 속성들에 대응하는 신뢰도 레벨을 포함하거나 표시할 수 있다. 일례에서, 신뢰도 레벨은 0 내지 1(0 및 1 포함)의 실수이며, 여기서 0은 계측 값이 현재 스펙트럼 데이터와 연관된 기판의 하나 이상의 속성들에 대응하는 신뢰도가 없음을 표시하고, 1은 계측 값이 현재 스펙트럼 데이터와 연관된 기판의 하나 이상의 속성들에 대응하는 절대 신뢰도를 표시한다. 일부 실시예들에서, 시스템(100)은 계측 장비(128)를 사용하여 측정된 계측 값들을 결정하는 대신에, 예측 시스템(110)을 사용하여 제조 시스템에서 처리되고 있는 기판에 대한 계측 값들을 결정할 수 있다.
[0035] 클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 예측 서버(112), 데이터 저장소(140), 서버 기계(170), 및 서버 기계(180)는 네트워크(130)를 통해 서로 결합될 수 있다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)에 예측 서버(112), 데이터 저장소(140), 및 다른 공개적으로 이용가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공개 네트워크이다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)에 제조 장비(124), 계측 장비(128), 데이터 저장소(140), 및 다른 비공개적으로 이용가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 비공개 네트워크이다. 네트워크(130)는 하나 이상의 광역 네트워크(WAN)들, 근거리 네트워크(LAN)들, 유선 네트워크들(예를 들어, 이더넷 네트워크), 무선 네트워크들(예를 들어, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크들(예를 들어, 롱 텀 에볼루션(LTE) 네트워크), 라우터들, 허브들, 스위치들, 서버 컴퓨터들, 클라우드 컴퓨팅 네트워크들, 및/또는 이들의 조합을 포함할 수 있다.
[0036] 일부 다른 구현들에서, 서버 기계들(170 및 180)은 물론 예측 서버(112)의 기능들이 더 적은 수의 기계들에 의해 제공될 수 있다는 점에 유의해야 한다. 예를 들어, 일부 실시예들에서, 서버 기계들(170 및 180)은 단일 기계로 통합될 수 있는 반면, 일부 다른 또는 유사한 실시예들에서, 서버 기계들(170 및 180)은 물론 예측 서버(112)는 단일 기계로 통합될 수 있다.
[0037] 일반적으로, 서버 기계(170), 서버 기계(180), 및/또는 예측 서버(112)에 의해 수행되는 것으로서 일 구현에서 설명되는 기능들은 또한 클라이언트 디바이스(120) 상에서 수행될 수 있다. 또한, 특정 컴포넌트에 기인하는 기능은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다.
[0038] 실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은 "사용자"가 복수의 사용자들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 것을 포함한다. 예를 들어, 관리자들의 그룹으로서 연합된 개별 사용자들의 세트가 "사용자"로 간주될 수 있다.
[0039] 도 2는 본 개시내용의 양태들에 따른 기계 학습 모델을 트레이닝하기 위한 방법(200)의 흐름도이다. 방법(200)은 하드웨어(회로, 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 기계 상에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일 구현에서, 방법(200)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(200)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양태들에서, 방법(200)의 하나 이상의 동작들은 서버 기계(170)의 트레이닝 세트 생성기(172)에 의해 수행될 수 있다.
[0040] 설명의 단순화를 위해, 방법들은 일련의 액트(act)들로서 묘사되고 설명된다. 그러나, 본 개시내용에 따른 액트들은 다양한 순서들로 그리고/또는 동시에, 그리고 본 명세서에서 제시되지 않고 설명되지 않은 다른 액트들과 함께 발생할 수 있다. 또한, 모든 예시된 액트들이 개시된 주제에 따른 방법들을 구현하기 위해 수행되지는 않을 수 있다. 또한, 이 분야의 기술자들은 방법들이 대안적으로 상태도 또는 이벤트들을 통해 일련의 상호 관련된 상태들로서 표현될 수 있다는 것을 이해하고 인식할 것이다. 또한, 본 명세서에 개시된 방법들은 그러한 방법들을 컴퓨팅 디바이스들에 전송 및 전달하는 것을 용이하게 하기 위해 제조 물품 상에 저장될 수 있다는 것을 알아야 한다. 제조 물품이라는 용어는 본 명세서에서 사용되는 바와 같이 임의의 컴퓨터 판독가능 디바이스 또는 저장 매체들로부터 액세스가능한 컴퓨터 프로그램을 포함하도록 의도된다.
[0041] 블록(210)에서, 처리 로직은 트레이닝 세트(T)를 빈(empty) 세트(예를 들어, {})로 초기화한다. 블록(220)에서, 처리 로직은 제조 시스템에서 처리되고 있는 기판에 대한 데이터(예를 들어, 스펙트럼 데이터, 비-스펙트럼 데이터 등)를 수신한다. 일부 실시예들에서, 데이터는 제조 시스템과 통합된 기판 측정 서브시스템으로부터 수신될 수 있다. 다른 또는 유사한 실시예들에서, 데이터는 제조 시스템의 다른 부분(예를 들어, 처리 챔버, 로드 록(load lock), 이송 챔버 등)에서의 하나 이상의 센서들로부터 수신될 수 있다. 일부 다른 구현들에서, 데이터는 일부 다른 방식으로 수신될 수 있고, 제조 시스템의 일부로부터 수신되지 않을 수 있다는 점에 유의해야 한다.
[0042] 블록(230)에서, 처리 로직은 옵션으로서, 제조 시스템에서 처리되고 있는 기판에 대한 포지션 데이터를 수신한다. 일부 실시예들에서, 포지션 데이터는 데이터와 함께 기판 측정 서브시스템으로부터 수신될 수 있다. 다른 또는 유사한 실시예들에서, 데이터는 제조 시스템의 다른 부분에서의 하나 이상의 센서들로부터 수신될 수 있다. 일부 다른 구현들에서, 포지션 데이터는 일부 다른 방식으로 수신될 수 있고, 제조 시스템의 일부로부터 수신되지 않을 수 있다는 점에 유의해야 한다.
[0043] 블록(240)에서, 처리 로직은 기판에 대한 하나 이상의 계측 측정들을 수신한다. 계측 측정은 제조 시스템과는 별개인 계측 측정 시스템(즉, 외부 계측 측정 시스템)에서 기판에 대해 획득될 수 있다. 일부 실시예들에서, 외부 계측 측정 시스템은 (예를 들어, 도 1의 네트워크(130)에 의해) 제조 시스템에 통신가능하게 결합될 수 있다. 이러한 실시예들에서, 처리 로직은 네트워크를 통해 외부 계측 측정 시스템으로부터 기판에 대한 하나 이상의 계측 측정들을 수신할 수 있다. 다른 실시예들에서, 계측 측정들은 외부 계측 측정 시스템에서 생성되고 클라이언트 디바이스를 통해 제조 시스템에 제공될 수 있다. 예를 들어, 제조 시스템에 접속된 클라이언트 디바이스는 그래픽 사용자 인터페이스(GUI)를 제조 시스템의 사용자(예를 들어, 오퍼레이터)에게 제공할 수 있다. 기판이 외부 계측 서브시스템에서 측정된 후, 사용자는 계측 측정 값을 GUI를 통해 클라이언트 디바이스에 제공할 수 있다. 제공된 계측 측정 값을 수신하는 것에 응답하여, 클라이언트 디바이스는 계측 측정 값을 제조 시스템의 데이터 저장소(140)와 같은 데이터 저장소에 저장할 수 있다.
[0044] 블록(250)에서, 처리 로직은 입력/출력 매핑을 생성한다. 입력/출력 매핑은 기판에 대한 데이터를 포함하거나 그에 기초하는 트레이닝 입력 및 트레이닝 입력에 대한 타깃 출력을 나타내고, 타깃 출력은 기판에 대한 계측 측정 값을 식별하고, 트레이닝 입력은 타깃 출력과 연관된다(또는 그에 매핑된다). 블록(260)에서, 처리 로직은 입력/출력 매핑을 트레이닝 세트(T)에 추가한다.
[0045] 블록(270)에서, 처리 로직은 트레이닝 세트(T)가 기계 학습 모델을 트레이닝하기에 충분한 양의 트레이닝 데이터를 포함하는지를 결정한다. 일부 구현들에서, 트레이닝 세트(T)의 충분함은 단지 트레이닝 세트 내의 입력/출력 매핑들의 수에 기초하여 결정될 수 있는 반면, 일부 다른 구현들에서, 트레이닝 세트(T)의 충분함은 입력/출력 매핑들의 수 이외에 또는 그 대신에, 하나 이상의 다른 기준들(예를 들어, 트레이닝 예들의 다양성의 척도 등)에 기초하여 결정될 수 있다는 점에 유의해야 한다. 트레이닝 세트(T)가 기계 학습 모델을 트레이닝하기에 충분한 양의 트레이닝 데이터를 포함한다고 결정하는 것에 응답하여, 처리 로직은 기계 학습 모델을 트레이닝하기 위한 트레이닝 세트(T)를 제공한다. 트레이닝 세트가 기계 학습 모델을 트레이닝하기에 충분한 양의 트레이닝 데이터를 포함하지 않는다고 결정하는 것에 응답하여, 방법(200)은 블록(220)으로 복귀한다.
[0046] 블록(280)에서, 처리 로직은 기계 학습 모델을 트레이닝하기 위한 트레이닝 세트(T)를 제공한다. 일 구현에서, 트레이닝 세트(T)는 트레이닝을 수행하기 위한, 서버 기계(180)의 트레이닝 엔진(182)에 제공된다. 예를 들어, 신경망의 경우, 주어진 입력/출력 매핑의 입력 값들(예를 들어, 이전 기판에 대한 스펙트럼 데이터)이 신경망에 입력되고, 입력/출력 매핑의 출력 값들은 신경망의 출력 노드들에 저장된다. 이어서, 신경망에서의 접속 가중치들은 학습 알고리즘(예를 들어, 역전파(backpropagation) 등)에 따라 조정되고, 절차는 트레이닝 세트(T) 내의 다른 입력/출력 매핑들에 대해 반복된다. 블록(280) 이후, 기계 학습 모델(190)은 (예를 들어, 아래에 설명되는 도 6의 방법(600)에 따라) 제조 시스템에서 처리되는 장래의 기판들에 대한 계측 값들을 추정하기 위해 사용될 수 있다.
[0047] 도 3은 본 개시내용의 양태들에 따른 예시적인 제조 시스템(300)의 개략 평면도이다. 제조 시스템(300)은 기판(302)에 대해 하나 이상의 프로세스들을 수행할 수 있다. 기판(302)은, 예를 들어 전자 디바이스들 또는 회로 컴포넌트들을 상부에 제조하기에 적합한 실리콘 함유 디스크 또는 웨이퍼, 패터닝된 웨이퍼, 유리 플레이트 등과 같은 임의의 적절한 강성의 고정 치수 평면 물품일 수 있다.
[0048] 제조 시스템(300)은 프로세스 툴(304) 및 프로세스 툴(304)에 결합된 팩토리 인터페이스(306)를 포함할 수 있다. 프로세스 툴(304)은 내부에 이송 챔버(310)를 갖는 하우징(308)을 포함할 수 있다. 이송 챔버(310)는 그 주위에 배치되고 그에 결합된 하나 이상의 처리 챔버들(프로세스 챔버들로도 지칭됨)(314, 316, 318)을 포함할 수 있다. 처리 챔버들(314, 316, 318)은 슬릿 밸브들 등과 같은 개개의 포트들을 통해 이송 챔버(310)에 결합될 수 있다. 이송 챔버(310)는 또한 프로세스 챔버들(314, 316, 318), 로드 록(320) 등 사이에서 기판(302)을 이송하도록 구성된 이송 챔버 로봇(312)을 포함할 수 있다. 이송 챔버 로봇(312)은 하나 또는 다수의 암(arm)들을 포함할 수 있고, 각각의 암은 각각의 암의 단부에 하나 이상의 엔드 이펙터(end effector)들을 포함한다. 엔드 이펙터는 웨이퍼들과 같은 특정 물체들을 핸들링하도록 구성될 수 있다.
[0049] 처리 챔버들(314, 316, 318)은 기판들(302)에 대해 임의의 수의 프로세스들을 수행하도록 구성될 수 있다. 동일한 또는 상이한 기판 프로세스는 각각의 처리 챔버(314, 316, 318) 내에서 발생할 수 있다. 기판 프로세스는 원자 층 증착(ALD), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등을 포함할 수 있다. 일부 실시예들에서, 기판 프로세스는 원자 층 증착(ALD), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등 중 2개 이상의 것의 조합을 포함할 수 있다. 다른 프로세스들이 그 안의 기판들에 대해 수행될 수 있다. 처리 챔버들(314, 316, 318) 각각은 기판 프로세스 전에, 후에 또는 동안에 처리 챔버(314, 316, 318) 내의 기판(302) 및/또는 환경에 대한 데이터를 캡처하도록 구성된 하나 이상의 센서들을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 센서들은 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 캡처하도록 구성될 수 있다.
[0050] 로드 록(320)은 또한 하우징(308) 및 이송 챔버(310)에 결합될 수 있다. 로드 록(320)은 일측의 이송 챔버(310) 및 팩토리 인터페이스(306)와 인터페이스하고, 그들에 결합되도록 구성될 수 있다. 로드 록(320)은, 일부 실시예들에서 진공 환경(여기서 기판들은 이송 챔버(310)로 그리고 이송 챔버(310)로부터 이송될 수 있음)으로부터 대기압의 또는 대기압 근처의 불활성 가스 환경(여기서 기판들은 팩토리 인터페이스(306)로 그리고 팩토리 인터페이스(306)로부터 이송될 수 있음)으로 변경될 수 있는 환경 제어 분위기를 가질 수 있다.
[0051] 팩토리 인터페이스(306)는 예를 들어 장비 프론트 엔드 모듈(EFEM)과 같은 임의의 적합한 인클로저(enclosure)일 수 있다. 팩토리 인터페이스(306)는 팩토리 인터페이스(306)의 다양한 로드 포트들(324)에 도킹된 기판 캐리어들(322)(예를 들어, 전방 개방 통합 포드(F0UP))들로부터 기판들(302)을 수령하도록 구성될 수 있다. 팩토리 인터페이스 로봇(326)(점선으로 도시됨)은 기판 캐리어들(컨테이너들이라고도 함)(322)과 로드 록(320) 사이에서 기판들(302)을 이송하도록 구성될 수 있다. 다른 그리고/또는 유사한 실시예들에서, 팩토리 인터페이스(306)는 교체 부품 저장 컨테이너들(322)로부터 교체 부품들을 수령하도록 구성될 수 있다.
[0052] 제조 시스템(300)은 또한 제조 시스템(300)에 관한 정보를 사용자(예를 들어, 오퍼레이터)에게 제공하도록 구성되는 클라이언트 디바이스(도시되지 않음)에 접속될 수 있다. 일부 실시예들에서, 클라이언트 디바이스는 하나 이상의 그래픽 사용자 인터페이스(GUI)들을 통해 제조 시스템(300)의 사용자에게 정보를 제공할 수 있다. 예를 들어, 클라이언트 디바이스는 GUI를 통해 기판(302)에 대한 프로세스 레시피에 대해 행해질 하나 이상의 수정들에 관한 정보를 제공할 수 있다.
[0053] 제조 시스템(300)은 또한 시스템 컨트롤러(328)를 포함할 수 있다. 시스템 컨트롤러(328)는 개인용 컴퓨터, 서버 컴퓨터, 프로그래밍 가능 로직 컨트롤러(PLC), 마이크로컨트롤러 등과 같은 컴퓨팅 디바이스일 수 있고/있거나 이를 포함할 수 있다. 시스템 컨트롤러(328)는 마이크로프로세서, 중앙 처리 유닛 등과 같은 범용 처리 디바이스들일 수 있는 하나 이상의 처리 디바이스들을 포함할 수 있다. 보다 구체적으로, 처리 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 또는 다른 명령어 세트들을 구현하는 프로세서 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 처리 디바이스는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 처리 디바이스들일 수 있다. 시스템 컨트롤러(328)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 컨트롤러(328)는 본 명세서에 설명된 방법론들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위해 명령어들을 실행할 수 있다. 일부 실시예들에서, 시스템 컨트롤러(328)는 프로세스 레시피에 따라 제조 시스템(300)에서 하나 이상의 동작들을 수행하기 위해 명령어들을 실행할 수 있다. 명령어들은 (명령어들의 실행 동안) 메인 메모리, 정적 메모리, 보조 저장소 및/또는 처리 디바이스를 포함할 수 있는 컴퓨터 판독가능 저장 매체 상에 저장될 수 있다.
[0054] 시스템 컨트롤러(328)는 제조 시스템(300)의 다양한 부분들(예를 들어, 처리 챔버들(314, 316, 318), 이송 챔버(310), 로드 록(320) 등) 상에 또는 내에 포함된 센서들로부터 데이터를 수신할 수 있다. 시스템 컨트롤러(328)에 의해 수신된 데이터는 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 포함할 수 있다. 본 설명의 목적들을 위해, 시스템 컨트롤러(328)는 처리 챔버들(314, 316, 318) 내에 포함된 센서들로부터 데이터를 수신하는 것으로서 설명된다. 그러나, 시스템 컨트롤러(328)는 제조 시스템(300)의 임의의 부분으로부터 데이터를 수신할 수 있고, 본 명세서에 설명된 실시예들에 따라 그 부분으로부터 수신된 데이터를 사용할 수 있다. 예시적인 예에서, 시스템 컨트롤러(328)는 처리 챔버(314, 316, 318)에서의 기판 프로세스 전에, 후에 또는 동안에 처리 챔버(314, 316, 318)에 대한 하나 이상의 센서들로부터 스펙트럼 데이터를 수신할 수 있다. 제조 시스템(300)의 다양한 부분들의 센서들로부터 수신된 데이터는 데이터 저장소(350)에 저장될 수 있다. 데이터 저장소(350)는 시스템 컨트롤러(328) 내의 컴포넌트로서 포함될 수 있거나, 시스템 컨트롤러(328)와 별개의 컴포넌트일 수 있다. 일부 실시예들에서, 데이터 저장소(350)는 도 1과 관련하여 설명된 데이터 저장소(140)일 수 있다.
[0055] 제조 시스템(300)은 기판 측정 서브시스템(340)을 더 포함할 수 있다. 기판 측정 서브시스템(340)은 기판(302)이 제조 시스템(300)에서 처리되기 전에 또는 후에 기판(302)의 하나 이상의 부분들에 대한 스펙트럼 측정들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(340)은 시스템 컨트롤러(328)로부터 스펙트럼 측정들에 대한 요청을 수신하는 것에 응답하여 기판(302)의 하나 이상의 부분들에 대한 스펙트럼 측정들을 획득할 수 있다. 기판 측정 서브시스템(340)은 제조 시스템(300)의 일부 내에 통합될 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(340)은 팩토리 인터페이스(306) 내에 통합될 수 있다. 다른 또는 유사한 실시예들에서, 기판 측정 서브시스템(340)은 제조 시스템(300)의 임의의 부분과 통합되지 않을 수 있고, 대신에 독립형 컴포넌트일 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(340)에서 측정된 기판(302)은 기판(302)이 제조 시스템(300)에서 처리되기 전에 또는 후에 제조 시스템(300)의 일부로 그리고 그로부터 이송될 수 있다.
[0056] 기판 측정 서브시스템(340)은 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 스펙트럼을 생성함으로써 기판(302)의 일부에 대한 스펙트럼 측정들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(340)은 기판(302)에 대한 스펙트럼 데이터, 비-스펙트럼 데이터, 포지션 데이터, 및 다른 기판 속성 데이터(예를 들어, 기판(302)의 두께, 기판(302)의 폭 등)를 생성하도록 구성된다. 기판(302)에 대한 데이터를 생성한 후, 기판 측정 서브시스템(340)은 생성된 데이터를 시스템 컨트롤러(328)에 송신할 수 있다. 기판 측정 서브시스템(340)으로부터 데이터를 수신하는 것에 응답하여, 시스템 컨트롤러(328)는 데이터를 데이터 저장소(350)에 저장할 수 있다.
[0057] 도 4는 본 개시내용의 양태들에 따른 기판 측정 서브시스템(400)의 개략적인 측단면도이다. 기판 측정 서브시스템(400)은 처리 챔버에서의 기판(302)의 처리 이전에 또는 이후에 도 3의 기판(302)과 같은 기판의 하나 이상의 부분들에 대한 측정들을 획득하도록 구성될 수 있다. 기판 측정 서브시스템(400)은 기판(302)의 일부와 연관된 데이터(예를 들어, 스펙트럼 데이터, 비-스펙트럼 데이터 등)를 생성함으로써 기판(302)의 일부에 대한 스펙트럼 측정들을 획득할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(400)은 기판(302)과 연관된 스펙트럼 데이터, 비-스펙트럼 데이터, 포지션 데이터, 및/또는 다른 속성 데이터를 생성하도록 구성될 수 있다. 기판 측정 서브시스템(400)은 기판(302)의 일부와 연관된 데이터를 생성하기 위한 하나 이상의 명령어들을 실행하도록 구성된 컨트롤러(430)를 포함할 수 있다.
[0058] 기판 측정 서브시스템(400)은 기판(302)이 기판 측정 서브시스템(400)에 이송된 것을 검출할 수 있다. 기판(302)이 기판 측정 서브시스템(400)에 이송된 것을 검출하는 것에 응답하여, 기판 측정 서브시스템(400)은 기판(302)의 포지션 및/또는 배향을 결정할 수 있다. 기판(302)의 포지션 및/또는 배향은 기판(302)의 기준 로케이션의 식별에 기초하여 결정될 수 있다. 기준 로케이션은 기판(302)의 특정 부분과 연관되는 식별 특징을 포함하는 기판(302)의 일부일 수 있다. 컨트롤러(328)는 기판(302)에 대한 결정된 식별 정보에 기초하여 기판(302)의 특정 부분과 연관된 식별 특징을 결정할 수 있다.
[0059] 컨트롤러(430)는 기판(302)에 대한 이미지 데이터를 캡처하도록 구성된 하나 이상의 카메라 컴포넌트들(450)을 사용하여 기판(302)에 대한 기준 로케이션을 식별할 수 있다. 카메라 컴포넌트들(450)은 기판(302)의 하나 이상의 부분들에 대한 이미지 데이터를 생성하고, 이미지 데이터를 컨트롤러(430)에 송신할 수 있다. 컨트롤러(430)는 기판(302)에 대한 기준 로케이션과 연관된 식별 특징을 식별하기 위해 이미지 데이터를 분석할 수 있다. 컨트롤러(430)는, 기판(302)의 식별된 식별 특징에 기초하여, 이미지 데이터에 묘사된 바와 같은 기판(302)의 포지션 및/또는 배향을 추가로 결정할 수 있다. 컨트롤러(430)는 이미지 데이터에 묘사된 바와 같은 기판(302)의 결정된 포지션 및/또는 배향 및 기판(302)의 식별된 식별 특징에 기초하여 기판(302)의 포지션 및/또는 배향을 결정할 수 있다. 기판(302)의 포지션 및/또는 배향을 결정하는 것에 응답하여, 컨트롤러(430)는 기판(302)의 하나 이상의 부분들과 연관된 포지션 데이터를 생성할 수 있다. 일부 실시예들에서, 포지션 데이터는 기판(302)의 일부와 각각 연관된 하나 이상의 좌표들(예를 들어, 데카르트 좌표들, 극 좌표들 등)를 포함할 수 있고, 각각의 좌표는 기판(302)에 대한 기준 로케이션으로부터의 거리에 기초하여 결정된다.
[0060] 기판 측정 서브시스템(400)은 기판(302)을 측정하기 위한 하나 이상의 측정 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(400)은 기판(302)의 하나 이상의 부분들에 대한 스펙트럼 데이터를 생성하도록 구성된 하나 이상의 스펙트럼 감지 컴포넌트들(420)을 포함할 수 있다. 앞에서 논의된 바와 같이, 스펙트럼 데이터는 검출된 파(wave)의 각각의 파장에 대한 검출된 에너지 파의 강도(즉, 에너지의 세기 또는 양)에 대응할 수 있다. 수집된 스펙트럼 데이터에 관한 추가 상세들은 도 5와 관련하여 제공된다.
[0061] 스펙트럼 감지 컴포넌트(420)는 기판(302)의 일부로부터 반사된 에너지의 파들을 검출하고, 검출된 파들과 연관된 스펙트럼 데이터를 생성하도록 구성될 수 있다. 스펙트럼 감지 컴포넌트(420)는 파 생성기(422) 및 반사파 수신기(424)를 포함할 수 있다. 일부 실시예들에서, 파 생성기(422)는 기판(302)의 일부를 향해 광의 빔을 생성하도록 구성된 광파 생성기일 수 있다. 그러한 실시예들에서, 반사파 수신기(424)는 기판(302)의 일부로부터 반사된 광 빔을 수신하도록 구성될 수 있다. 파 생성기(422)는 에너지 스트림(426)(예를 들어, 광 빔)을 생성하고, 에너지 스트림(426)을 기판(302)의 일부에 송신하도록 구성될 수 있다. 반사 에너지 파(428)는 기판(302)의 일부로부터 반사되고, 반사파 수신기(424)에 의해 수신될 수 있다. 도 3a는 기판(302)의 표면으로부터 반사된 단일 에너지 파를 예시하지만, 다수의 에너지 파들이 기판(302)의 표면으로부터 반사되고, 반사파 수신기(424)에 의해 수신될 수 있다.
[0062] 반사파 수신기(424)가 기판(302)의 일부로부터 반사 에너지 파(428)를 수신하는 것에 응답하여, 스펙트럼 감지 컴포넌트(420)는 반사 에너지 파(428)에 포함된 각각의 파의 파장을 측정할 수 있다. 스펙트럼 감지 컴포넌트(420)는 각각의 측정된 파장의 강도를 더 측정할 수 있다. 각각의 파장 및 각각의 파장 강도를 측정하는 것에 응답하여, 스펙트럼 감지 컴포넌트(420)는 기판(302)의 일부에 대한 스펙트럼 데이터를 생성할 수 있다. 스펙트럼 감지 컴포넌트(420)는 생성된 스펙트럼 데이터를 컨트롤러(430)에 송신할 수 있다. 컨트롤러(430)는 생성된 스펙트럼 데이터를 수신하는 것에 응답하여, 수신된 스펙트럼 데이터와 기판(302)의 측정된 부분에 대한 포지션 데이터 사이의 매핑을 생성할 수 있다.
[0063] 기판 측정 서브시스템(400)은 기판 측정 서브시스템(400)에서 획득될 측정의 유형에 기초하여 특정 유형의 스펙트럼 데이터를 생성하도록 구성될 수 있다. 일부 실시예들에서, 스펙트럼 감지 컴포넌트(420)는 한 유형의 스펙트럼 데이터를 생성하도록 구성되는 제1 스펙트럼 감지 컴포넌트일 수 있다. 예를 들어, 스펙트럼 감지 컴포넌트(420)는 반사계측 스펙트럼 데이터, 타원계측 스펙트럼 데이터, 하이퍼스펙트럼 이미징 데이터, 화학 이미징 데이터, 열 스펙트럼 데이터, 또는 전도성 스펙트럼 데이터를 생성하도록 구성될 수 있다. 그러한 실시예들에서, 제1 스펙트럼 감지 컴포넌트는 기판 측정 서브시스템(400)으로부터 제거되고, 상이한 유형의 스펙트럼 데이터(예를 들어, 반사계측 스펙트럼 데이터, 타원계측 스펙트럼 데이터, 하이퍼스펙트럼 이미징 데이터, 화학 이미징 데이터, 와전류 스펙트럼 데이터, 열 스펙트럼 데이터, 또는 전도성 스펙트럼 데이터)를 생성하도록 구성되는 제2 스펙트럼 감지 컴포넌트로 대체될 수 있다.
[0064] 일부 실시예들에서, 스펙트럼 감지 컴포넌트(420)와 같은 하나 이상의 측정 컴포넌트들은 기판 측정 서브시스템(400) 내의 고정 컴포넌트들일 수 있다. 그러한 실시예들에서, 기판 측정 서브시스템(400)은 스펙트럼 감지 컴포넌트(420)에 대한 기판(302)의 포지션 및/또는 배향을 수정하도록 구성된 하나 이상의 포지션 컴포넌트들(440)을 포함할 수 있다. 일부 실시예들에서, 포지션 컴포넌트들(440)은 기판(302)을 스펙트럼 감지 컴포넌트(420)에 대하여 제1 축 및/또는 제2 축을 따라 병진시키도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 포지션 컴포넌트들(440)은 기판(302)을 스펙트럼 감지 컴포넌트(420)에 대하여 제3 축을 중심으로 회전시키도록 구성될 수 있다.
[0065] 스펙트럼 감지 컴포넌트(420)가 기판(302)의 하나 이상의 부분들에 대한 스펙트럼 데이터를 생성함에 따라, 포지션 컴포넌트들(440)은 기판(302)에 대해 측정될 하나 이상의 결정된 부분들에 따라 기판(302)의 포지션 및/또는 배향을 수정할 수 있다. 예를 들어, 스펙트럼 감지 컴포넌트(420)가 기판(302)에 대한 스펙트럼 데이터를 생성하기 전에, 포지션 컴포넌트들(440)은 기판(302)을 데카르트 좌표 (0, 0)에 포지셔닝할 수 있고, 스펙트럼 감지 컴포넌트(420)는 데카르트 좌표 (0, 0)의 기판(302)에 대한 제1 스펙트럼 데이터를 생성할 수 있다. 스펙트럼 감지 컴포넌트(420)가 데카르트 좌표 (0, 0)의 기판(302)에 대한 제1 스펙트럼 데이터를 생성하는 것에 응답하여, 포지션 컴포넌트들(440)은 기판(302)을 제1 축을 따라 병진시킬 수 있으며, 따라서 스펙트럼 감지 컴포넌트(420)는 데카르트 좌표 (0, 1)의 기판(302)에 대한 제2 스펙트럼 데이터를 생성하도록 구성된다. 스펙트럼 감지 컴포넌트(420)가 데카르트 좌표 (0, 1)의 기판(302)에 대한 제2 스펙트럼 데이터를 생성하는 것에 응답하여, 컨트롤러(430)는 기판(302)을 제2 축을 따라 회전시킬 수 있고, 따라서 스펙트럼 감지 컴포넌트(420)는 데카르트 좌표 (1, 1)의 기판(302)에 대한 제3 스펙트럼 데이터를 생성하도록 구성된다. 이 프로세스는 기판(302)의 각각의 결정된 부분에 대해 스펙트럼 데이터가 생성될 때까지 여러 번 발생할 수 있다.
[0066] 일부 실시예들에서, 재료의 하나 이상의 층들(412)은 기판(302)의 표면 상에 포함될 수 있다. 하나 이상의 층들(412)은 에칭 재료, 포토레지스트 재료, 마스크 재료, 증착된 재료 등을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 층들(412)은 처리 챔버에서 수행되는 에칭 프로세스에 따라 에칭될 에칭 재료를 포함할 수 있다. 그러한 실시예들에서, 이전에 개시된 실시예들에 따라, 기판(302) 상에 증착된 층(412)의 에칭되지 않은 에칭 재료의 하나 이상의 부분들에 대해 스펙트럼 데이터가 수집될 수 있다. 다른 또는 유사한 실시예들에서, 하나 이상의 층들(412)은 처리 챔버에서의 에칭 프로세스에 따라 이미 에칭된 에칭 재료를 포함할 수 있다. 그러한 실시예들에서, 하나 이상의 구조적 피처들(예를 들어, 라인들, 열들, 개구들 등)이 기판(302)의 하나 이상의 층들(412) 내에 에칭될 수 있다. 그러한 실시예들에서, 기판(302)의 하나 이상의 층들(412) 내에 에칭된 하나 이상의 구조적 피처들에 대해 스펙트럼 데이터가 수집될 수 있다.
[0067] 기판(302)에 대한 스펙트럼 데이터, 포지션 데이터 또는 속성 데이터 중 적어도 하나를 수신하는 것에 응답하여, 컨트롤러(430)는 본 명세서에 설명된 실시예에 따라 처리 및 분석을 위해 시스템 컨트롤러(328)에 수신된 데이터를 송신할 수 있다.
[0068] 도 5는 본 개시내용의 양태들에 따른 기판에 대해 수집된 스펙트럼 데이터(500)를 예시한다. 스펙트럼 데이터는 본 개시내용의 양태들에 따른 도 4의 기판 측정 서브시스템(400) 또는 도 3의 처리 챔버(314, 316, 318)와 같은 처리 챔버의 센서에 의해 수신된 반사 에너지로부터 생성될 수 있다. 예시된 바와 같이, 기판 측정 서브시스템(400)에 의해 수신된 반사 에너지 파들에는 다수의 파장들이 포함될 수 있다. 각각의 반사 에너지 파는 기판(302)의 상이한 부분과 연관될 수 있다. 일부 실시예들에서, 기판 측정 서브시스템(400)에 의해 수신된 각각의 반사 에너지 파에 대해 강도가 측정될 수 있다. 도 5에 도시된 바와 같이, 각각의 강도는 기판 측정 서브시스템(400)에 의해 수신된 반사 에너지 파들의 각각의 파장에 대해 측정될 수 있다. 각각의 강도와 각각의 파장 사이의 연관은 스펙트럼 데이터(500)의 형성을 위한 기초일 수 있다. 일부 실시예들에서, 하나 이상의 파장들은 강도 값들의 예상 범위 밖에 있는 강도 값과 연관될 수 있다. 예를 들어, 라인(510)은 라인들(520)에 의해 예시된 바와 같이 강도 값들의 예상 범위 밖에 있는 강도 값과 연관될 수 있다. 그러한 실시예들에서, 강도 값들의 예상 범위 밖에 있는 강도 값은 기판(302)의 일부에 결함이 존재한다는 표시일 수 있다. 이전에 설명된 실시예들에 따라, 기판(302)의 일부에서의 결함의 표시에 기초하여 기판(302)에 대한 프로세스 레시피에 대한 수정이 이루어질 수 있다.
[0069] 도 6은 본 개시내용의 양태들에 따른 기계 학습 모델을 사용하여 기판의 프로파일에 대한 계측 값을 추정하기 위한 방법(600)의 흐름도이다. 방법(600)은 하드웨어(회로, 전용 로직 등), (예를 들어, 범용 컴퓨터 시스템 또는 전용 기계 상에서 실행되는) 소프트웨어, 펌웨어, 또는 이들의 소정 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일부 실시예들에서, 방법(600)은 도 1의 예측 서버(112) 및 트레이닝된 기계 학습 모델(190)을 사용하여 수행될 수 있다. 다른 또는 유사한 실시예들에서, 도 6의 하나 이상의 블록들은 도 1에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다.
[0070] 블록(610)에서, 처리 로직은 제조 시스템에서 처리되고 있는 기판에 대한 스펙트럼 데이터를 수신한다. 일부 실시예들에서, 스펙트럼 데이터는 이전에 설명된 실시예들에 따라 기판 측정 서브시스템, 또는 제조 시스템의 다른 부분으로부터 수신될 수 있다.
[0071] 블록(620)에서, 처리 로직은 트레이닝된 기계 학습 모델에 대한 입력으로서 기판에 대한 스펙트럼 데이터를 제공한다. 블록(630)에서, 처리 로직은 기계 학습 모델로부터 출력들을 획득한다. 블록(640)에서, 처리 로직은 블록(630)에서 획득된 출력들로부터 신뢰도 데이터를 추출한다. 일부 실시예들에서, 신뢰도 데이터는 기판의 프로파일이 계측 값과 연관된다는 신뢰도 레벨을 포함한다. 일례에서, 신뢰도 레벨은 0 내지 1의 실수(0 및 1 포함)이다. 신뢰도 레벨은 확률이 아닐 수 있다는 점에 유의해야 한다. 예를 들어, 모든 계측 값들에 대한 신뢰 레벨들의 합은 1과 동일하지 않을 수 있다.
[0072] 블록(650)에서, 처리 로직은 신뢰도 데이터를 사용하여 제조 시스템에서 처리되고 있는 기판에 대한 계측 값을 추정한다. 일부 실시예들에서, 계측 값에 대한 신뢰도 레벨이 임계 조건을 충족시키면, 기판은 계측 값과 연관된 것으로서 식별된다. 블록(660)에서, 처리 로직은 옵션으로서, 추정된 계측 값의 표시를 제조 시스템의 사용자에게 제공한다.
[0073] 일부 실시예들에서, 제조 시스템의 부분들에 포함된 하나 이상의 센서들은 제조 시스템의 다른 부분에 포함된 센서들과 동일한 유형 또는 유사한 유형의 센서들일 수 있다. 예를 들어, 기판에 대한 스펙트럼 데이터를 생성하도록 구성되는, 기판 측정 서브시스템에 포함된 하나 이상의 센서들은 기판에 대한 스펙트럼 데이터를 생성하도록 또한 구성되는, 처리 챔버에 포함된 동일한 유형 또는 유사한 유형의 센서들이다. 그러한 실시예들에서, 기계 학습 모델은 이전에 설명된 실시예들에 따라 기판 측정 서브시스템 또는 처리 챔버 내의 센서들에 대해 생성된 스펙트럼 데이터를 사용하여 트레이닝될 수 있다. 기판 측정 서브시스템 또는 처리 챔버로부터 수집된 스펙트럼 데이터는 트레이닝된 기계 학습 모델에 대한 트레이닝 입력들로서 사용될 수 있다. 트레이닝된 기계 학습 모델로부터의 출력들은 이전에 설명된 실시예들에 따라 기판과 연관된 계측 측정 값을 추출하기 위해 사용될 수 있다. 따라서, 일부 실시예들에서, 기판 측정 서브시스템으로부터 수집된 스펙트럼 데이터를 사용하여 트레이닝된 기계 학습 모델은 처리 챔버로부터 획득된 입력 스펙트럼 데이터를 사용하여 계측 측정 값들을 결정하기 위해 사용될 수 있다.
[0074] 도 7a 내지 도 7c는 본 개시내용의 양태들에 따른 기판의 일부에 대한 계측 측정의 표시를 제공하기 위한 예시적인 GUI(700)를 예시한다. 일부 실시예들에서, GUI(700)는 제조 시스템의 클라이언트 디바이스를 통해 제조 시스템의 사용자에게 디스플레이될 수 있다.
[0075] GUI(700)는 하나 이상의 상호작용 컴포넌트들을 디스플레이하는 제1 부분(710)을 포함할 수 있다. 제1 부분(710)은 사용자가 제조 시스템의 처리 챔버의 식별자를 선택하는 것을 가능하게 하는 챔버 선택 컴포넌트를 포함할 수 있다. 선택된 챔버에서 처리되는 기판에 대한 데이터는 처리 챔버 식별자가 선택되는 것에 응답하여 GUI(700)의 다른 부분들을 통해 디스플레이될 수 있다. 일부 실시예들에서, 챔버 선택 컴포넌트는 사용자 선택을 위해 이용가능한 제조 시스템의 하나 이상의 처리 챔버들의 목록을 제공하는 드롭다운 메뉴를 포함할 수 있다. 다른 또는 유사한 실시예들에서, 챔버 선택 컴포넌트는 처리 챔버 식별자의 사용자 선택을 용이하게 할 수 있는 임의의 다른 유형의 컴포넌트를 포함할 수 있다.
[0076] 제1 부분(710)은 사용자가 기판 프로세스 레시피의 동작의 식별자를 선택하는 것을 가능하게 하는 레시피 선택 컴포넌트를 더 포함할 수 있다. 프로세스 레시피의 선택된 동작과 연관된 데이터는 동작 식별자가 선택되는 것에 응답하여 GUI(700)의 다른 부분들을 통해 디스플레이될 수 있다. 일부 실시예들에서, 레시피 선택 컴포넌트는 사용자 선택을 위해 이용가능한 하나 이상의 프로세스 레시피 동작들의 목록을 제공하는 드롭다운 메뉴를 포함할 수 있다. 다른 또는 유사한 실시예들에서, 레시피 선택 컴포넌트는 동작 식별자의 사용자 선택을 용이하게 할 수 있는 임의의 다른 유형의 컴포넌트를 포함할 수 있다.
[0077] 제1 부분(710)은 사용자가 제조 시스템에서 수행되는 프로세스에 대한 기간을 선택할 수 있게 하는 기간 선택 컴포넌트를 더 포함할 수 있다. 선택된 기간 내에 제조 시스템에서 처리되는 기판과 연관된 데이터는 기간이 선택되는 것에 응답하여 GUI(700)의 다른 부분들을 통해 디스플레이될 수 있다. 일부 실시예들에서, 기간 선택 컴포넌트는 기판 프로세스가 제조 시스템에서 수행되었던 소정 날짜 및/또는 시간을 표시하는 캘린더를 제공하는 캘린더 컴포넌트를 포함할 수 있다. 제조 시스템의 사용자는 GUI(700)의 제1 부분(710)의 기간 선택 컴포넌트를 통해 제1 날짜 및/또는 시간과 제2 날짜 및/또는 시간을 선택할 수 있다. 선택된 제1 날짜 및/또는 시간과 선택된 제2 날짜 및/또는 시간은 선택된 기간을 정의할 수 있다. 다른 또는 유사한 실시예들에서, 기간 선택 컴포넌트는 기간의 사용자 선택을 용이하게 할 수 있는 임의의 다른 유형의 컴포넌트를 포함할 수 있다.
[0078] 제1 부분(710)은 사용자가 제조 시스템에서 수행되는 프로세스와 연관된 추가 설정들을 선택하거나 제공할 수 있게 하는 하나 이상의 추가 컴포넌트들을 더 포함할 수 있다. 예를 들어, 제1 부분(710)은 사용자가 프로세스와 연관된 하위 제어 한계 및/또는 상위 제어 한계를 제공할 수 있게 하는 하위 제어 한계 컴포넌트 및/또는 상위 제어 한계 컴포넌트를 포함할 수 있다. 다른 예에서, 제1 부분(710)은 사용자가 프로세스와 연관된 임계치를 제공할 수 있게 하는 임계치 컴포넌트를 포함할 수 있다. 사용자가 프로세스와 연관된 추가 설정들을 선택하거나 제공하는 것을 용이하게 할 수 있는 임의의 다른 유형의 컴포넌트가 제1 부분(710)에 포함될 수 있다.
[0079] GUI(700)는 제조 시스템에서 처리된 기판과 연관된 계측 데이터를 제공하는 제2 부분(712)을 더 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 제조 시스템에서 처리된 2개 이상의 기판들과 연관될 수 있다. 그러한 실시예들에서, 계측 데이터는 도 7과 관련하여 도시된 그래프와 같은 그래픽 형태로 디스플레이될 수 있다. 다른 또는 유사한 실시예들에서, 계측 데이터는 계측 데이터를 디스플레이하기에 적합한 임의의 다른 형태로 디스플레이될 수 있다.
[0080] GUI(700)는 제조 시스템에서 처리된 기판과 연관된 윤곽 맵을 제공하는 제2 부분(714)을 더 포함할 수 있다. 윤곽 맵은 기판의 일부에 대한 하나 이상의 계측 측정들의 시각적 표시를 사용자에게 제공할 수 있다. 예를 들어, 윤곽 맵은 기판과 연관된 막 두께 또는 에칭 레이트의 시각적 표시를 사용자에게 제공할 수 있다.
[0081] 일부 실시예들에서, 다양한 유형들의 기판들이 제조 시스템에서 처리될 수 있다. 예를 들어, 블랭킷 웨이퍼 또는 패터닝된 웨이퍼가 제조 시스템에서 처리될 수 있다. GUI(700)는 제조 시스템에서 처리된 각각의 상이한 유형의 기판과 연관된 데이터를 디스플레이하기 위해 하나 이상의 윈도우들을 제공할 수 있다. GUI(700)의 제3 부분은 GUI(700)를 통해 디스플레이된 상이한 윈도우들 사이의 전환을 용이하게 하는 윈도우 선택기(716)를 포함할 수 있다. 사용자는 기판의 유형과 연관된 상이한 윈도우가 GUI(700)를 통해 디스플레이되게 하기 위해 윈도우 선택기(716)를 통해 옵션을 선택할 수 있다. 예를 들어, 블랭킷 웨이퍼와 연관된 데이터는 도 7a에 예시된 바와 같이 사용자가 윈도우 선택기(716)의 "블랭킷" 옵션을 선택하는 것에 응답하여 GUI(700)를 통해 디스플레이될 수 있다. 패터닝된 웨이퍼와 연관된 데이터는 사용자가 윈도우 선택기(716)의 "패터닝된" 옵션을 선택하는 것에 응답하여 GUI(700)를 통해 디스플레이될 수 있다.
[0082] 일부 실시예들에서, 윈도우 선택기(716)의 선택된 옵션에 따라, 상이한 유형들의 계측 데이터가 GUI(700)를 통해 사용자에게 디스플레이될 수 있다. 도 7b에 예시된 바와 같이, 사용자가 윈도우 선택기(716)의 "패터닝된" 옵션을 선택하는 것에 응답하여, 제조 시스템에서 처리되는 하나 이상의 패터닝된 웨이퍼들과 연관된 데이터가 제공된다. 일부 실시예들에서, 사용자가 윈도우 선택기(716)의 "패터닝된" 옵션을 선택하는 것에 응답하여, 하나 이상의 기판들의 임계 치수(CD 인덱스로 지칭됨)와 연관된 데이터가 GUI(700)의 제2 부분(712)을 통해 디스플레이될 수 있다. 다른 또는 유사한 실시예들에서, 다른 계측 측정과 연관된 데이터(예를 들어, 에칭 레이트, 에칭 레이트 균일성, 임계 치수 균일성, 에지 대 에지 배치 에러 등)가 812의 제2 부분을 통해 디스플레이될 수 있다.
[0083] 일부 실시예들에서, 사용자는 윈도우 선택기(716)의 "원시 데이터" 옵션을 선택함으로써 GUI(700)의 하나 이상의 컴포넌트들(예를 들어, 제2 부분(712)에 제공된 그래프)을 생성하기 위해 사용되는 데이터를 볼 수 있다. 도 7c에 예시된 바와 같이, 사용자가 윈도우 선택기(716)의 "원시 데이터" 옵션을 선택하는 것에 응답하여, 제조 시스템에서 처리되는 하나 이상의 기판들과 연관된 원시 데이터(720)가 제공될 수 있다. 원시 데이터(720)는 기판에 대해 측정이 생성된 때의 타임스탬프, 기판을 포함하는 로트(lot)의 식별자, 기판의 식별자, 기판에 대한 프로세스 레시피의 동작, 프로세스 레시피의 루프(즉, 2개 이상의 반복 동작들)의 식별자, 기판과 연관된 포지션 데이터, 및 기판의 모델 두께를 포함할 수 있다.
[0084] 일부 실시예들에서, GUI(700)의 제1 부분(710)은 GUI(700)를 통해 제공되는 윈도우에 관계없이 디스플레이될 수 있다. 다른 또는 유사한 실시예들에서, 제1 부분(710)은 GUI(700)에 의해 제공되는 다양한 윈도우들에 대해 디스플레이되지 않을 수 있다.
[0085] 도 8은 본 개시내용의 하나 이상의 양태들에 따라 동작하는 예시적인 컴퓨터 시스템(800)의 블록도를 묘사한다. 대안적 실시예들에서, 기계는 근거리 네트워크(LAN), 인트라넷, 엑스트라넷, 또는 인터넷에서 다른 기계들에 접속(예를 들어, 네트워킹)될 수 있다. 기계는 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계의 자격으로, 또는 피어-투-피어(또는 분산형) 네트워크 환경에서 피어 기계로서 동작할 수 있다. 기계는 개인용 컴퓨터(PC), 태블릿 컴퓨터, 셋톱 박스(STB), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 기구, 서버, 네트워크 라우터, 스위치 또는 브릿지, 또는 해당 기계에 의해 취해질 액션들을 지정하는 (순차적인 또는 다른 방식의) 명령어들의 세트를 실행할 수 있는 임의의 기계일 수 있다. 또한, 단일 기계만이 예시되지만, 용어 "기계"은 또한 본 명세서에서 논의된 방법론들 중 임의의 하나 이상을 수행하기 위해 명령어들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 기계들(예를 들어, 컴퓨터들)의 임의의 집합을 포함하는 것으로 간주되어야 한다. 실시예들에서, 컴퓨팅 디바이스(1100)는 도 3의 시스템 컨트롤러(328) 또는 도 4의 컨트롤러(430)에 대응할 수 있다.
[0086] 예시적인 컴퓨팅 디바이스(800)는 버스(808)를 통해 서로 통신하는 처리 디바이스(802), 메인 메모리(804)(예를 들어, 판독 전용 메모리(ROM), 플래시 메모리, 동기식 DRAM(SDRAM)과 같은 동적 랜덤 액세스 메모리(DRAM) 등), 정적 메모리(806)(예를 들어, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 및 보조 메모리(예를 들어, 데이터 저장 디바이스(828))를 포함한다.
[0087] 처리 디바이스(802)는 마이크로프로세서, 중앙 처리 유닛 등과 같은 하나 이상의 범용 프로세서들을 나타낼 수 있다. 보다 상세하게는, 처리 디바이스(802)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령어 세트들을 구현하는 프로세서, 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 처리 디바이스(802)는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 처리 디바이스들일 수 있다. 처리 디바이스(802)는 또한 SoC(system on a chip), PLC(programmable logic controller), 또는 다른 유형의 처리 디바이스일 수 있거나 이를 포함할 수 있다. 처리 디바이스(802)는 본 명세서에서 논의된 동작들 및 단계들을 수행하기 위한 처리 로직을 실행하도록 구성된다.
[0088] 컴퓨팅 디바이스(800)는 네트워크(864)와 통신하기 위한 네트워크 인터페이스 디바이스(822)를 더 포함할 수 있다. 컴퓨팅 디바이스(800)는 또한 비디오 디스플레이 유닛(810)(예를 들어, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 영숫자 입력 디바이스(812)(예를 들어, 키보드), 커서 제어 디바이스(814)(예를 들어, 마우스) 및 신호 생성 디바이스(820)(예를 들어, 스피커)를 포함할 수 있다.
[0089] 데이터 저장 디바이스(828)는 본 명세서에 설명된 방법론들 또는 기능들 중 임의의 하나 이상을 구현하는 명령어들(826)의 하나 이상의 세트들이 저장되는 기계 판독가능 저장 매체(또는 보다 구체적으로는 비일시적 컴퓨터 판독가능 저장 매체)(824)를 포함할 수 있다. 여기서, 비일시적 저장 매체는 반송파 이외의 저장 매체를 지칭한다. 명령어들(826)은 또한 컴퓨터 디바이스(800)에 의한 그들의 실행 동안 메인 메모리(804) 내에 그리고/또는 처리 디바이스(802) 내에 완전히 또는 적어도 부분적으로 상주할 수 있고, 메인 메모리(804) 및 처리 디바이스(802)는 또한 컴퓨터 판독가능 저장 매체들을 구성한다.
[0090] 컴퓨터 판독가능 저장 매체(824)는 또한 모델(190) 및 모델(190)을 트레이닝하기 위해 사용되는 데이터를 저장하기 위해 사용될 수 있다. 컴퓨터 판독가능 저장 매체(824)는 또한 모델(190)을 호출하는 방법들을 포함하는 소프트웨어 라이브러리를 저장할 수 있다. 컴퓨터 판독가능 저장 매체(824)는 예시적인 실시예에서 단일 매체인 것으로 도시되지만, 용어 "컴퓨터 판독가능 저장 매체"는 명령어들의 하나 이상의 세트들을 저장하는 단일 매체 또는 다수의 매체들(예를 들어, 중앙집중형 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)를 포함하는 것으로 간주되어야 한다. 용어 "컴퓨터 판독가능 저장 매체"는 또한 기계에 의한 실행을 위해 명령어들의 세트를 저장 또는 인코딩할 수 있고 기계로 하여금 본 개시내용의 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하는 것으로 간주되어야 한다. 따라서, 용어 "컴퓨터 판독가능 저장 매체"는 솔리드 스테이트 메모리들, 및 광학 및 자기 매체들을 포함하지만 이들로 제한되지 않는 것으로 간주되어야 한다.
[0091] 전술한 설명은 본 개시내용의 여러 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 상세들을 제시하고 있다. 그러나, 본 개시내용의 적어도 일부 실시예들은 이러한 특정 상세들 없이 실시될 수 있다는 것이 이 분야의 기술자에게 명백할 것이다. 다른 경우들에서, 공지된 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 회피하기 위해 상세히 설명되지 않거나 간단한 블록도 포맷으로 제시된다. 따라서, 제시된 특정 상세들은 단지 예시적이다. 특정 구현들은 이러한 예시적인 상세들과 다를 수 있고, 여전히 본 개시내용의 범위 내에 있는 것으로 간주될 수 있다.
[0092] 본 명세서 전체에 걸쳐 "하나의 실시예" 또는 "일 실시예"에 대한 언급은 그 실시예와 관련하여 설명된 특정 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서의 "하나의 실시예에서" 또는 "일 실시예에서"라는 문구의 출현들은 반드시 모두 동일한 실시예를 언급하는 것은 아니다. 또한, "또는"이라는 용어는 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. 본 명세서에서 "약" 또는 "대략"이라는 용어가 사용될 때, 이것은 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[0093] 본 명세서의 방법들의 동작들이 특정 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는 소정 동작들이 역순으로 수행될 수 있도록, 소정 동작들이 적어도 부분적으로 다른 동작들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령어들 또는 하위 동작들은 간헐적인 그리고/또는 교대하는 방식으로 이루어질 수 있다.
[0094] 위의 설명은 한정이 아니라 예시적인 것을 의도한다는 것을 이해한다. 위의 설명을 읽고 이해하면 많은 다른 실시예들이 이 분야의 기술자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는 첨부된 청구항들과 함께 그러한 청구항들이 권리를 갖는 균등물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (20)

  1. 제조 시스템에서 처리되고 있는 현재 기판의 계측 측정들을 예측하도록 기계 학습 모델을 트레이닝하기 위한 방법으로서,
    상기 기계 학습 모델에 대한 트레이닝 데이터를 생성하는 단계 ― 상기 트레이닝 데이터를 생성하는 단계는,
    상기 제조 시스템에서 이전에 처리된 이전 기판의 일부와 연관된 이력 스펙트럼 데이터 또는 이력 비-스펙트럼 데이터 중 적어도 하나를 포함하는 제1 트레이닝 입력을 생성하는 단계; 및
    상기 제1 트레이닝 입력에 대한 제1 타깃 출력을 생성하는 단계를 포함하고, 상기 제1 타깃 출력은 상기 제조 시스템에서 이전에 처리된 상기 이전 기판과 연관된 이력 계측 측정들을 포함함 ―; 및
    (i) 상기 제1 트레이닝 입력을 포함하는 트레이닝 입력들의 세트 및 (ii) 상기 제1 타깃 출력을 포함하는 타깃 출력들의 세트에 대해 상기 기계 학습 모델을 트레이닝하기 위해 상기 트레이닝 데이터를 제공하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1 트레이닝 입력을 생성하는 단계는,
    상기 제조 시스템의 기판 측정 서브시스템으로부터, 상기 이전 기판의 상기 일부에 대한 측정들의 제1 세트를 수신하는 단계를 포함하고, 상기 측정들의 제1 세트는 상기 이전 기판의 상기 일부에 대한 상기 이력 스펙트럼 데이터 또는 상기 이력 비-스펙트럼 데이터 중 상기 적어도 하나를 포함하고, 상기 제1 트레이닝 입력은 상기 이전 기판의 상기 일부에 대한 상기 수신된 측정들의 제1 세트에 기초하여 생성되는, 방법.
  3. 제1항에 있어서, 상기 제1 타깃 출력을 생성하는 단계는,
    상기 제조 시스템에 통신가능하게 결합된 계측 시스템으로부터, 상기 제조 시스템에서 이전에 처리된 상기 이전 기판과 연관된 상기 이력 계측 측정들을 수신하는 단계를 포함하고, 상기 제1 타깃 출력은 상기 수신된 이력 계측 측정들에 기초하여 생성되는, 방법.
  4. 제1항에 있어서, 상기 제1 타깃 출력을 생성하는 단계는,
    상기 제조 시스템의 클라이언트 디바이스로부터, 상기 제조 시스템에서 이전에 처리된 상기 이전 기판과 연관된 상기 이력 계측 측정들을 수신하는 단계를 포함하고, 상기 제1 타깃 출력은 상기 수신된 이력 계측 측정들에 기초하여 생성되는, 방법.
  5. 제1항에 있어서,
    상기 이력 스펙트럼 데이터 또는 상기 이력 비-스펙트럼 데이터 중 상기 적어도 하나와 연관된 상기 이전 기판의 상기 일부를 표시하는 이력 포지션 데이터를 포함하는 제2 트레이닝 입력을 생성하는 단계를 더 포함하고, 상기 트레이닝 입력들의 세트는 상기 제2 트레이닝 입력을 더 포함하는, 방법.
  6. 제5항에 있어서, 상기 제2 트레이닝 입력을 생성하는 단계는,
    기판 측정 서브시스템으로부터 상기 이전 기판의 상기 일부에 대한 측정들의 제1 세트를 수신하는 단계를 포함하고, 상기 측정들의 제1 세트는 상기 이전 기판의 상기 일부에 대한 상기 이력 스펙트럼 데이터 또는 상기 이력 비-스펙트럼 데이터 중 상기 적어도 하나 및 상기 이력 스펙트럼 데이터 또는 상기 이력 비-스펙트럼 데이터 중 상기 적어도 하나와 연관된 상기 이전 기판의 상기 일부를 표시하는 상기 이력 포지션 데이터를 포함하고, 상기 제1 트레이닝 입력은 상기 이전 기판의 상기 일부에 대한 상기 수신된 측정들의 제1 세트에 기초하여 생성되는, 방법.
  7. 제1항에 있어서, 상기 트레이닝 입력들의 세트 중 각각의 트레이닝 입력은 상기 타깃 출력들의 세트 중의 타깃 출력에 매핑되는, 방법.
  8. 제1항에 있어서, 상기 기계 학습 모델은 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 계측 측정의 신뢰도 레벨을 표시하는 하나 이상의 출력들을 생성하도록 구성되는, 방법.
  9. 장치로서,
    트레이닝된 기계 학습 모델을 저장하는 메모리; 및
    상기 메모리에 결합되는 처리 디바이스를 포함하고, 상기 처리 디바이스는,
    상기 트레이닝된 기계 학습 모델에 대한 입력으로서 제조 시스템에서 처리되고 있는 현재 기판과 연관된 스펙트럼 데이터 또는 비-스펙트럼 데이터 중 하나 이상을 제공하고;
    상기 트레이닝된 기계 학습 모델로부터 하나 이상의 출력들을 획득하고; 그리고
    상기 하나 이상의 출력들로부터, 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 계측 측정을 추출하는, 장치.
  10. 제9항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템의 기판 측정 서브시스템으로부터, 상기 제조 시스템에서 처리되고 있는 현재 기판의 일부에 대한 측정들의 세트를 수신하고, 상기 측정들의 세트는 상기 스펙트럼 데이터 또는 상기 비-스펙트럼 데이터 중 상기 하나 이상을 포함하는, 장치.
  11. 제10항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템에서 처리되고 있는 상기 현재 기판과 연관된 상기 스펙트럼 데이터 또는 상기 비-스펙트럼 데이터 중 상기 하나 이상을 이용하여, 상기 트레이닝된 기계 학습 모델에 대한 입력으로서 상기 스펙트럼 데이터 또는 상기 비-스펙트럼 데이터 중 상기 하나 이상과 연관된 상기 기판의 일부를 표시하는 포지션 데이터를 제공하는, 장치.
  12. 제11항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템의 기판 측정 서브시스템으로부터, 상기 제조 시스템에서 처리되고 있는 현재 기판의 일부에 대한 측정들의 세트를 수신하고, 상기 측정들의 세트는 상기 스펙트럼 데이터 또는 상기 비-스펙트럼 데이터 중 상기 하나 이상, 및 상기 스펙트럼 데이터 또는 상기 비-스펙트럼 데이터 중 상기 하나 이상과 연관된 상기 현재 기판의 상기 일부를 표시하는 상기 포지션 데이터를 포함하는, 장치.
  13. 제9항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템의 클라이언트 디바이스를 통해, 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 상기 계측 측정이 그래픽 사용자 인터페이스(GUI)를 통해 상기 제조 시스템의 사용자에게 제공되게 하는, 장치.
  14. 제9항에 있어서, 상기 하나 이상의 출력들은 (i) 상기 제조 시스템에서 처리된 이전 기판에 대한 계측 측정, 및 (ii) 상기 제조 시스템에서 처리되고 있는 상기 현재 기판이 상기 이전 기판에 대한 상기 계측 측정과 연관된다는 신뢰도 레벨을 포함하는, 장치.
  15. 제14항에 있어서, 상기 하나 이상의 출력들로부터, 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 상기 계측 측정을 추출하기 위해, 상기 처리 디바이스는 상기 신뢰도 레벨이 임계 조건을 충족시킨다고 결정하는, 장치.
  16. 제9항에 있어서, 상기 트레이닝된 기계 학습 모델은 입력 및 출력을 포함하는 입력-출력 매핑으로 트레이닝되고, 상기 입력은 상기 제조 시스템에서 이전에 처리된 이전 기판의 표면과 연관된 이력 스펙트럼 데이터 또는 이력 비-스펙트럼 데이터 중 적어도 하나에 기초하고, 상기 출력은 상기 제조 시스템에서 이전에 처리된 상기 이전 기판과 연관된 이력 계측 측정을 식별하는, 장치.
  17. 명령어들을 포함하는 비일시적 컴퓨터 판독가능 저장 매체로서,
    상기 명령어들은 처리 디바이스에 의해 실행될 때 상기 처리 디바이스로 하여금,
    제조 시스템에서 처리되는 현재 기판과 연관된 입력 스펙트럼 데이터 또는 입력 비-스펙트럼 데이터 중 하나 이상을 수신하게 하고;
    트레이닝된 기계 학습 모델을 사용하여 상기 현재 기판과 연관된 상기 입력 스펙트럼 데이터 또는 상기 입력 비-스펙트럼 데이터 중 상기 하나 이상을 처리하게 하고;
    상기 트레이닝된 기계 학습 모델을 사용한, 상기 현재 기판과 연관된 상기 입력 스펙트럼 데이터 또는 상기 입력 비-스펙트럼 데이터 중 상기 하나 이상의 상기 처리에 기초하여, 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 계측 측정을 표시하는 하나 이상의 출력들을 획득하게 하는, 비일시적 컴퓨터 판독가능 저장 매체.
  18. 제17항에 있어서, 상기 입력 스펙트럼 데이터 또는 상기 입력 비-스펙트럼 데이터 중 상기 하나 이상은 상기 제조 시스템의 기판 측정 시스템으로부터 수신되는, 비일시적 컴퓨터 판독가능 저장 매체.
  19. 제17항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템에서 처리되는 상기 현재 기판의 포지션을 표시하는 입력 포지션 데이터를 수신하고, 상기 입력 포지션 데이터는 상기 트레이닝된 기계 학습 모델을 사용하여 상기 입력 스펙트럼 데이터 또는 상기 입력 비-스펙트럼 데이터 중 상기 하나 이상으로 처리되는, 비일시적 컴퓨터 판독가능 저장 매체.
  20. 제17항에 있어서, 상기 처리 디바이스는 추가로,
    상기 제조 시스템의 클라이언트 디바이스를 통해, 상기 제조 시스템에서 처리되고 있는 상기 현재 기판에 대한 상기 계측 측정이 그래픽 사용자 인터페이스(GUI)를 통해 상기 제조 시스템의 사용자에게 제공되게 하는, 비일시적 컴퓨터 판독가능 저장 매체.
KR1020227041747A 2020-07-22 2021-07-21 기계 학습을 사용한 기판 프로파일 속성들의 결정 KR20230005322A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063055244P 2020-07-22 2020-07-22
US63/055,244 2020-07-22
US17/379,707 US20220026817A1 (en) 2020-07-22 2021-07-19 Determining substrate profile properties using machine learning
US17/379,707 2021-07-19
PCT/US2021/042646 WO2022020524A1 (en) 2020-07-22 2021-07-21 Determining substrate profile properties using machine learning

Publications (1)

Publication Number Publication Date
KR20230005322A true KR20230005322A (ko) 2023-01-09

Family

ID=79688153

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227041747A KR20230005322A (ko) 2020-07-22 2021-07-21 기계 학습을 사용한 기판 프로파일 속성들의 결정

Country Status (7)

Country Link
US (1) US20220026817A1 (ko)
EP (1) EP4186012A4 (ko)
JP (1) JP2023535125A (ko)
KR (1) KR20230005322A (ko)
CN (1) CN115699038A (ko)
TW (1) TW202221580A (ko)
WO (1) WO2022020524A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD977504S1 (en) * 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20240071838A1 (en) * 2022-08-24 2024-02-29 Applied Materials, Inc. Substrate placement optimization using substrate measurements
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US10430719B2 (en) * 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US9824176B2 (en) * 2015-07-24 2017-11-21 Nanometrics Incorporated Optical critical dimension target design
KR101910268B1 (ko) * 2017-02-23 2018-10-19 에스케이 주식회사 반도체 gp 예측 방법 및 시스템
US10387755B2 (en) * 2017-06-28 2019-08-20 Applied Materials, Inc. Classification, search and retrieval of semiconductor processing metrology images using deep learning/convolutional neural networks
KR102666904B1 (ko) * 2018-03-13 2024-05-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
WO2019200015A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements

Also Published As

Publication number Publication date
WO2022020524A1 (en) 2022-01-27
CN115699038A (zh) 2023-02-03
TW202221580A (zh) 2022-06-01
EP4186012A4 (en) 2024-08-07
US20220026817A1 (en) 2022-01-27
EP4186012A1 (en) 2023-05-31
JP2023535125A (ja) 2023-08-16

Similar Documents

Publication Publication Date Title
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
US11842910B2 (en) Detecting outliers at a manufacturing system using machine learning
WO2022186987A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US20230236583A1 (en) Chamber component condition estimation using substrate measurements
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230062206A1 (en) Determining substrate profile properties using machine learning
CN116917542A (zh) 使用机器学习控制沉积膜的浓度轮廓
WO2024081764A1 (en) Determining substrate profile properties using machine learning
TW202431026A (zh) 使用機器學習來決定基板輪廓性質
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
US20230350394A1 (en) Run-to-run control at a manufacturing system using machine learning
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
US20230163002A1 (en) Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20240248466A1 (en) Process chamber qualification for maintenance process endpoint detection
TW202425215A (zh) 使用基板量測的基板放置最佳化
TW202425216A (zh) 使用基板測量的基板放置最佳化