KR20240027837A - 무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘 - Google Patents

무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘 Download PDF

Info

Publication number
KR20240027837A
KR20240027837A KR1020247004435A KR20247004435A KR20240027837A KR 20240027837 A KR20240027837 A KR 20240027837A KR 1020247004435 A KR1020247004435 A KR 1020247004435A KR 20247004435 A KR20247004435 A KR 20247004435A KR 20240027837 A KR20240027837 A KR 20240027837A
Authority
KR
South Korea
Prior art keywords
sensor
transfer chamber
data
robot
coupled
Prior art date
Application number
KR1020247004435A
Other languages
English (en)
Inventor
비벡 비. 샤
춘레이 장
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240027837A publication Critical patent/KR20240027837A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Factory Administration (AREA)

Abstract

이송 챔버 로봇 및 이를 사용하는 방법들의 실시예들이 본원에서 개시된다. 일 실시예에서, 전자 디바이스 제조 시스템을 위한 프로세스 도구는 이송 챔버, 전달 챔버에 결합된 프로세스 챔버, 및 이송 챔버 로봇을 포함한다. 이송 챔버 로봇은 프로세스 챔버로 그리고 프로세스 챔버로부터 기판들을 이송하도록 구성되고 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서를 포함한다.

Description

무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 프로세스 챔버의 무접촉 특성화(contact-free characterization)를 위한 방법들 및 메커니즘들에 관한 것이다.
[0002] 전자 장치 제조 시스템은 일반적으로 동작 동안 진공에 처해지는 다수의 프로세스 챔버들을 포함한다. 기판의 제조 동안, 오염물들 및 잔류 퇴적물들이 프로세스 챔버들의 다양한 컴포넌트에 도입된다. 따라서 프로세스 챔버들은 주기적으로 검사되고 오염의 레벨 또는 퇴적물들의 레벨에 기초하여, 벽들 및 가스 분배 플레이트로부터 오염물 및 잔류 퇴적물들을 제거하기 위해 세정될 필요가 있다.
[0003] 전통적으로, 오퍼레이터들은 주기적으로 진공 시스템을 해방(disengage)하고 전자 장치 제조 시스템의 컴포넌트들(이를테면, 프로세스 챔버 도어)을 제거하여 프로세스 챔버를 검사하고 세정이 필요한지를 결정했다. 그러나 이는 시간 소모적이고 비용이 많이 들고 비효율적인 프로세스이다. 대안적으로, 일부 전자 장치 제조 시스템들은 퇴적물 빌드업을 검출하기 위한 센서들을 포함하도록 프로세스 챔버 벽들을 수정한다. 그러나 이러한 벽 센서들은 프로세스 챔버에 결함들을 유발하고 플라즈마 균일성에 영향을 미친다.
[0004] 본 개시내용의 특정 실시예들은 전자 디바이스 제조 시스템을 위한 프로세스 도구에 관한 것이다. 프로세스 도구는 이송 챔버, 이송 챔버에 결합된 프로세스 챔버, 및 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 기판들을 이송하도록 구성된 이송 챔버 로봇을 포함한다. 이송 챔버 로봇은 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서로 포함한다.
[0005] 본 개시내용의 다른 양상은 로드록 및 프로세스 도구를 포함하는 전자 디바이스 제조 시스템에 관한 것이다. 프로세스 도구는 이송 챔버, 이송 챔버에 결합된 프로세스 챔버, 및 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 기판들을 이송하도록 구성된 이송 챔버 로봇을 포함한다. 이송 챔버 로봇은 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서로 포함한다.
[0006] 본 개시내용의 다른 측면은 이송 챔버 로봇에 관한 것이다. 이송 챔버 로봇은 복수의 링크들을 포함하는 암 조립체; 암 조립체에 결합된 엔드 이펙터 ― 엔드 이펙터는 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 기판들을 이송하도록 구성됨 ―; 및 암 조립체에 결합되고 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서를 포함한다.
[0007] 본 개시내용의 또 다른 양상은 방법에 관한 것이며, 이 방법은, 프로세서에 의해, 이송 챔버 로봇의 일부를 프로세스 챔버 내에 포지셔닝하는 단계 ― 일부는 적어도 하나의 센서를 포함함 ―; 하나 이상의 센서들을 사용하여, 프로세스 챔버와 연관된 센서 데이터를 획득하는 단계; 및 프로세스 챔버로부터 이송 챔버 로봇의 일부를 제거하는 단계를 포함한다.
[0008] 본 개시내용의 다른 양상은 프로세서에 의해, 프로세스 챔버에서 센서 디바이스에 의해 생성된 복수의 센서 값들을 획득하는 단계를 포함하는 방법에 관한 것이다. 방법은, 복수의 센서 값들에 기계 학습 모델을 적용하는 단계를 더 포함하고, 기계 학습 모델은 프로세스 챔버의 서브시스템의 이력 센서 데이터 및 막을 증착하기 위한 레시피와 연관된 작업 데이터에 기초하여 훈련된다. 방법은 기계 학습 모델의 출력을 생성하는 단계를 더 포함하고, 출력은 서브시스템의 장애 유형을 표시한다. 방법은, 서브시스템의 장애 유형을 결정하는 단계 및 장애 유형에 기초하여 시정 조치를 생성하는 단계를 더 포함한다.
[0009] 본 개시내용은, 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 제한적인 것이 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 참조들은 반드시 동일한 실시예를 참조하는 것은 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
[0010] 도 1은 특정 실시예들에 따른 예시적인 시스템 아키텍처를 예시하는 블록도이다.
[0011] 도 2는 특정 실시예들에 따라 기계 학습 모델을 훈련하기 위한 방법의 흐름도이다.
[0012] 도 3은 특정 실시예들에 따라 예시적인 제조 시스템의 개략적인 평면도이다.
[0013] 도 4는 특정 실시예들에 따라 예시적인 제조 시스템의 예시적인 프로세스 챔버의 개략적인 측단면도이다.
[0014] 도 5는 본 개시내용의 실시예들에 따라 프로세스 도구의 개략적 ??면도이다.
[0015] 도 6은 본 개시내용의 실시예들에 따라 이송 챔버 로봇 링크 조립체의 개략적인 평면도이다.
[0016] 도 7은 본 개시내용의 양상들에 따라 센서를 사용하여 측정들을 행하도록 이송 챔버 로봇을 제어하기 위한 방법의 흐름도이다.
[0017] 도 8은 특정 실시예들에 따라 기계 학습 모델을 사용하여 프로세스 챔버 서브시스템의 장애 유형을 결정하기 위한 방법의 흐름도이다.
[0018] 도 9는 특정 실시예들에 따른 컴퓨터 시스템을 예시하는 블록도이다.
[0019] 제조 시스템의 반도체 프로세스 챔버의 무접촉 특성화를 위한 방법들 및 메커니즘들에 관한 기술들이 본원에서 설명된다. 제조 시스템의 프로세스 챔버에서 수행되는 증착 프로세스(예컨대, 증착(CVD) 프로세스, ALD(atomic layer deposition) 프로세스 등) 동안 기판의 표면 상에 막이 증착될 수 있다. 예컨대, CVD 프로세스에서, 기판은 하나 이상의 전구체들에 노출되며, 이는 기판 표면 상에서 반응하여 원하는 퇴적물을 생성한다. 막은 증착 프로세스 동안 형성되는 재료들의 하나 이상의 층들을 포함할 수 있고, 각각의 층은 특정 두께 구배(예컨대, 증착된 막의 층을 따른 두께의 변화들)를 포함할 수 있다. 예컨대, 제1 층은 기판의 표면(막의 근위 층 또는 근위 단부로서 지칭됨) 상에 직접 형성되고 제1 두께를 가질 수 있다. 제1 층이 기판의 표면 상에 형성된 후, 제2 두께를 갖는 제2 층이 제1 층 상에 형성될 수 있다. 이 프로세스는 증착 프로세스가 완료되고 막에 대한 최종 층(막의 원위 층 또는 원위 단부로서 지칭됨)이 형성될 때까지 계속된다. 막은 상이한 재료들의 교번 층들을 포함할 수 있다. 예컨대, 막은 산화물 및 질화물 층들의 교번 층들(산화물-질화물-산화물-질화물 스택 또는 ONON 스택), 교번하는 산화물 및 폴리실리콘 층들(산화물-폴리실리콘-산화물-폴리실리콘 스택 또는 OPOP 스택) 등을 포함할 수 있다. 그 후, 막은 예컨대, 기판의 표면 상에 패턴을 형성하기 위한 에칭 프로세스, 막의 표면을 평활화하기 위한 CMP(chemical mechanical polishing) 프로세스, 또는 완성된 기판을 제조하는 데 필요한 임의의 다른 프로세스를 거칠 수 있다.
[0020] 증착 프로세스 및 에칭 프로세스 동안, 프로세스 챔버는 오염물의 빌드업, 특정 컴포넌트들에 대한 침식 등과 같은 악화 조건들을 경험할 수 있다. 이러한 악화 조건들을 포착하고 수리하지 못하는 것은 기판 내 결함들을 야기하여, 열악한 제품들, 감소된 제조 수율, 상당한 다운타임 및 수리 시간으로 이어진다.
[0021] 기존 시스템들은 이러한 악화 조건들을 검출하기 위한 센서들을 포함하도록 프로세스 챔버 벽들을 수정할 수 있다. 그러나 이러한 침입형 벽 센서들은 프로세스 챔버에 결함들을 유발하고 플라즈마 균일성에 영향을 미친다. 이는 최적의 프로세스 챔버 압력 및 프로세스 가스의 유량을 달성하는 데 지연이 야기하며, 이는 막의 변형들을 초래할 수 있다. 또한, 이러한 센서들은 고객 사이트에서 프로세스 챔버가 수정될 필요가 있을 수 있기 때문에 설치가 어려울 수 있다.
[0022] 본 개시내용의 양상들 및 구현들은 측정들을 수행하고 프로세스 챔버 내부로부터 데이터를 리트리브할 수 있는 하나 이상의 센서들을 이송 챔버 로봇에 장착함으로써 기존 기술의 이러한 및 다른 단점들을 해결한다. 특히, 전자 디바이스 제조 시스템은 로드록과 프로세스 챔버들 사이에서 기판들을 이송하도록 구성된 이송 챔버 내 로봇 장치(예컨대, 이송 챔버 로봇)를 사용할 수 있다. 이송 챔버, 프로세스 챔버들 및 로드록들은 특정 시간들에 진공 하에서 동작할 수 있다. 이송 챔버 로봇에는 프로세스 챔버의 하나 이상의 양상들을 특성화하거나 하나 이상의 양상들의 판독들을 취하거나, 또는 하나 이상의 양상들의 측정들을 행하는 데 사용되는 하나 이상의 센서들이 장착될 수 있다. 센서들은 가속도계, 거리 센서(예컨대, 두 오브젝트들 사이의 높이, 폭 또는 길이를 결정하기 위한), 카메라(예컨대, 고해상도 카메라, 고속 카메라 등), 용량성 센서, 반사계, 고온계(예컨대, 원격 감지 온도계, 적외선 카메라 등), 레이저 유도 형광 분광기, 광섬유 등 중 하나 이상을 포함할 수 있다.
[0023] 일부 실시예들에서, 센서들은 이송 챔버 로봇의 엔드 이펙터에 또는 이송 챔버 로봇의 하나 이상의 조인트들에 결합될 수 있다. 다른 실시예들에서, 이송 챔버 로봇은 센서들을 동작시키는데 사용될 수 있는 부가적인 링크들 및/또는 더 많은 자유도를 포함할 수 있다. 특히, 이송 챔버 로봇은 센서에 결합하기 위한 하나 이상의 부가적인 링크들, 및/또는 프로세스 챔버들 내에서 센서를 병진운동, 회전 및/또는 포지셔닝하기 위한 하나 이상의 부가적인 자유도들을 포함할 수 있다. 센서들에 의해 리트리브된 데이터는 프로세싱 및 분석을 위해 사용자 인터페이스 또는 데이터베이스에 전송될 수 있다. 데이터에 기초하여, 제조 시스템의 오퍼레이터는 동작들을 중단하고 유지보수를 수행할지, 아니면 기판들을 계속 제작할지를 결정할 수 있다.
[0024] 일부 실시예들에서, 예측 시스템은 프로세스 챔버 서브시스템의 결함 패턴(예컨대, 비정상적 거동)을 표시하는 하나 이상의 값들 및/또는 발생한 결함의 유형(예컨대, 이슈, 장애 등)을 표시하는 예측 데이터와 같은 출력을 생성하기 위해 기계 학습 모델을 훈련하여 현재 센서 값에 적용할 수 있다. 일부 실시예들에서, 출력은 프로세스 챔버 서브시스템의 예상된 거동과 프로세스 챔버 서브시스템의 실제 거동 사이의 차이를 표시하는 값이다. 일부 실시예들에서, 값은 프로세스 챔버 서브시스템과 연관된 결함 패턴을 표시한다. 그 후, 시스템은 일부 실시예들에서 서브시스템에 의해 경험된 장애 유형을 결정하기 위해 결함 패턴을 알려진 결함 패턴들의 라이브러리와 비교할 수 있다. 일부 실시예들에서, 시스템은 결함 패턴에 기초하여 증착 프로세스 레시피의 하나 이상의 파라미터들(예컨대, 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 기판 표면 상에 증착된 막에 포함된 재료에 대한 전구체에 대한 유량 설정 등)을 조정하기 위한 시정 조치를 수행한다.
[0025] 본 개시내용의 양상들은 프로세스 챔버의 검사를 수행하는데 요구되는 시간을 상당히 감소시키는 기술적 이점을 초래한다. 구성은 이송 챔버 로봇이 기판을 프로세스 챔버에 배치하거나 프로세스 챔버로부터 기판을 리트리브할 때마다 이송 챔버 로봇이 검사들을 수행하고 프로세스 챔버를 특성화하도록 허용한다. 검사는 진공 환경을 유지하면서 수행되고 이에 따라 진공 시스템을 해방하고 수동 검사들과 연관된 전자 장치 제조 시스템의 컴포넌트들(이를테면, 프로세스 챔버 도어)을 제거할 필요성을 제거한다. 또한, 구성은 프로세스 챔버에 대한 결함들 및 프로세스 챔버 내에 센서들을 설치하는 것과 연관된 플라즈마 균일성 이슈들을 제거한다.
[0026] 도 1은 본 개시내용의 양상들에 따른 예시적인 컴퓨터 시스템 아키텍처(100)를 묘사한다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 기판들을 프로세싱하기 위한 제조 시스템 이를테면, 도 3의 제조 시스템(300)의 일부로서 포함될 수 있다. 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120), 제조 장비(124), 계측 장비(128), 예측 서버(112)(예컨대, 예측 데이터를 생성하고, 모델 적응 제공하고, 지식 베이스를 사용하고 기타 등등을 위해) 및 데이터 저장소(140)를 포함한다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 기계들(170 및 180)을 더 포함할 수 있다. 제조 장비(124)는 제조 시스템에서 프로세싱되는 기판에 대한 데이터를 캡처하도록 구성된 센서들(126)을 포함할 수 있다. 일부 실시예들에서, 제조 장비(124) 및 센서들(126)은 센서 서버(예컨대, 제조 시설의 FSS(field service server)) 및 센서 식별자 판독기(예컨대, 센서 시스템에 대한 FOUP(front opening unified pod) RFID(radio frequency identification) 판독기)를 포함하는 센서 시스템의 일부일 수 있다. 일부 실시예들에서, 계측 장비(128)는 계측 서버(예컨대, 계측 데이터베이스, 계측 폴더들 등) 및 계측 식별자 판독기(예컨대, 계측 시스템을 위한 FOUP RFID 판독기)를 포함하는 계측 시스템의 일부일 수 있다.
[0027] 제조 장비(124)는 레시피를 따르거나 일정 시간 기간에 걸친 실행들을 수행하는 제품들 이를테면, 전자 디바이스들을 생성할 수 있다. 제조 장비(124)는 도 4와 관련하여 설명된 프로세스 챔버(400)와 같은 프로세스 챔버를 포함할 수 있다. 제조 장비(124)는 프로세스 챔버에서 기판(예컨대, 웨이퍼 등)에 대한 프로세스를 수행할 수 있다. 기판 프로세스들의 예들은 기판의 표면 상에 하나 이상의 막 층들을 증착하기 위한 증착 프로세스, 기판의 표면에 패턴을 형성하기 위한 에칭 프로세스 등을 포함한다. 제조 장비(124)는 프로세스 레시피에 따라 각각의 프로세스를 수행할 수 있다. 프로세스 레시피는 프로세스 동안 기판에 대해 수행될 동작들의 특정 세트를 정의하며 각각의 동작과 연관된 하나 이상의 설정들을 포함할 수 있다. 예컨대, 증착 프로세스 레시피는 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 기판 표면 상에 증착된 막에 포함된 재료에 대한 전구체에 대한 유량 설정 등을 포함할 수 있다.
[0028] 일부 실시예들에서, 제조 장비(124)는 제조 시스템(100)에서 프로세싱된 기판과 연관된 데이터를 생성하도록 구성된 센서들(126)을 포함한다. 예컨대, 프로세스 챔버는 프로세스(예컨대, 증착 프로세스)가 기판에 대해 수행되기 이전, 도중 및/또는 이후에 기판과 연관된 스펙트럼 또는 비-스펙트럼 데이터를 생성하도록 구성된 하나 이상의 센서들을 포함할 수 있다. 일부 실시예들에서, 센서들 중 하나 이상이 이송 챔버 로봇에 결합될 수 있다. 특히, 제조 장비(124)는 로드록과 프로세스 챔버들 사이에서 기판들을 이송하도록 구성된 이송 챔버 내 로봇 장치(예컨대, 이송 챔버 로봇)를 사용할 수 있다. 프로세스 챔버 로봇은 도 3과 관련하여 더 자세히 설명된다. 예에서, 센서들은 기판을 지지하는 데 사용되는 이송 챔버 로봇의 엔드 이펙터에 부착될 수 있다. 부착된 센서들에 관한 추가 세부사항들은 도 5 및 도 6과 관련하여 제공된다.
[0029] 일부 실시예들에서, 센서들(126)에 의해 생성된 스펙트럼 데이터는 기판의 표면 상에 증착된 하나 이상의 재료들의 농도를 표시할 수 있다. 기판과 연관된 스펙트럼 데이터를 생성하도록 구성된 센서들(126)은 반사 측정 센서들, 타원 편광 측정 센서들, 열 스펙트럼 센서들, 용량성 센서들 등을 포함할 수 있다. 기판과 연관된 비-스펙트럼 데이터를 생성하도록 구성된 센서들(126)은 온도 센서들, 압력 센서들, 유량 센서들, 전압 센서들 등을 포함할 수 있다. 제조 장비(124)에 관한 추가 세부사항들은 도 3 및 도 4를 참조하여 제공된다.
[0030] 일부 실시예들에서, 센서들(126)은 제조 장비(124)와 연관된(예컨대, 제조 장비(124)에 의해 웨이퍼와 같은 대응하는 제품들을 생산하는 것과 연관된) 센서 데이터(예컨대, 센서 값들, 특징들, 트레이스 데이터)를 제공한다. 제조 장비(124)는 레시피를 따르거나 일정 시간 기간 동안 실행들을 수행함으로써 제품들을 생산할 수 있다. 일정 시간 기간에 걸쳐 수신된(예컨대, 레시피 또는 실행의 적어도 일부에 대응하는) 센서 데이터는 시간에 걸쳐 상이한 센서들(126)로부터 수신된 트레이스 데이터(예컨대, 이력 트레이스 데이터, 현재 트레이스 데이터 등)로서 지칭될 수 있다. 센서 데이터에는 온도(예컨대, 히터 온도), 간격(SP), 압력, HFRF(high frequency radio frequency), ESC(electrostatic chuck)의 전압, 전류, 재료 유동, 전력, 전압 등 중 하나 이상의 것의 값을 포함할 수 있다. 센서 데이터는 하드웨어 파라미터들 이를테면, 제조 장비(124)의 세팅들 또는 컴포넌트들(예컨대, 크기, 유형 등) 또는 제조 장비(124)의 프로세스 파라미터들과 같은 제조 파라미터들과 연관되거나 이들을 표시할 수 있다. 센서 데이터는 제조 장비(124)가 제조 프로세스들을 수행하는 동안 제공될 수 있다(예컨대, 제품들을 프로세싱할 때 장비 판독들). 센서 데이터는 각각의 기판마다 상이할 수 있다.
[0031] 계측 장비(128)는 제조 장비(124)에 의해 프로세싱된 기판과 연관된 계측 데이터를 제공할 수 있다. 계측 데이터는 막 특성 데이터(예컨대, 웨이퍼 공간 막 특성들), 치수들(예컨대, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 하나 이상의 표면 프로파일 특성 데이터(예컨대, 에칭 레이트, 에칭 레이트 균일성, 기판의 표면 상에 포함된 하나 이상의 피처들의 임계 치수, 기판의 표면에 걸친 임계 치수 균일성, 에지 배치 오류 등)의 값을 더 포함할 수 있다. 계측 데이터는 완제품 또는 반제품일 수 있다. 계측 데이터는 각각의 기판마다 상이할 수 있다. 계측 데이터는 예컨대, 반사측정 기술들, 타원측정 기술들, TEM 기술들 등을 사용하여 생성될 수 있다.
[0032] 일부 실시예들에서, 계측 장비(128)는 제조 장비(124)의 일부로서 포함될 수 있다. 예컨대, 계측 장비(128)는 프로세스 챔버 내부에 포함되거나 프로세스 챔버에 결합될 수 있고 기판이 프로세스 챔버에 남아 있는 동안 프로세스(예컨대, 증착 프로세스, 에칭 프로세스 등) 이전, 도중 및/또는 이후에 기판에 대한 계측 데이터를 생성하도록 구성된다. 이러한 경우들에서, 계측 장비(128)는 인-시튜 계측 장비로서 지칭될 수 있다. 다른 예에서, 계측 장비(128)는 제조 장비(124)의 다른 스테이션에 결합될 수 있다. 예컨대, 계측 장비는 도 3의 이송 챔버(310)와 같은 이송 챔버, 로드록(320)과 같은 로드록, 또는 팩토리 인터페이스(306)와 같은 팩토리 인터페이스에 결합될 수 있다. 이러한 경우들에서, 계측 장비(128)는 통합된 계측 장비로서 지칭될 수 있다. 다른 또는 유사한 실시예들에서, 계측 장비(128)는 제조 장비(124)의 스테이션에 결합되지 않는다. 그러한 경우에서, 계측 장비(128)는 인라인 계측 장비 또는 외부 계측 장비로서 지칭될 수 있다. 일부 실시예들에서, 통합된 계측 장비 및/또는 인라인 계측 장비는 프로세스 이전 및/또는 이후에 기판에 대한 계측 데이터를 생성하도록 구성된다.
[0033] 클라이언트 디바이스(120)는 컴퓨팅 디바이스 이를테면, PC(personal computer)들, 랩톱들, 모바일 폰들, 스마트폰들, 태블릿 컴퓨터들, 넷북 컴퓨터들, 네트워크 연결 텔레비전("스마트 TV")들, 네트워크 연결 미디어 플레이어들(예컨대, 블루-레이 플레이어(Blu-ray player)), 셋-톱-박스, OTT(over-the-top) 스트리밍 디바이스들, 오퍼레이터 박스들 등을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 클라이언트 디바이스(120)로부터 수신될 수 있다. 클라이언트 디바이스(120)는 GUI(graphical user interface)를 디스플레이할 수 있으며, 여기서 GUI는 사용자가 제조 시스템에서 프로세싱된 기판에 대한 계측 측정 값들을 입력으로서 제공하는 것을 가능하게 한다. 클라이언트 디바이스(120)는 시정 조치 컴포넌트(122)를 포함할 수 있다. 시정 조치 컴포넌트(122)는 제조 장비(124)와 연관된 표시의 사용자 입력을 (예컨대, 클라이언트 디바이스(120)를 통해 디스플레이되는 GUI(Graphical User Interface)를 통해) 수신할 수 있다. 일부 실시예들에서, 시정 조치 컴포넌트(122)는 표시를 예측 시스템(110)으로 송신하고, 예측 시스템(110)으로부터 출력(예컨대, 예측 데이터)을 수신하고, 출력에 기초하여 시정 조치를 결정하고, 시정 조치가 구현되게 한다. 일부 실시예들에서, 시정 조치 컴포넌트(122)는 예측 시스템(110)으로부터 시정 조치의 표시를 수신하고 시정 조치가 구현되게 한다. 각각의 클라이언트 디바이스(120)는 사용자들이 데이터(예컨대, 제조 장비(124)와 연관된 표시, 제조 장비(124)와 연관된 시정 조치들 등)를 생성하거나, 보거나, 또는 편집하는 것 중 하나 이상을 허용하는 운영 체제를 포함할 수 있다.
[0034] 데이터 저장소(140)는 메모리(예컨대, 랜덤 액세스 메모리), 드라이브(예컨대, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 유형의 컴포넌트 또는 디바이스일 수 있다. 데이터 저장소(140)는 다수의 컴퓨팅 디바이스들(예컨대, 다수의 서버 컴퓨터들)에 걸쳐 있을 수 있는 다수의 저장 컴포넌트들(예컨대, 다수의 드라이브들 또는 다수의 데이터베이스들)을 포함할 수 있다. 데이터 저장소(140)는 제조 장비(124)에서 기판을 프로세싱하는 것과 연관된 데이터를 저장할 수 있다. 예컨대, 데이터 저장소(140)는 기판 프로세스 이전, 도중 또는 이후에 제조 장비(124)에서 센서들(126)에 의해 수집된 데이터(프로세스 데이터로서 지칭됨)를 저장할 수 있다. 프로세스 데이터는 이력 프로세스 데이터(예컨대, 제조 시스템에서 프로세싱된 이전 기판에 대해 생성된 프로세스 데이터) 및/또는 현재 프로세스 데이터(예컨대, 제조 시스템에서 프로세싱된 현재 기판에 대해 생성된 프로세스 데이터)를 지칭할 수 있다. 데이터 저장소는 또한 제조 장비(124)에서 프로세싱된 기판의 일부와 연관된 스펙트럼 데이터 또는 비-스펙트럼 데이터를 저장할 수 있다. 스펙트럼 데이터는 이력 스펙트럼 데이터 및/또는 현재 스펙트럼 데이터를 포함할 수 있다.
[0035] 데이터 저장소(140)는 또한 제조 시스템에서 프로세싱되는 하나 이상의 기판들과 연관된 맥락 데이터를 저장할 수 있다. 맥락 데이터는 레시피 이름, 레시피 단계 번호, 예방 유지보수 표시자, 오퍼레이터 등을 포함할 수 있다. 맥락 데이터는 이력 맥락 데이터(예컨대, 이전 기판에 대해 수행된 이전 프로세스와 연관된 맥락 데이터) 및/또는 현재 프로세스 데이터(예컨대, 현재 프로세스 또는 이전 기판에 대해 수행될 미래 프로세스와 연관된 맥락 데이터)를 지칭할 수 있다. 맥락 데이터는 프로세스 챔버의 특정 서브시스템과 연관된 식별 센서들을 더 포함할 수 있다.
[0036] 데이터 저장소(140)는 또한 작업 데이터를 저장할 수 있다. 작업 데이터는 증착 프로세스 동안 기판에 대해 수행될 동작들의 하나 이상의 세트들을 정의하며 각각의 동작과 연관된 하나 이상의 설정들을 포함할 수 있다. 예컨대, 증착 프로세스에 대한 작업 데이터는 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 기판 상에 증착된 막의 재료에 대한 전구체에 대한 유량 설정 등을 포함할 수 있다. 다른 예에서, 작업 데이터는 흐름 값에 대해 정의된 압력 지점에서 압력을 제어하는 것을 포함할 수 있다. 작업 데이터는 이력 작업 데이터(예컨대, 이전 기판에 대해 수행된 이전 프로세스와 연관된 작업 데이터) 및/또는 현재 프로세스 데이터(예컨대, 현재 프로세스 또는 이전 기판에 대해 수행될 미래 프로세스와 연관된 작업 데이터)를 지칭할 수 있다.
[0037] 일부 실시예들에서, 데이터 저장소(140)는 예상된 프로파일들, 두께 프로파일들 및 시정 프로파일들을 저장할 수 있다. 예상된 프로파일들은 특정 프로세스 레시피에 의해 생산될 것으로 예상되는 원하는 막 프로파일과 연관된 하나 이상의 데이터 포인트들을 포함할 수 있다. 일부 실시예들에서, 예상된 프로파일들은 막의 원하는 두께를 포함할 수 있다. 두께 프로파일은 제조 장비(124)에 의해 생성된 현재 막 프로파일과 연관된 하나 이상의 데이터 포인트들을 포함한다. 두께 프로파일은 계측 장비(127, 128)를 사용하여 측정될 수 있다. 시정 프로파일은 프로세스 챔버의 파라미터들 또는 프로세스 레시피에 적용될 하나 이상의 조정들 또는 오프셋들을 포함할 수 있다. 예컨대, 시정 프로파일은 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 기판 표면 상에 증착된 막에 포함된 재료에 대한 전구체에 대한 유량 설정, 프로세스 챔버에 공급된 전력, 둘 이상의 설정들의 비 등에 대한 조정을 포함할 수 있다. 시정 프로파일들은, 예상된 프로파일(예컨대, 프로세스 레시피에 의해 생성될 것으로 예상되는 두께 프로파일)을 비교하고 알려진 결함 패턴들의 라이브러리 및/또는 알고리즘을 사용하여, 예상된 프로파일을 달성하기 위해 프로세스 레시피의 파라미터들에 적용될 조정을 결정함으로써 생성될 수 있다. 시정 프로파일들은 증착 프로세스, 에칭 프로세스 등과 연관된 단계들에 적용될 수 있다.
[0038] 일부 실시예들에서, 데이터 저장소(140)는 제조 시스템의 사용자가 액세스 가능하지 않은 데이터를 저장하도록 구성될 수 있다. 예컨대, 제조 시스템에서 프로세싱되는 기판에 대해 획득된 프로세스 데이터, 스펙트럼 데이터, 맥락 데이터 등은 제조 시스템의 사용자(예컨대, 오퍼레이터)가 액세스 가능하지 않다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 모든 데이터는 제조 시스템의 사용자에 의해 액세스 불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 일부는 사용자에 의해 액세스 불가능할 수 있는 반면, 데이터 저장소(140)에 저장된 데이터의 다른 부분은 사용자에 의해 액세스 가능할 수 있다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 하나 이상의 부분들은 사용자에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화될 수 있다(예컨대, 데이터는 개인 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 저장소(140)는, 사용자가 액세스 불가능한 데이터가 하나 이상의 제1 데이터 저장소들에 저장되고 사용자가 액세스 가능한 데이터가 하나 이상의 제2 데이터 저장소들에 저장되는 다중 데이터 저장소들을 포함할 수 있다.
[0039] 일부 실시예들에서, 데이터 저장소(140)는 알려진 결함 패턴들과 연관된 데이터를 저장하도록 구성될 수 있다. 결함 패턴은 프로세스 챔버 서브시스템과 연관된 하나 이상의 이슈들 또는 장애들과 연관된 하나 이상의 값들(예컨대, 벡터, 스칼라 등)일 수 있다. 일부 실시예들에서, 결함 패턴은 시정 조치와 연관될 수 있다. 예컨대, 결함 패턴은 결함 패턴에 의해 표시된 이슈 또는 장애를 시정하기 위한 파라미터 조정 단계들을 포함할 수 있다. 예컨대, 예측 시스템은 결정된 결함 패턴을 알려진 결함 패턴들의 라이브러리와 비교하여 서브시스템에 의해 경험된 장애 유형, 장애의 원인, 결함을 시정하기 위해 권장되는 시정 조치 등을 결정할 수 있다.
[0040] 일부 실시예들에서, 예측 시스템(110)은 예측 서버(112), 서버 기계(170) 및 서버 기계(180)를 포함한다. 예측 서버(112), 서버 기계(170) 및 서버 기계(180)는 각각 하나 이상의 컴퓨팅 디바이스들 이를테면, 랙마운트 서버, 라우터 컴퓨터, 서버 컴퓨터, 개인용 컴퓨터, 메인프레임 컴퓨터, 랩톱 컴퓨터, 태블릿 컴퓨터, 데스크톱 컴퓨터, GPU(Graphics Processing Unit), 가속기 ASIC(Application-Specific Integrated Circuit)(예컨대, TPU(Tensor Processing Unit)) 등을 포함할 수 있다.
[0041] 서버 기계(170)는 기계 학습 모델(190)을 훈련, 유효성 검증 및/또는 테스트하기 위해 훈련 데이터세트들(예컨대, 데이터 입력들의 세트 및 타깃 출력들의 세트)를 생성할 수 있는 훈련 세트 생성기(172)를 포함한다. 기계 학습 모델(190)은 데이터로부터 학습할 수 있는 임의의 알고리즘 모델일 수 있다. 데이터 세트 생성기(172)의 일부 동작들은 도 2와 관련하여 아래에서 자세히 설명된다. 일부 실시예들에서, 데이터 세트 생성기(172)는 훈련 데이터를 훈련 세트, 검증 세트 및 테스트 세트로 파티셔닝할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 훈련 데이터의 다수 세트들을 생성한다.
[0042] 서버 기계(180)는 훈련 엔진(182), 유효성 검증 엔진(184), 선택 엔진(185) 및/또는 테스트 엔진(186)을 포함할 수 있다. 엔진은 하드웨어(예컨대, 회로부, 전용 로직, 프로그래밍 가능 로직, 마이크로코드, 프로세싱 디바이스 등), 소프트웨어(이를테면, 프로세싱 디바이스, 범용 컴퓨터 시스템, 또는 전용 기계 상에서 실행되는 명령들), 펌웨어, 마이크로코드 또는 이들의 조합을 지칭할 수 있다. 훈련 엔진(182)은 하나 이상의 기계 학습 모델들(190)을 훈련할 수 있을 수 있다. 기계 학습 모델(190)은 훈련 입력들 및 대응하는 타깃 출력들(개개의 훈련 입력들에 대한 정답들)을 포함하는 훈련 데이터(본원에서 훈련 세트로서 또한 지칭됨)를 사용하여 훈련 엔진(182)에 의해 생성된 모델 아티팩트를 참조할 수 있다. 훈련 엔진(182)은 훈련 입력을 타깃 출력(예측되는 답변)에 매핑하는 훈련 데이터의 패턴들을 찾고 이러한 패턴들을 캡처하는 기계 학습 모델(190)을 제공할 수 있다. 기계 학습 모델(190)은 통계적 모델링, SVM(support vector machine), RBF(Radial Basis Function), 클러스터링, 지도 기계 학습, 준지도 기계 학습, 비지도 기계 학습, k-NN(k-nearest neighbor) 알고리즘, 선형 회귀, 랜덤 포레스트, 신경망(예컨대, 인공 신경망) 등 중 하나 이상을 이용할 수 있다.
[0043] 위의 작업들 중 일부 또는 전부를 수행하는 데 사용될 수 있는 일 유형의 기계 학습 모델은 딥 신경망(deep neural network)과 같은 인공 신경망이다. 인공 신경망들은 일반적으로 특징들을 원하는 출력 공간에 매핑하는 분류자 또는 회귀 층들을 갖는 특징 표현 컴포넌트를 포함한다. 예컨대, CNN(convolutional neural network)은 컨볼루션 필터들의 다수의 층들을 호스팅한다. 풀링이 수행되고 비선형성들이 하위 층들에서 해소될 수 있으며, 이 하위 층들 위에, 다층 퍼셉트론(multi-layer perceptron)이 일반적으로 추가되어 컨볼루셔널 층들에 의해 추출된 최상부 층 특징들을 판단들(예컨대, 분류 출력들)에 매핑한다. 딥 러닝(deep learning)은 특징 추출 및 변형(transformation)을 위해 비선형 프로세싱 유닛들의 다수의 층들의 캐스캐이드(cascade)를 사용하는 일 부류의 기계 학습 알고리즘들이다. 각각의 연속 층은 입력으로서 이전 층으로부터의 출력을 사용한다. 딥 신경망들은 지도(supervised)(예컨대, 분류) 및/또는 비지도(예컨대, 패턴 분석) 방식으로 학습할 수 있다. 딥 신경망들은 층들의 계층구조(hierarchy)를 포함하며, 여기에서 상이한 층들은 상이한 레벨들의 추상화에 대응하는 상이한 레벨들의 표현들을 학습한다. 딥 러닝에서, 각각의 레벨은 그의 입력 데이터를 약간 더 추상적이고 복합적인 표현으로 변형하도록 학습한다. 예컨대, 플라즈마 프로세스 튜닝에서, 원시 입력은 프로세스 결과 프로파일들(예컨대, 기판의 표면에 걸친 하나 이상의 두께 값들을 표시하는 두께 프로파일들)일 수 있고; 제2 층은 플라즈마 프로세스 시스템의 제어된 엘리먼트들의 하나 이상의 존들의 상태(예컨대, 존들의 배향, 플라즈마 노출 지속기간 등)와 연관된 특징 데이터를 구성할 수 있으며; 제3 층은 시작 레시피(예컨대, 임계 기준들을 충족하는 프로세스 결과를 생성하기 위해 기판을 프로세싱하는 업데이트된 프로세스 레시피를 결정하기 위한 시작점으로서 사용되는 레시피)를 포함할 수 있다. 특히, 딥 러닝 프로세스는 자체로 어떤 특징들을 어떤 레벨로 최적으로 배치할지를 학습할 수 있다. "딥 러닝"의 "딥"은 데이터가 변형되는 층들의 수를 지칭한다. 보다 정확하게는, 딥 러닝 시스템들은 상당한 CAP(credit assignment path) 깊이를 갖는다. CAP는 입력으로부터 출력으로의 변형들의 체인이다. CAP들은 입력과 출력 간의 잠재적 인과 연결(causal connection)을 설명한다. 피드포워드 신경망의 경우, CAP들의 깊이는 네트워크의 깊이일 수 있으며 은닉 층들의 수에 1을 더한 것일 수 있다. 신호가 층을 통해 한번 초과로 전파될 수 있는 순환 신경망들의 경우, CAP 깊이는 잠재적으로 무제한이다.
[0044] 일 실시예에서, 하나 이상의 기계 학습 모델은 RNN(recurrent neural network)이다. RNN은 신경망이 시간적 종속성들을 캡처하는 것을 가능하게 하기 위한 메모리를 포함하는 일 유형의 신경망이다. RNN은 현재 입력 및 과거 입력들 둘 모두에 의존하는 입력-출력 매핑들을 학습할 수 있다. RNN은 과거 및 향후 유량 측정들을 다루고 이러한 지속적인 계측 정보에 기초하여 예측을 행할 것이다. RNN들은 고정된 수의 출력들을 생성하기 위해(예컨대, 기판 프로세싱 레이트의 세트를 결정하기 위해, 기판 프로세스 레시피에 대한 수정을 결정하기 위해) 훈련 데이터세트를 사용하여 훈련될 수 있다. 사용될 수 있는 RNN의 일 유형은 LSTM(long short term memory) 신경망이다.
[0045] 신경망의 훈련은 지도 학습 방식으로 달성될 수 있으며, 이는 라벨링된 입력들로 구성된 훈련 데이터세트를 네트워크를 통해 공급하는 것, 그의 출력들을 관찰하는 것, (출력들과 라벨 값들 간의 차이를 측정함으로써) 오류를 정의하는 것, 그리고 오류가 최소화되도록 그의 모든 층들과 노드들에 걸쳐 네트워크의 가중치들을 튜닝하기 위해 딥 경사 하강법(deep gradient descent) 및 역전파와 같은 기술들을 사용하는 것을 수반한다. 다수의 애플리케이션들에서, 훈련 데이터세트 내 다수의 라벨링된 입력들에 걸쳐 이 프로세스를 반복하는 것은 훈련 데이터세트에 존재하는 것들과 상이한 입력들이 제공될 때 올바른 출력을 생성할 수 있는 네트워크를 산출한다.
[0046] 수백, 수천, 수만, 수십만 개 이상의 센서 데이터 및/또는 프로세스 결과 데이터(예컨대, 센서 데이터와 연관된 하나 이상의 두께 프로파일들과 같은 계측 데이터)를 포함하는 훈련 데이터세트가 훈련 데이터세트를 형성하는데 사용될 수 있다.
[0047] 훈련을 달성하기 위해 프로세싱 로직은 훈련 데이터세트(들)를 하나 이상의 훈련되지 않은 기계 학습 모델들에 입력할 수 있다. 기계 학습 모델에 제1 입력을 입력하기 전에, 기계 학습 모델이 초기화될 수 있다. 프로세싱 로직은 위에 기술된 바와 같은 다양한 동작들을 수행하는 하나 이상의 훈련된 기계 학습 모델들을 생성하기 위해 훈련 데이터세트(들)에 기초하여 훈련되지 않은 기계 학습 모델(들)을 훈련한다. 훈련은 센서 데이터 중 하나 이상을 기계 학습 모델에 한 번에 하나씩 입력함으로써 수행될 수 있다.
[0048] 기계 학습 모델은 입력을 프로세싱하여 출력을 생성한다. 인공 신경망은 데이터 포인트의 값들로 구성된 입력 층을 포함한다. 다음 층은 은닉 층(Hidden Layer)이라고 하며, 은닉 층의 노드들은 각각 입력 값들 중 하나 이상을 수신한다. 각각의 노드는 입력 값들에 적용할 파라미터들(예컨대, 가중치들)을 포함한다. 따라서, 각각의 노드는 본질적으로 입력 값들을 다변량 함수(예컨대, 비선형 수학적 변형)에 입력하여 출력 값을 생성한다. 다음 층은 다른 은닉 층 또는 출력 층일 수 있다. 어느 경우든, 다음 층의 노드들은 이전 층의 노드들로부터 출력 값들을 수신하고 각각의 노드는 해당 값들에 가중치들을 적용하고 그 후 자체 출력 값을 생성한다. 이는 각각의 층에서 수행될 수 있다. 마지막 층은 출력 층이며, 여기서 기계 학습 모델이 생성할 수 있는 각각의 클래스, 예측 및/또는 출력에 대해 하나의 노드가 있다.
[0049] 따라서 출력은 하나 이상의 예측들 또는 추론들을 포함할 수 있다. 예컨대, 출력 예측 또는 추론은 챔버 컴포넌트들 상의 막 빌드업, 챔버 컴포넌트들의 침식, 챔버 컴포넌트들의 예측된 장애 등의 하나 이상의 예측들을 포함할 수 있다. 프로세싱 로직은 기계 학습 모델의 출력(예컨대, 예측들 또는 추론들)과 입력 훈련 데이터와 연관된 타깃 라벨들 간의 차이들에 기초하여 오류(예컨대, 분류 오류)를 결정한다. 프로세싱 로직은 오류에 기초하여 기계 학습 모델에서 하나 이상의 노드들의 가중치들을 조정한다. 인공 신경망의 각각의 노드에 대해 오류 항 또는 델타가 결정될 수 있다. 이 오류에 기초하여, 인공 신경망은 그의 노드들 중 하나 이상에 대한 그의 파라미터들(노드의 하나 이상의 입력들에 대한 가중치들) 중 하나 이상을 조정한다. 파라미터들은 역전파 방식으로 업데이트될 수 있어서, 가장 높은 층의 노드들이 먼저 업데이트되고 나서, 다음 층의 노드들이 업데이트되는 식이다. 인공 신경망은 여러 층들의 "뉴런들"을 포함하며, 각각의 층은 입력으로서 이전 층의 뉴런들로부터 값들을 수신한다. 각각의 뉴런에 대한 파라미터들은 이전 층의 뉴런들 각각으로부터 수신된 값들과 연관된 가중치들을 포함한다. 따라서, 파라미터들을 조정하는 것은 인공 신경망의 하나 이상의 층들의 하나 이상의 뉴런들에 대한 입력들 각각에 할당된 가중치들을 조정하는 것을 포함할 수 있다.
[0050] 하나 이상의 훈련 라운드들 후에, 프로세싱 로직은 중지 기준이 충족되었는지를 결정할 수 있다. 중지 기준은 타깃 정확도 레벨, 훈련 데이터세트에서 프로세싱된 이미지들의 타깃 수, 하나 이상의 이전 데이터 포인트들에 걸친 파라미터들에 대한 타깃 변화량, 이들의 조합 및/또는 다른 기준들일 수 있다. 일 실시예에서, 적어도 최소 수의 데이터 포인트들이 프로세싱되고 적어도 임계 정확도가 달성될 때 중지 기준이 충족된다. 임계 정확도는 예컨대, 70%, 80% 또는 90% 정확도일 수 있다. 일 실시예에서, 기계 학습 모델의 정확도가 개선을 중지한 경우, 중지 기준이 충족된다. 중지 기준이 충족되지 않는 경우, 추가 훈련이 수행된다. 중지 기준이 충족된 경우, 훈련이 완료될 수 있다. 기계 학습 모델이 훈련되면, 훈련 데이터세트의 예약된 부분이 모델을 테스트하는데 사용될 수 있다.
[0051] 하나 이상의 훈련된 기계 학습 모델들(190)이 생성되면, 이들은 예측 컴포넌트(114)로서 또는 예측 컴포넌트(114)의 컴포넌트로서 예측 서버(112)에 저장될 수 있다.
[0052] 유효성 검증 엔진(184)은 훈련 세트 생성기(172)로부터의 유효성 검증 세트의 특징들의 대응하는 세트를 사용하여 기계 학습 모델(190)을 유효성 검증할 수 있을 수 있다. 모델 파라미터들이 최적화되면, 모델이 개선되었는지를 결정하고 딥러닝 모델의 현재 정확도를 결정하기 위해, 모델 유효성 검증이 수행될 수 있다. 유효성 검증 엔진(184)은 유효성 검증 세트의 특징들의 대응하는 세트들에 기초하여 기계 학습 모델(190)의 정확도를 결정할 수 있다. 유효성 검증 엔진(184)은 임계 정확도를 충족하지 않는 정확도를 갖는 훈련된 기계 학습 모델(190)을 폐기할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 임계 정확도를 충족하는 정확도를 갖는 훈련된 기계 학습 모델(190)을 선택할 수 있을 수 있다. 일부 실시예들에서, 선택 엔진(185)은 훈련된 기계 학습 모델들(190)의 최고 정확도를 갖는 훈련된 기계 학습 모델(190)을 선택할 수 있을 수 있다.
[0053] 테스트 엔진(186)은 데이터 세트 생성기(172)로부터의 테스트 세트의 특징들의 대응하는 세트를 사용하여 훈련된 기계 학습 모델(190)을 테스트할 수 있을 수 있다. 예컨대, 훈련 세트의 특징들의 제1 세트를 사용하여 훈련된 제1 훈련된 기계 학습 모델(190)은 테스트 세트의 특징들의 제1 세트를 사용하여 테스트될 수 있다. 테스트 엔진(186)은 테스트 세트들에 기초하여 모든 훈련된 기계 학습 모델들 중 최고 정확도를 갖는 훈련된 기계 학습 모델(190)을 결정할 수 있다.
[0054] 아래에 자세히 설명된 바와 같이, 예측 서버(112)는, 프로세스 챔버의 각각의 서브시스템의 예상된 거동을 표시하는 데이터를 제공하고 하나 이상의 출력들을 획득하기 위해 현재 센서 데이터 입력 상에서 훈련된 기계 학습 모델(190)을 실행할 수 있는 예측 컴포넌트(114)를 포함한다. 예측 서버(112)는 프로세스 챔버 서브시스템의 건강 및 진단들을 표시하는 데이터를 추가로 제공할 수 있다. 이는 아래에서 더 자세히 설명될 것이다.
[0055] 클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 예측 서버(112), 데이터 저장소(140), 서버 기계(170) 및 서버 기계(180)는 네트워크(130)를 통해 서로 결합될 수 있다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)에 예측 서버(112), 데이터 저장소(140) 및 다른 공개적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공용 네트워크이다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)가 제조 장비(124), 계측 장비(128), 데이터 저장소(140) 및 다른 개인적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 사설 네트워크이다. 네트워크(130)는 하나 이상의 WAN(wide area network)들, LAN(local area network)들, 유선 네트워크들(예컨대, 이더넷 네트워크), 무선 네트워크들(예컨대, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크들(예컨대, LTE(Long Term Evolution) 네트워크), 라우터들, 허브들, 스위치들, 서버 컴퓨터들, 클라우드 컴퓨팅 네트워크들 및/또는 이들의 조합을 포함할 수 있다.
[0056] 일부 다른 구현들에서, 예측 서버(112)뿐만 아니라 서버 기계들(170 및 180)의 기능들은 더 적은 수의 기계들에 의해 제공될 수 있다는 것이 주의되어야 한다. 예컨대, 일부 실시예들에서는 서버 기계들(170, 180)이 단일 기계 내로 통합될 수 있는 반면, 일부 다른 또는 유사한 실시예들에서, 예측 서버(112)뿐만 아니라 서버 기계들(170, 180)이 단일 기계 내로 통합될 수 있다.
[0057] 일반적으로, 서버 기계(170), 서버 기계(180) 및/또는 예측 서버(112)에 의해 수행되는 것으로 일 구현에서 설명된 기능들은 또한 클라이언트 디바이스(120) 상에서 수행될 수 있다. 또한, 특정 컴포넌트에 귀속된 기능성은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다.
[0058] 실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은 복수의 사용자들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 "사용자"를 포함한다. 예컨대, 관리자들의 그룹으로서 연합된 개별 사용자들의 세트는 "사용자"로 간주될 수 있다.
[0059] 도 2는 본 개시내용의 양상들에 따라 기계 학습 모델을 훈련하기 위한 방법(200)의 흐름도이다. 방법(200)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(200)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(200)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양상들에서, 방법(200)의 하나 이상의 동작들은 서버 기계(170), 서버 기계(180) 및/또는 예측 서버(112)에 의해 수행될 수 있다.
[0060] 설명의 단순화를 위해, 방법들은 일련의 조치들로서 묘사되고 설명된다. 그러나 본 개시내용에 따른 조치들은 다양한 순서들로 그리고/또는 동시에, 그리고 본원에서 제시 및 설명되지 않은 다른 조치들과 함께 발생할 수 있다. 더욱이, 개시된 청구 대상에 따라 방법들을 구현하기 위해 예시된 모든 조치들이 수행되진 않을 수 있다. 또한, 당업자들은 방법들이 상태도 또는 이벤트들을 통해 일련의 상호 관련된 상태들로서 대안적으로 표현될 수 있다는 것을 이해하고 인식할 것이다. 부가적으로, 본 명세서에 개시된 방법들이 그러한 방법들을 컴퓨팅 디바이스들로 운송 및 이송하는 것을 용이하게 하기 위해 제조 물품 상에 저장될 수 있다는 것이 인지되어야 한다. 본원에서 사용된 바와 같이, "제조 물품"이라는 용어는 임의의 컴퓨터-판독 가능 디바이스 또는 저장 매체들로부터 액세스 가능한 컴퓨터 프로그램을 포괄하는 것으로 의도된다.
[0061] 블록(210)에서, 프로세싱 로직은 훈련 세트(T)를 빈 세트(예컨대, {})로 초기화한다.
[0062] 블록(212)에서, 프로세싱 로직은 이전 기판의 표면 상에 막의 하나 이상의 층들을 증착하기 위해 수행된 이전 증착 프로세스와 연관된 센서 데이터(예컨대, 센서 값들, 특징들, 트레이스 데이터)를 획득한다. 센서 데이터는 프로세스 챔버의 서브시스템과 추가로 연관될 수 있다. 서브시스템은 프로세스 챔버의 동작 파라미터와 관련된 센서들의 세트로서 특성화될 수 있다. 동작 파라미터는 온도, 유량, 압력 등일 수 있다. 예컨대, 압력 서브시스템은 가스 유동, 챔버 압력, 제어 밸브 각도, 포어라인(펌프들 사이의 진공 라인) 압력, 펌프 속도 등을 측정하는 하나 이상의 센서들에 의해 특성화될 수 있다. 각각의 프로세스 챔버는 압력 서브시스템, 유동 서브시스템, 온도 서브시스템 등과 같은 다수의 상이한 서브시스템들을 포함할 수 있다.
[0063] 일부 실시예들에서, 증착 프로세스와 연관된 센서 데이터는 제조 시스템에서 이전 기판에 대해 이전에 수행된 이전 증착 프로세스에 대한 하나 이상의 이전 증착 설정들과 연관된 이력 데이터이다. 예컨대, 이력 데이터는 데이터 저장소(140)에 저장된 이전 증착 프로세스와 연관된 이력 맥락 데이터일 수 있다. 일부 실시예들에서, 하나 이상의 이전 증착 설정들은 이전 증착 프로세스에 대한 이전 온도 설정, 이전 증착 설정에 대한 이전 압력 설정, 이전 기판의 표면 상에 증착된 이전 막의 하나 이상의 재료에 대한 전구체에 대한 이전 유량 설정, 또는 증착 프로세스와 연관된 임의의 다른 설정 중 적어도 하나를 포함할 수 있다. 유량 설정은 이전 증착 프로세스의 초기 인스턴스에서 전구체에 대한 유량 설정(초기 유량 설정으로서 지칭됨), 이전 증착 프로세스의 최종 인스턴스에서 전구체에 대한 유량 설정(최종 유량 설정으로서 지칭됨) 또는 증착 프로세스 동안 전구체의 유량에 대한 램핑 레이트를 지칭할 수 있다. 일 예에서, 이전 막에 대한 전구체는 붕소 함유 전구체 또는 실리콘 함유 전구체를 포함할 수 있다. 일부 실시예들에서, 센서 데이터는 또한 이전 기판 상에서 수행된 이전 에칭 프로세스, 또는 프로세스 챔버에서 수행된 임의의 다른 프로세스와 연관될 수 있다.
[0064] 블록(214)에서, 프로세싱 로직은 이전 기판의 표면 상에 증착된 막에 대한 레시피와 연관된 작업 데이터를 획득한다. 예컨대, 작업 데이터는 요구된 온도 설정, 압력 설정, 기판에 증착된 막의 재료에 대한 전구체에 대한 유량 설정 등일 수 있다. 작업 데이터는 이전 기판의 표면 상에 증착된 이전 막에 대한 이력 작업 데이터를 포함할 수 있다. 일부 실시예들에서, 이전 막에 대한 이력 작업 데이터는 이전 막에 대한 레시피와 연관된 이력 작업 값에 대응할 수 있다. 프로세싱 로직은 이전에 설명된 실시예들에 따라 데이터 저장소(140)로부터 작업 데이터를 획득할 수 있다.
[0065] 블록(216)에서, 프로세싱 로직은 이전 기판에 대해 수행된 이전 증착 프로세스와 연관된 획득된 센서 데이터에 기초하여 제1 훈련 데이터를 생성한다. 블록(218)에서, 프로세싱 로직은 이전 기판의 표면 상에 증착된 막에 대한 레시피와 연관된 작업 데이터에 기초하여 제2 훈련 데이터를 생성한다.
[0066] 블록(220)에서, 프로세싱 로직은 제1 훈련 데이터와 제2 훈련 데이터 사이의 매핑을 생성한다. 매핑은 이전 기판에 대해 수행된 이전 증착 프로세스에 대한 데이터를 포함하거나 이에 기초한 제1 훈련 데이터, 및 이전 기판의 표면 상에 증착된 막에 대한 레시피와 연관된 작업 데이터를 포함하거나 이에 기초한 제2 훈련 데이터를 지칭하며, 여기서, 제1 훈련 데이터는 제2 훈련 데이터와 연관(또는 매핑)된다. 블록(224)에서, 프로세싱 로직은 훈련 세트(T)에 매핑을 추가한다.
[0067] 블록(226)에서, 프로세싱 로직은 훈련 세트(T)가 기계 학습 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함하는지를 결정한다. 일부 구현들에서, 훈련 세트(T)의 충분성이 단순히 훈련 세트 내 매핑들 수에 기초하여 결정될 수 있는 반면, 일부 다른 구현들에서, 훈련 세트(T)의 충분성은 입력/출력 매핑들의 수에 더하여 또는 그 대신에, 하나 이상의 다른 기준들(예컨대, 훈련 예들의 다양성의 척도 등)에 기초하여 결정될 수 있다는 것이 주의되어야 한다. 훈련 세트가 기계 학습 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함하지 않는다는 결정에 응답하여, 방법(200)은 블록(212)으로 리턴한다. 훈련 세트(T)가 기계 학습 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함한다고 결정한 것에 응답하여, 방법(200)은 블록(228)으로 계속된다.
[0068] 블록(228)에서, 프로세싱 로직은 기계 학습 모델을 훈련하기 위한 훈련 세트(T)를 제공한다. 일 구현에서, 훈련 세트(T)는 훈련을 수행하기 위해 서버 기계(180)의 훈련 엔진(182)에 제공된다. 예컨대, 신경망의 경우, 주어진 입력/출력 매핑의 입력 값들은 신경망에 입력되고, 입력/출력 매핑의 출력 값들은 신경망의 출력 노드들에 저장된다. 그 후, 신경망의 연결 가중치들은 학습 알고리즘(예컨대, 역전파 등)에 따라 조정되고 훈련 세트(T)의 다른 입력/출력 매핑들에 대해 절차가 반복된다.
[0069] 일부 실시예들에서, 프로세싱 로직은 기계 학습 모델을 훈련하기 전에 훈련 세트(T)로부터 변칙(anomaly)들을 제거하기 위해 이상치 검출 방법들을 수행할 수 있다. 이상치 검출 방법들은 대부분의 훈련 데이터와 크게 상이한 값들을 식별하는 기술들을 포함할 수 있다. 이러한 값들은 오류들, 노이즈 등으로부터 생성될 수 있다.
[0070] 블록(230)에서 프로세싱 로직은 훈련된 기계 학습 모델 상에서 교정 프로세스를 수행한다. 일부 실시예들에서, 프로세싱 로직은 예측 거동과 현재 거동 사이의 값들의 차이들에 기초하여 프로세스 챔버 서브시스템의 예상된 거동을 프로세스 챔버 서브시스템의 현재 거동과 비교할 수 있다. 예컨대, 프로세싱 로직은 압력 서브시스템, 유동 서브시스템 또는 온도 서브시스템의 예측 데이터와 연관된 하나 이상의 값들을 압력 서브시스템, 유동 서브시스템 또는 온도 서브시스템의 현재 측정된 거동과 연관된 하나 이상의 값들과 각각 비교할 수 있다.
[0071] 블록(230) 이후, 기계 학습 모델은 프로세스 챔버 서브시스템의 결함 패턴(예컨대, 비정상적 거동)을 표시하는 하나 이상의 값들을 생성하고, 결함의 유형(예컨대, 이슈, 장애 등)을 표시하는 예측 데이터를 생성하고 그리고/또는 의심되는 이슈 또는 장애를 시정하기 위한 시정 조치(들)를 수행하는데 사용될 수 있다. 예측 데이터는 결함 패턴을 알려진 결함 패턴들의 라이브러리와 비교함으로써 생성될 수 있다.
[0072] 일부 실시예들에서, 제조 시스템은 하나 초과의 프로세스 챔버들을 포함할 수 있다. 예컨대, 도 3의 예시적인 제조 시스템(300)은 다수의 프로세스 챔버들(314, 316, 318)을 예시한다. 일부 실시예들에서, 기계 학습 모델을 훈련하기 위해 획득된 데이터 및 기계 학습 모델에 대한 입력으로서 제공되기 위해 수집된 데이터는 제조 시스템의 동일한 프로세스 챔버와 연관될 수 있다는 것이 주의되어야 한다. 다른 또는 유사한 실시예들에서, 기계 학습 모델을 훈련하기 위해 획득된 데이터 및 기계 학습 모델에 대한 입력으로서 제공되기 위해 수집된 데이터는 제조 시스템의 상이한 프로세스 챔버들과 연관될 수 있다. 다른 또는 유사한 실시예들에서, 기계 학습 모델을 훈련하기 위해 획득된 데이터는 제1 제조 시스템의 프로세스 챔버와 연관될 수 있고, 기계 학습 모델에 대한 입력으로서 제공되기 위해 수집된 데이터는 제2 제조 시스템의 프로세스 챔버와 연관될 수 있다.
[0073] 도 3은 본 개시내용의 양상들에 따른 예시적인 제조 시스템(300)의 개략적인 평면도이다. 제조 시스템(300)은 기판(302)에 대해 하나 이상의 프로세스들을 수행할 수 있다. 기판(302)은, 전자 디바이스들 또는 회로 컴포넌트들을 상부에 제작하는 데 적합한 임의의 적합하게 강성이고, 고정 치수이며, 평면 물품, 이를테면 예컨대, 실리콘-함유 디스크 또는 웨이퍼, 패터닝된 웨이퍼, 유리 플레이트 등일 수 있다.
[0074] 제조 시스템(300)은 프로세스 도구(304), 및 프로세스 도구(304)에 결합된 팩토리 인터페이스(306)를 포함할 수 있다. 프로세스 도구(304)는 내부에 이송 챔버(310)를 갖는 하우징(308)을 포함할 수 있다. 이송 챔버(310)는 이송 챔버(310) 주위에 배치되어 있고 이송 챔버(310)에 결합되는 하나 이상의 프로세스 챔버들(프로세싱 챔버들로서 또한 지칭됨)(314, 316, 318)을 포함할 수 있다. 프로세스 챔버들(314, 316, 318)은 슬릿 밸브들 등과 같은 개개의 포트들을 통해 이송 챔버(310)에 결합될 수 있다. 이송 챔버(310)는 프로세스 챔버들(314, 316, 318), 로드록(320) 등 사이에서 기판(302)을 이송하도록 구성된 이송 챔버 로봇(312)을 또한 포함할 수 있다. 이송 챔버 로봇(312)은 하나 또는 다수의 암들을 포함할 수 있으며, 여기서 각각의 암은 각각의 암의 단부의 하나 이상의 엔드 이펙터들 및 하나 이상의 링크들을 포함한다. 엔드 이펙터는 웨이퍼들과 같은 특정 오브젝트들을 핸들링하도록 구성될 수 있다. 대안적으로 또는 부가적으로, 엔드 이펙터는 프로세스 키트 링들과 같은 오브젝트들을 핸들링하도록 구성된다. 일부 실시예들에서, 이송 챔버 로봇(312)은 SCARA(selective compliance assembly robot arm) 로봇, 이를테면, 2 링크 SCARA 로봇, 3 링크 SCARA 로봇, 4 링크 SCARA 로봇 등이다.
[0075] 이송 챔버 로봇(312)은 하나 이상의 센서들을 더 포함할 수 있다. 센서들은 프로세스 챔버들(314, 316, 318)의 하나 이상의 양상들을 특성화하거나, 하나 이상의 양상들의 판독들을 취하거나 하나 이상의 양상들의 측정들을 행하는 데 사용될 수 있다. 센서들은 가속도계, 거리 센서(예컨대, 두 오브젝트들 사이의 높이, 폭 또는 길이를 결정하기 위한), 카메라(예컨대, 고해상도 카메라, 고속 카메라 등), 용량성 센서, 반사계, 고온계(예컨대, 원격 감지 온도계, 적외선 카메라 등), 레이저 유도 형광 분광기, 광섬유 또는 다른 유형의 센서 중 하나 이상을 포함할 수 있다.
[0076] 가속도계는 이송 챔버 로봇(312)의 진동 및 포지션 노이즈를 검출 및 시정(또는 교정)하는 데 사용될 수 있다. 거리 센서는 척(테이블), 에지 링, 샤워 헤드, 벽들, 또는 프로세스 챔버(314, 316, 318)의 임의의 다른 컴포넌트의 침식 및/또는 부식을 검출하는 데 사용될 수 있다. 예컨대, 에칭 프로세스 동안, 에지 링은 기판 표면을 따른 균일성을 촉진하는 데 사용될 수 있다. 그러나 에칭은 에지 링을 부식시킬 수 있다. 따라서, 포지션 센서는 에지 링의 최상부 평면과 예컨대, 기판의 최상부 평면 사이의 거리를 측정함으로써 상기 부식을 검출하는데 사용될 수 있다. 카메라는 오퍼레이터에 의한 시각적 검사를 위해 프로세스 챔버(314, 316, 318)의 섹션들을 레코딩할 수 있다. 커패시터 센서는 프로세스 챔버(314, 316, 318) 내부의 가스 분배를 위해 사용되는 샤워헤드의 포지션을 검출하고, 기판의 레벨링을 결정하고, 침식을 검출하는 등을 위해 사용될 수 있다. 반사계는 프로세스 챔버(314, 316, 318)의 벽들 상의 시즈닝 막(seasoning film)의 품질을 프로빙하는 데 사용될 수 있다. 예컨대, 반사계는 프로세스 챔버(314, 316, 318)의 벽 상에 광을 생성하고 반사된 광의 반사 인덱스를 레코딩할 수 있다. 고온계는 프로세스 챔버(314, 316, 318) 내 히터의 온도 균일성을 검출하고, 프로세스 챔버(314, 316, 318) 내부의 핫 스팟들을 검출하는 등을 위해 사용될 수 있다. 이송 챔버 로봇(312)은 논의된 센서 또는 다른 센서들의 임의의 수량 또는 조합을 포함할 수 있다.
[0077] 하나 이상의 센서들은 이송 챔버 로봇(312)의 엔드 이펙터에, 이송 챔버 로봇(312)의 하나 이상의 링크들에, 또는 이송 챔버 로봇(312)의 임의의 다른 섹션에 결합될 수 있다. 일부 실시예들에서, 이송 챔버 로봇(312)은 기판을 이송하는 데 요구되는 것보다 더 많은 자유도들 및/또는 부가적인 링크들을 포함할 수 있다. 센서들을 동작시키는데 부가적인 링크들 및/또는 자유도들이 사용될 수 있다. 특히, 이송 챔버 로봇(312)은 센서에 결합하기 위한 하나 이상의 부가적인 링크들을 포함할 수 있고, 링크(들)(또는 이송 챔버 로봇(312))는 프로세스 챔버(314, 316, 318) 내에서 센서를 병진운동, 회전 및/또는 포지셔닝하기 위한 하나 이상의 부가적인 자유도를 포함할 수 있다. 예컨대, 이송 챔버 로봇(312)은 프로세스 챔버(314, 316, 318) 내에서 카메라를 회전시킬 수 있는 고해상도 카메라에 결합된 부가적인 링크를 포함할 수 있다.
[0078] 일부 실시예들에서, 센서들은 전력 링크 및/또는 데이터 링크 중 하나 이상에 결합된다. 전력 링크는 센서(들)에 전력을 제공할 수 있는 임의의 유선 또는 무선(예컨대, 유도성) 연결일 수 있다. 일부 실시예들에서, 전력 링크는 이송 챔버 로봇(312)의 다른 기능(예컨대, 링크 움직임 기능들, 이펙터 동작 기능 등)에 전력을 제공하는 데 사용되는 유사하거나 동일한 시스템이다. 일부 실시예들에서, 전력 링크는 이송 챔버 로봇(312)의 다른 기능들에 전력을 제공하는 데 사용되는 다른 전력 링크와 독립적인 시스템이다. 데이터 링크는 센서(들)로부터의 데이터를 제공하거나 리트리브하는 데 사용되는 임의의 유선 또는 무선(WiFi, Bluetooth, 인터넷-기반 등) 연결일 수 있다. 예컨대, 데이터 링크는 측정들 또는 판독들을 행하고, 수집된 데이터를 인터페이스(예컨대, 사용자 인터페이스) 또는 데이터 저장 시스템 등에 전송하도록 명령들을 센서에 제공하는 데 사용될 수 있다. 일부 실시예들에서, 데이터 링크는 이송 챔버 로봇(312)이 이송 챔버들(314, 316, 318)과 로드록들(320) 사이에서 기판들을 이송 및 포지셔닝하는 것을 가능하게 하도록 이송 챔버 로봇(312)에 명령들을 제공하고 통신하는 데 사용되는 다른 데이터 링크와 독립적인 시스템이다.
[0079] 프로세스 챔버들(314, 316, 318)은 기판(302) 상에서 임의의 수의 프로세스들을 수행하도록 적응될 수 있다. 동일하거나 상이한 기판 프로세스가 각각의 프로세싱 챔버(314, 316, 318)에서 발생할 수 있다. 기판 프로세스는 ALD(atomic layer deposition), PVD(physical vapor deposition), CVD(chemical vapor deposition), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등을 포함할 수 있다. 다른 프로세스들이 내부의 기판들에 대해 수행될 수 있다. 프로세스 챔버들(314, 316, 318)은 각각 기판 프로세스 이전, 이후 또는 도중에 기판(302)에 대한 데이터를 캡처하도록 구성된 하나 이상의 센서들을 포함할 수 있다. 예컨대, 하나 이상의 센서들은 기판 프로세스 동안 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 캡처하도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 하나 이상의 센서들은 기판 프로세스 이전, 이후 또는 도중에 프로세스 챔버(314, 316, 318) 내의 환경과 연관된 데이터를 캡처하도록 구성될 수 있다. 예컨대, 하나 이상의 센서들은 기판 프로세스 동안 프로세스 챔버(314, 316, 318) 내의 환경의 온도, 압력, 가스 농도 등과 연관된 데이터를 캡처하도록 구성될 수 있다.
[0080] 로드록(320)이 또한, 하우징(308) 및 이송 챔버(310)에 결합될 수 있다. 로드록(320)은, 하나의 측에서, 이송 챔버(310)와 인터페이스하고 그에 결합되고, 팩토리 인터페이스(306)와 인터페이스하고 그에 결합되도록 구성될 수 있다. 로드록(320)은 일부 실시예들에서, 환경적으로-제어되는 분위기를 가질 수 있으며, 그 환경적으로-제어되는 분위기는 진공 환경(진공 환경에서, 기판들이 이송 챔버(310)로 그리고 이송 챔버(310)로부터 이송될 수 있음)으로부터 대기압 불활성-가스 환경(대기압 불활성-가스 환경에서, 기판들이 팩토리 인터페이스(306)로 그리고 팩토리 인터페이스(306)로부터 이송될 수 있음) 수준으로 변화될 수 있다. 팩토리 인터페이스(306)는 임의의 적합한 인클로저, 이를테면 예컨대, EFEM(Equipment Front End Module)일 수 있다. 팩토리 인터페이스(306)는 팩토리 인터페이스(306)의 다양한 로드 포트들(324)에 도킹된 기판 캐리어들(322)(예컨대, FOUP(Front Opening Unified Pod)들)로부터 기판들(302)을 수용하도록 구성될 수 있다. 팩토리 인터페이스 로봇(326)(점선으로 도시됨)은 캐리어들(컨테이너들로서 또한 지칭함)(322)과 로드록(320) 사이에서 기판(302)들을 이송하도록 구성될 수 있다. 캐리어(322)는 기판 저장 캐리어 또는 교체 부품 저장 캐리어일 수 있다.
[0081] 제조 시스템(300)은 또한 제조 시스템(300)에 관한 정보를 사용자(예컨대, 오퍼레이터)에게 제공하도록 구성된 클라이언트 디바이스(미도시)에 연결될 수 있다. 일부 실시예들에서, 클라이언트 디바이스는 하나 이상의 GUI(graphical user interface)들을 통해 제조 시스템(300)의 사용자에게 정보를 제공할 수 있다. 예컨대, 클라이언트 디바이스는 GUI를 통해 프로세스 챔버(314, 316, 318)에서 수행되는 증착 프로세스 동안 기판(302)의 표면 상에 증착될 막에 대한 타깃 두께 프로파일에 관한 정보를 제공할 수 있다. 클라이언트 디바이스는 또한 본원에서 설명된 실시예들에 따라, 타깃 프로파일에 대응하는 것으로 예측된 증착 설정들의 개개의 세트를 고려하여 프로세스 레시피에 대한 수정에 관한 정보를 제공할 수 있다.
[0082] 제조 시스템(300)은 또한 시스템 제어기(328)를 포함할 수 있다. 시스템 제어기(328)는 개인용 컴퓨터, 서버 컴퓨터, PLC(programmable logic controller), 마이크로제어기 등과 같은 컴퓨팅 디바이스이고 그리고/또는 이를 포함할 수 있다. 시스템 제어기(328)는 마이크로프로세서, 중앙 프로세싱 디바이스 등과 같은 범용 프로세싱 디바이스들일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다. 보다 구체적으로, 프로세싱 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서, 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는 또한, 하나 이상의 특수-목적 프로세싱 디바이스들, 예컨대, ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등일 수 있다. 시스템 제어기(328)는 데이터 저장 디바이스(예컨대, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 제어기(328)는 본원에서 설명된 방법들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 일부 실시예들에서, 시스템 제어기(328)는 프로세스 레시피에 따라 제조 시스템(300)에서 하나 이상의 동작들을 수행하기 위한 명령들을 실행할 수 있다. 명령들은 (명령들의 실행 동안) 메인 메모리, 정적 메모리, 보조 저장소 및/또는 프로세싱 디바이스를 포함할 수 있는 컴퓨터 판독 가능 저장 매체 상에 저장될 수 있다.
[0083] 시스템 제어기(328)는 제조 시스템(300)의 다양한 부분들(예컨대, 프로세싱 챔버들(314, 316, 318), 이송 챔버(310), 로드록(320) 등) 상에 또는 내에 포함된 센서들로부터 데이터를 수신할 수 있다. 일부 실시예들에서, 시스템 제어기(328)에 의해 수신된 데이터는 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 포함할 수 있다. 다른 또는 유사한 실시예들에서, 시스템 제어기(328)에 의해 수신된 데이터는 이전에 설명된 바와 같이 프로세싱 챔버(314, 316, 318)에서 프로세싱 기판(302)과 연관된 데이터를 포함할 수 있다. 본 설명의 목적들을 위해, 시스템 제어기(328)는 프로세스 챔버들(314, 316, 318) 내에 포함된 센서들로부터 데이터를 수신하는 것으로 설명된다. 그러나 시스템 제어기(328)는 제조 시스템(300)의 임의의 부분으로부터 데이터를 수신할 수 있고, 본원에서 설명된 실시예들에 따라 해당 부분으로부터 수신된 데이터를 사용할 수 있다. 예시적인 예에서, 시스템 제어기(328)는 프로세스 챔버(314, 316, 318)에서 기판 프로세스 이전, 이후, 또는 도중에 프로세스 챔버(314, 316, 318)에 대한 하나 이상의 센서들로부터 데이터를 수신할 수 있다. 제조 시스템(300)의 다양한 부분들의 센서들로부터 수신된 데이터는 데이터 저장소(350)에 저장될 수 있다. 데이터 저장소(350)는 시스템 제어기(328) 내의 컴포넌트로서 포함될 수 있거나 시스템 제어기(328)로부터 별개의 컴포넌트일 수 있다.
[0084] 도 4는 본 개시내용의 실시예들에 따라, 프로세스 챔버(400)의 개략적인 측단면도이다. 일부 실시예들에서, 프로세스 챔버(400)는 도 3과 관련하여 설명된 프로세스 챔버(314, 316, 318)에 대응할 수 있다. 프로세스 챔버(400)는 부식성 플라즈마 환경이 제공되는 프로세스들에 대해 사용될 수 있다. 예컨대, 프로세스 챔버(400)는 플라즈마 에칭기 또는 플라즈마 에칭 반응기 등을 위한 챔버일 수 있다. 다른 예에서, 프로세스 챔버는 이전에 설명된 바와 같이 증착 프로세스를 위한 챔버일 수 있다. 일부 실시예들에서, 프로세스 챔버(400)는 전자 디바이스 제조 시스템에 사용되는 임의의 챔버일 수 있다. 이송 챔버 로봇(312)은 그와 관련하여 논의된 바와 같이 프로세싱 챔버(400)에 진입하고 판독들을 수행하고, 측정들을 행하고, 하나 이상의 센서들을 사용하여 데이터를 수집할 수 있다.
[0085] 일 실시예에서, 프로세스 챔버(400)는 내부 볼륨(406)을 밀폐하는 샤워헤드(430) 및 챔버 바디(402)를 포함한다. 샤워헤드(430)는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트를 포함할 수 있다. 대안적으로, 샤워헤드(430)는, 일부 실시예들에서, 덮개와 노즐로 대체될 수 있거나, 또는 다른 실시예들에서, 다수의 파이 형상 샤워헤드 컴파트먼트(compartment)들과 플라즈마 생성 유닛들로 대체될 수 있다. 챔버 바디(402)는 알루미늄, 스텐인리스 강, 또는 다른 적합한 재료, 이를테면 티타늄(Ti)으로 제작될 수 있다. 챔버 바디(402)는 일반적으로, 측벽들(408) 및 바닥(410)을 포함한다. 배기 포트(426)가 챔버 바디(402)에 정의될 수 있고, 유동 제어 장치(428)에 내부 볼륨(406)을 결합할 수 있다. 유동 제어 장치(428)는 하나 이상의 펌프들 및 스로틀 밸브들을 포함할 수 있으며, 그 하나 이상의 펌프들 및 스로틀 밸브들은 프로세스 챔버(400)의 내부 볼륨(406)을 진공배기시키기 위해 그리고 그 내부 볼륨(406)의 압력을 조절하기 위해 활용된다.
[0086] 샤워헤드(430)는 챔버 바디(402)의 측벽(408) 상에 지지될 수 있다. 샤워헤드(420)(또는 덮개)는 프로세스 챔버(400)의 내부 볼륨(406)으로의 액세스를 가능하게 하기 위해 개방될 수 있으며, 폐쇄된 동안 프로세스 챔버(400)에 대해 밀봉을 제공할 수 있다. 가스 패널(458)이 샤워헤드(430) 또는 덮개와 노즐을 통해(예컨대, 샤워헤드 또는 덮개 및 노즐의 어퍼처들을 통해) 내부 볼륨(406)에 공급 라인(412)을 경유하여 프로세스 및/또는 세정 가스들을 제공하기 위해 프로세스 챔버(400)에 결합될 수 있다. 예컨대, 가스 패널(458)은 기판(302)의 표면 상에 증착된 막(451)의 재료들에 대한 전구체들을 제공할 수 있다. 일부 실시예들에서, 전구체는 실리콘 기반 전구체 또는 붕소 기반 전구체를 포함할 수 있다. 샤워헤드(430)는 가스 분배 플레이트(GDP)를 포함할 수 있고, GDP 전체에 걸쳐 다수의 가스 전달 홀들(432)(채널들로서 또한 지칭됨)을 가질 수 있다. 기판 지지 조립체(448)가 프로세스 챔버(400)의 내부 볼륨(406)에서 샤워헤드(430) 아래에 배치된다. 기판 지지 조립체(448)는 프로세싱 동안(예컨대, 증착 프로세스 동안) 기판(302)을 홀딩한다.
[0087] 일부 실시예들에서, 프로세싱 챔버(400)는 프로세스 챔버(400)에서 수행되는 프로세스 동안 인-시튜 계측 측정들을 생성하도록 구성된 계측 장비(도시되지 않음)를 포함할 수 있다. 계측 장비는 시스템 제어기(예컨대, 이전에 설명된 바와 같이, 시스템 제어기(328))에 동작 가능하게 결합될 수 있다. 일부 실시예들에서, 계측 장비는 증착 프로세스의 특정 인스턴스들 동안 막(451)에 대한 계측 측정 값(예컨대, 두께)을 생성하도록 구성될 수 있다. 시스템 제어기는 계측 장비로부터의 수신된 계측 측정 값들에 기초하여 막(451)에 대한 두께 프로파일을 생성할 수 있다. 다른 또는 유사한 실시예들에서, 프로세싱 챔버(400)는 계측 장비를 포함하지 않는다. 이러한 실시예들에서, 시스템 제어기는 프로세스 챔버(400)에서 증착 프로세스의 완료 후 막(451)에 대한 하나 이상의 계측 측정 값들을 수신할 수 있다. 시스템 제어기는 하나 이상의 계측 측정 값들에 기초하여 증착 레이트를 결정할 수 있고, 증착 프로세스의 결정된 증착 레이트 및 결정된 농도 구배에 기초하여 막(451)에 대한 두께 프로파일을 생성 및 연관시킬 수 있다.
[0088] 도 5는 본 개시내용의 실시예들에 따라 프로세스 도구(500)의 개략적 평면도이다. 일부 실시예들에서, 프로세스 도구(500)는 도 3과 관련하여 설명된 프로세스 도구(504)에 대응할 수 있다. 프로세스 도구(500)는 프로세스 챔버들(510A-510F), 이송 챔버(515) 및 이송 챔버 로봇(520)을 포함할 수 있다. 이송 챔버 로봇(520)은 엔드 이펙터들(525A, 525B)을 포함할 수 있다. 엔드 이펙터들(525A)은 센서들(530A 및 530B)을 포함할 수 있다. 센서들(530A, 530B)은 가속도계, 거리 센서, 카메라, 용량성 센서, 반사계, 고온계, 레이저 유도 형광 분광기 또는 광섬유 등 중 하나 이상을 포함할 수 있다.
[0089] 이송 챔버 로봇(520)은 임의의 프로세스 챔버(510A-510F) 내에 엔드 이펙터들(525A, 525B)을 포지셔닝할 수 있다. 예시적인 예로서, 도 5는 프로세스 챔버(510C) 내에 포지셔닝된 엔드 이펙터(525A)를 도시한다. 센서들(530A 및 530B)을 사용하여, 이송 챔버 로봇(520)은 프로세스 챔버(510C)의 판독들 및/또는 측정들을 취할 수 있다. 일부 실시예들에서, 센서(들) 및/또는 이송 챔버 로봇(520)은 프로세싱 디바이스 이를테면, CPU(central processing unit), 마이크로제어기, PLC(programmable logic controller), SoC(system on a chip), 서버 컴퓨터 또는 다른 적합한 유형의 컴퓨팅 디바이스를 포함한다. 프로세싱 디바이스는 센서들의 동작과 관련된 프로그래밍 명령들을 실행하도록 구성될 수 있다. 프로세싱 디바이스는 센서 디바이스로부터 피드백 신호들을 수신하고 신호들을 센서 데이터(예컨대, 온도, 비디오 데이터, 포지션 데이터 등)로 컴퓨팅할 수 있다. 프로세싱 디바이스는 추가로, 수신된 명령들에 기초하여 센서들에 제어 신호들을 송신할 수 있다. 일부 실시예들에서, 프로세싱 디바이스는 고속 피드백 프로세싱을 위해 구성되며, 예컨대, EPM을 포함할 수 있다. 일부 실시예들에서, 프로세싱 디바이스는 피드백 신호들 및/또는 센서 데이터를 인터페이스(예컨대, 사용자 인터페이스), 데이터 저장소 등에 송신하거나 전송하도록 구성된다.
[0090] 도 6은 본 개시내용의 실시예들에 따른 이송 챔버 로봇 링크 조립체(600)의 개략적인 평면도이다. 링크 조립체(600)는 제1 링크(610), 제2 링크(615) 및 센서(620)를 포함할 수 있다. 센서(620)는 제2 링크(615)에 결합될 수 있다. 센서(620)는 가속도계, 거리 센서, 카메라, 용량성 센서, 반사계, 고온계, 레이저 유도 형광 분광기 또는 광섬유 등 중 하나 이상을 포함할 수 있다. 예시적인 예로서, 제2 링크(615)는 핀을 통해 제1 링크(610)에 결합될 수 있으며, 이는 제2 링크(615)(및 센서(620))가 아크 모션(625)으로 이동하는 것을 가능하게 한다. 제1 링크(610)는 이송 챔버 로봇의 다른 링크를 통해 이송 챔버 로봇에, 이송 챔버 로봇의 엔드 이펙터 등에 결합될 수 있다.
[0091] 도 7은 본 개시내용의 양상들에 따라 센서를 사용하여 측정들을 행하도록 이송 챔버 로봇을 제어하기 위한 방법(700)의 흐름도이다. 방법(700)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(700)은 도 1의 시스템 제어기(128)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(700)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다.
[0092] 블록(710)에서, 프로세싱 로직은 프로세스 챔버 내에 하나 이상의 센서들을 포지셔닝한다. 하나 이상의 센서들은 이송 챔버 로봇에 결합될 수 있다. 예컨대, 프로세싱 로직은 센서가 부착된 이송 챔버 로봇의 엔드 이펙터, 센서가 부착된 이송 챔버 로봇의 링크, 또는 이들의 임의의 조합을 포지셔닝할 수 있다. 프로세싱 로직은 사용자 입력(예컨대, 사용자 인터페이스를 통해)에 대한 응답으로, 미리 결정된 커맨드(예컨대, 스케줄링된 커맨드) 등에 대한 응답으로 센서를 포지셔닝할 수 있다. 일부 실시예들에서, 프로세싱 로직은 센서 및/또는 프로세스 챔버의 유형에 기초하여 프로세스 챔버 내의 센서의 포지션들과 관련된 데이터를 포함하는 데이터베이스 또는 라이브러리를 사용할 수 있다.
[0093] 블록(720)에서, 프로세싱 로직은 이송 챔버 로봇의 하나 이상의 센서들로부터 센서 데이터를 획득한다. 예컨대, 하나 이상의 센서들은 프로세스 챔버와 연관된 임의의 부분 또는 컴포넌트의 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 캡처할 수 있다. 부가적으로, 하나 이상의 센서들은 프로세스 챔버 내의 환경과 연관된 데이터를 캡처하도록 구성될 수 있다. 예컨대, 하나 이상의 센서들은 프로세스 챔버 내의 환경의 온도, 압력, 가스 농도 등과 연관된 데이터를 캡처하도록 구성될 수 있다. 일부 실시예들에서 일단 포지셔닝되면, 프로세싱 로직은 센서 데이터를 수집하기 위해 프로세스 챔버 내에서 센서를 이동(링크, 엔드 이펙터 등을 이동시킴으로써)시킬 수 있다. 움직임은 자동 움직임(예컨대, 미리 결정된 움직임) 또는 사용자 인터페이스, 제어기(예컨대, 조이스틱, 터치스크린) 등을 통한 사용자 입력에 기초한 수동 움직임일 수 있다. 예컨대, 센서가 카메라인 실시예에서, 사용자는 비디오 및/또는 오디오 데이터를 레코딩하기 위해 프로세스 챔버 내의 상이한 로케이션들로 카메라를 지향시킬 수 있다. 센서 데이터는 사용자 인터페이스, 데이터베이스 구조 등으로 전송될 수 있다.
[0094] 블록(730)에서, 프로세싱 로직은 프로세스 챔버로부터 센서를 제거한다. 예컨대, 프로세싱 로직은 센서를 이송 챔버 내에 또는 상이한 프로세스 챔버 내에 포지셔닝할 수 있다.
[0095] 도 8은 본 개시내용의 양상들에 따라 기계 학습 모델을 사용하여 프로세스 챔버 서브시스템의 장애 유형을 결정하기 위한 방법(800)의 흐름도이다. 방법(800)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(800)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(800)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양상들에서, 방법(600)의 하나 이상의 동작들은 서버 기계(170), 서버 기계(180) 및/또는 예측 서버(112)에 의해 수행될 수 있다.
[0096] 블록(810)에서, 프로세싱 로직은 프로세스 챔버에서 수행되는 동작과 연관된 센서 데이터를 획득한다. 일부 실시예들에서, 동작은 기판의 표면 상의 막의 하나 이상 층들을 증착하기 위해 프로세스 챔버에서 수행되는 증착 프로세스, 기판의 표면 상의 막의 하나 이상 층들 상에서 수행되는 에칭 프로세스, 등을 포함할 수 있다. 동작은 레시피에 따라 수행될 수 있다. 센서 데이터는 온도(예컨대, 히터 온도), 간격, 압력, 고주파수 라디오 주파수, 정전 척의 전압, 전류, 재료 유동, 전력, 전압 등 중 하나 이상의 것의 값을 포함할 수 있다. 센서 데이터는 하드웨어 파라미터들 이를테면, 제조 장비(124)의 세팅들 또는 컴포넌트들(예컨대, 크기, 유형 등) 또는 제조 장비(124)의 프로세스 파라미터들과 같은 제조 파라미터들과 연관되거나 이들을 표시할 수 있다. 센서 데이터는 센서들(126)을 사용하여 획득될 수 있다.
[0097] 블록(812)에서, 프로세싱 로직은 획득된 센서 데이터에 기계 학습 모델(예컨대, 모델(190))을 적용한다. 기계 학습 모델은 프로세스 챔버 서브시스템의 예상된 거동과 연관된 하나 이상의 값들을 생성하는 데 사용될 수 있다. 예컨대, 기계 학습 모델은 훈련 세트(T)를 사용하여 프로세스 챔버 서브시스템의 예측 거동을 생성하기 위해 알고리즘을 사용할 수 있다. 일부 실시예들에서, 기계 학습 모델은 프로세스 챔버의 서브시스템의 이력 센서 데이터 및 동작을 수행하는 데 사용된 레시피와 연관된 작업 데이터를 사용하여 훈련된다.
[0098] 블록(814)에서, 프로세싱 로직은 센서 데이터에 기초하여 기계 학습 모델을 통해 출력을 생성한다. 일부 실시예들에서, 출력은 패턴(예컨대, 결함 패턴)을 표시하는 값일 수 있다. 특히, 출력은 현재 데이터가 프로세스 챔버에 의해 경험되는 장애를 표시하는지에 관한 예측 데이터를 포함할 수 있다. 일부 실시예들에서, 출력은 프로세스 챔버 서브시스템의 예상된 거동과 프로세스 챔버 서브시스템의 실제 거동 사이의 차이를 표시하는 적어도 하나의 값일 수 있다. 특히, 값(들)은 서브시스템과 연관된 센서들의 세트의 실제 값들과 센서들의 세트의 예상된 값들 사이의 차이를 표시할 수 있다. 장애는 메커니즘 장애, 고압 또는 저압, 높은 또는 낮은 가스 유동, 고온 또는 저온 등을 포함할 수 있다.
[0099] 블록(816)에서, 프로세싱 로직은 프로세스 챔버 서브시스템이 장애를 경험하고 있는지를 결정한다. 일부 실시예들에서, 장애는 메커니즘 장애, 고압 또는 저압, 높은 또는 낮은 가스 유동, 고온 또는 저온, 부식, 침식, 열화 등을 포함할 수 있다. 일부 실시예들에서, 프로세싱 로직은 출력을 미리 결정된 임계값과 비교함으로써 프로세스 챔버 서브시스템이 장애를 경험하고 있는지를 결정할 수 있다. 일부 실시예들에서, 프로세싱 로직은 출력이 예상된 거동과 매칭하지 못한다고 결정함으로써 프로세스 챔버 서브시스템이 장애를 경험하고 있는지를 결정할 수 있다. 프로세스 챔버 서브시스템이 장애를 경험하고 있지 않다는 것(예컨대, 출력의 값이 미리 결정된 임계 값을 초과하지 않음)을 프로세싱 로직이 결정하는 것에 응답하여, 프로세싱 로직은 블록(810)으로 진행할 수 있다. 프로세스 챔버 서브시스템이 장애를 경험하고 있다는 것(예컨대, 출력의 값이 미리 결정된 임계 값을 초과함)을 프로세싱 로직이 결정하는 것에 응답하여, 프로세싱 로직은 블록(818)으로 진행할 수 있다.
[00100] 블록(818)에서 프로세싱 로직은 출력에 기초하여 장애 유형을 식별할 수 있다. 일부 실시예들에서, 프로세싱 로직은 알려진 결함 패턴 또는 제조 데이터 그래프(들)와 비교할 때 결함 패턴의 유사성에 기초하여 장애 유형을 결정하기 위해 제조 데이터 그래프(들) 및/또는 알려진 결함 패턴들의 라이브러리에 대해 결함 패턴을 비교할 수 있다. 일부 실시예들에서, 결함의 유형은 자연어 프로세싱을 사용하여 제조 데이터 그래프로부터 추출되고 그 후 대응하는 결함 패턴과 연관될 수 있다. 일부 실시예들에서, 장애 유형은 사용자 인터페이스 상에 (사용자에게) 디스플레이될 수 있다.
[00101] 블록(820)에서, 프로세싱 로직은 식별된 장애에 기초하여, 시정 조치를 수행(또는 제안)할 수 있다. 일부 실시예들에서, 시정 조치는 결함 라이브러리로부터 획득된 데이터에 기초하여 결정될 수 있다. 일부 실시예들에서, 시정 조치는 클라이언트 디바이스(120)에, 결정된 문제에 관한 경고 또는 표시를 생성하는 것을 포함할 수 있다. 일부 실시예들에서, 시정 조치는 결함 또는 장애 유형, 결함 또는 장애의 원인, 및/또는 권장 시정 조치를 표시하는 프로세싱 로직을 포함할 수 있다. 일부 실시예들에서, 시정 조치는, 막에 대한 원하는 성질에 기초하여 프로세싱 로직이 증착 프로세스 레시피의 하나 이상의 파라미터들(예컨대, 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 기판 표면 상에 증착된 막에 포함된 재료에 대한 전구체에 대한 유량 설정 등)을 조정하는 것을 포함할 수 있다. 일부 실시예들에서, 증착 프로세스 레시피는 증착 프로세스 전, 도중(예컨대, 실시간으로) 또는 후에 조정될 수 있다.
[00102] 도 9는 특정 실시예들에 따른 컴퓨터 시스템(900)을 예시한 블록도이다. 일부 실시예들에서, 컴퓨터 시스템(900)은 (예컨대, LAN(Local Area Network), 인트라넷, 엑스트라넷 또는 인터넷과 같은 네트워크를 통해) 다른 컴퓨터 시스템들에 연결될 수 있다. 컴퓨터 시스템(900)은 클라이언트-서버 환경에서 서버 또는 클라이언트 컴퓨터로서 동작할 수 있거나, 또는 피어-투-피어 또는 분산 네트워크 환경에서 피어 컴퓨터로서 동작할 수 있다. 컴퓨터 시스템(900)은 PC(personal computer), 태블릿 PC, STB(Set-Top Box), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 기기, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 해당 디바이스에 의해 행해질 조치들을 특정하는 명령들의 세트를 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 디바이스에 의해 제공될 수 있다. 또한 "컴퓨터"라는 용어는 본원에서 설명된 방법들 중 임의의 하나 이상을 수행하기 위해 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 컴퓨터들의 임의의 모음을 포함할 수 있다.
[00103] 추가 양상에서, 컴퓨터 시스템(900)은 프로세싱 디바이스(902), 휘발성 메모리(904)(예컨대, RAM(Random Access Memory)), 비휘발성 메모리(906)(예컨대, ROM(Read-Only Memory) 또는 EEPROM(Electrically-Erasable Programmable ROM)), 및 데이터 저장 디바이스(916)를 포함할 수 있으며, 이들은 버스(908)를 통해 서로 통신할 수 있다.
[00104] 프로세싱 디바이스(902)는 하나 이상의 프로세서들 이를테면, (예컨대, CISC(Complex Instruction Set Computing) 마이크로프로세서, RISC(Reduced Instruction Set Computing) 마이크로프로세서, VLIW(Very Long Instruction Word) 마이크로프로세서, 다른 유형들의 명령 세트들을 구현하는 마이크로프로세서 또는 명령 세트들의 유형들의 조합을 구현하는 마이크로프로세서와 같은) 범용 프로세서 또는 (예컨대, ASIC(Application Specific Integrated Circuit), FPGA(Field Programmable Gate Array), DSP(Digital Signal Processor) 또는 네트워크 프로세서와 같은) 특수 프로세서에 의해 제공될 수 있다.
[00105] 컴퓨터 시스템(900)은 네트워크 인터페이스 디바이스(922)(예컨대, 네트워크(974)에 결합됨)를 더 포함할 수 있다. 컴퓨터 시스템(900)은 또한 비디오 디스플레이 유닛(910)(예컨대, LCD), 영숫자(alphanumeric) 입력 디바이스(912)(예컨대, 키보드), 커서 제어 디바이스(914)(예컨대, 마우스), 및 신호 생성 디바이스(920)를 포함할 수 있다.
[00106] 일부 구현들에서, 데이터 저장 디바이스(916)는, 본원에서 설명된 방법들을 구현하기 위한 그리고 도 1의 컴포넌트들(예컨대, 시정 조치 컴포넌트(122), 예측 컴포넌트(114) 등)를 인코딩하는 명령들을 포함하여, 본원에서 설명된 방법들 또는 기능들 중 임의의 하나 이상을 인코딩하는 명령들(926)을 저장할 수 있는 비일시적 컴퓨터 판독 가능 저장 매체(924)를 포함할 수 있다.
[00107] 명령들(926)은 또한 컴퓨터 시스템(900)에 의한 명령들(926)의 실행 동안 휘발성 메모리(904) 내에 그리고/또는 프로세싱 디바이스(902) 내에 완전히 또는 부분적으로 상주할 수 있고, 따라서 휘발성 메모리(904) 및 프로세싱 디바이스(902)는 또한 기계 판독 가능 저장 매체들을 구성할 수 있다.
[00108] 컴퓨터-판독 가능 저장 매체(924)가 예시적인 예들에서 단일 매체로서 도시되지만, "컴퓨터-판독 가능 저장 매체"라는 용어는 실행 가능한 명령들의 하나 이상의 세트들을 저장하는 단일 매체 또는 다수의 매체들(예컨대, 중앙 집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시들 및 서버들)을 포함할 수 있다. "컴퓨터-판독 가능 저장 매체"라는 용어는 또한, 컴퓨터에 의한 실행을 위해 명령들의 세트를 저장 또는 인코딩할 수 있고, 컴퓨터로 하여금 본원에서 설명되는 방법들 중 임의의 하나 이상을 수행하게 하는 임의의 실체가 있는 매체를 포함할 수 있다. "컴퓨터-판독 가능 저장 매체"라는 용어는 솔리드-스테이트 메모리들, 광학 매체들, 및 자기 매체들을 포함할 수 있다(그러나 이에 제한되지는 않음).
[00109] 본원에서 설명된 방법들, 컴포넌트들 및 특징들은 이산 하드웨어 컴포넌트들에 의해 구현될 수 있거나 ASIC들, FPGA들, DSP들 또는 유사한 디바이스들과 같은 다른 하드웨어 컴포넌트들의 기능성에 통합될 수 있다. 또한, 방법들, 컴포넌트들 및 특징들은 하드웨어 디바이스들 내의 기능 회로부 또는 펌웨어 모듈들에 의해 구현될 수 있다. 또한, 방법들, 컴포넌트들 및 특징들은 하드웨어 디바이스들 및 컴퓨터 프로그램 컴포넌트들의 임의의 조합으로 또는 컴퓨터 프로그램들로 구현될 수 있다.
[00110] 구체적으로 달리 언급되지 않는 한, "수신하는", "수행하는", "제공하는", "획득하는", "야기하는", "액세스하는", "결정하는", "추가하는", "사용하는", "훈련하는" 등의 용어들은 컴퓨터 시스템 레지스터들 및 메모리들 내의 물리적(전자적) 양들로서 표현된 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 송신, 또는 디스플레이 디바이스들 내의 물리적 양들로서 유사하게 표현된 다른 데이터로 조작 및 변형하는 컴퓨터 시스템들에 의해 구현되거나 수행되는 조치들 및 프로세스들을 지칭한다. 또한, 본원에서 사용되는 바와 같은 "제1", "제2", "제3", "제4" 등의 용어들은 상이한 엘리먼트들 간을 구별하기 위한 라벨들로서 의도되고, 그의 수치 지정에 따라 서수 의미를 가질 필요는 없다.
[00111] 본원에서 설명된 예들은 또한 본원에서 설명된 방법들을 수행하기 위한 장치에 관한 것이다. 이 장치는 본원에서 설명된 방법들을 수행하기 위해 특별히 구성될 수 있거나 컴퓨터 시스템에 저장된 컴퓨터 프로그램에 의해 선택적으로 프로그래밍되는 범용 컴퓨터 시스템을 포함할 수 있다. 이러한 컴퓨터 프로그램은 컴퓨터 판독 가능한 실체가 있는 저장 매체에 저장될 수 있다.
[00112] 본원에서 설명된 방법들 및 예시적인 예들은 본질적으로 임의의 특정 컴퓨터 또는 다른 장치와 관련되지 않는다. 다양한 범용 시스템들이 본원에서 설명된 교시내용들에 따라 사용될 수 있거나, 본원에서 설명된 방법들 및/또는 이 방법들의 개별 기능들, 루틴들, 서브루틴들 또는 동작들 각각을 수행하기 위해 보다 특수화된 장치를 구성하는 것이 편리한 것으로 입증될 수 있다. 다양한 이들 시스템들에 대한 구조의 예들이 위의 설명에서 기술된다.
[00113] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다. 본 개시내용이 특정한 예시적인 예들 및 구현들을 참조하여 설명되었지만, 본 개시내용은 설명된 예들 및 구현들로 제한되지 않는다는 것이 인식될 것이다. 본 개시내용의 범위는, 다음의 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 청구항들을 참조하여 결정되어야 한다.
[00114] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[00115] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 어구 "일 실시예" 또는 "실시예"의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, 용어 "또는"은 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된다. 용어 "약" 또는 "대략"이 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.

Claims (22)

  1. 전자 디바이스 제조 시스템을 위한 프로세스 도구로서,
    이송 챔버;
    상기 이송 챔버에 결합된 프로세스 챔버; 및
    상기 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 기판들을 이송하도록 구성된 이송 챔버 로봇을 포함하고,
    상기 이송 챔버 로봇은 상기 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서를 포함하는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  2. 제1 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇의 엔드 이펙터에 결합되는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  3. 제1 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇의 링크에 결합되는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  4. 제1 항에 있어서,
    상기 센서는 가속도계, 거리 센서, 카메라, 용량성 센서, 반사계, 고온계, 레이저 유도 형광 분광기 또는 광섬유 중 적어도 하나를 포함하는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  5. 제1 항에 있어서,
    상기 센서는 상기 센서에 전력을 제공할 수 있는 전력 링크에 결합되는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  6. 제1 항에 있어서,
    상기 센서는 상기 측정들을 사용자 인터페이스 또는 데이터 저장 시스템으로 전송할 수 있는 데이터 링크에 결합되는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  7. 제1 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇에 결합된 엔드 이펙터와 독립적으로 포지셔닝될 수 있는,
    전자 디바이스 제조 시스템을 위한 프로세스 도구.
  8. 전자 디바이스 제조 시스템으로서,
    로드록(load lock) 및 프로세스 도구를 포함하고,
    상기 프로세스 도구는,
    이송 챔버;
    상기 이송 챔버에 결합된 프로세스 챔버; 및
    상기 로드록으로부터 상기 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 상기 로드록으로 기판들을 이송하도록 구성된 이송 챔버 로봇을 포함하고,
    상기 이송 챔버 로봇은 상기 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서를 포함하는,
    전자 디바이스 제조 시스템.
  9. 제8 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇의 엔드 이펙터에 결합되는,
    전자 디바이스 제조 시스템.
  10. 제8 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇의 링크에 결합되는,
    전자 디바이스 제조 시스템.
  11. 제8 항에 있어서,
    상기 센서는 가속도계, 거리 센서, 카메라, 용량성 센서, 반사계, 고온계, 레이저 유도 형광 분광기 또는 광섬유 중 적어도 하나를 포함하는,
    전자 디바이스 제조 시스템.
  12. 제8 항에 있어서,
    상기 센서는 상기 센서에 전력을 제공할 수 있는 전력 링크에 결합되는,
    전자 디바이스 제조 시스템.
  13. 제8 항에 있어서,
    상기 센서는 상기 측정들을 사용자 인터페이스 또는 데이터 저장 시스템으로 전송할 수 있는 데이터 링크에 결합되는,
    전자 디바이스 제조 시스템.
  14. 제8 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇에 결합된 엔드 이펙터와 독립적으로 포지셔닝될 수 있는,
    전자 디바이스 제조 시스템.
  15. 이송 챔버 로봇으로서,
    복수의 링크들을 포함하는 암 조립체;
    상기 암 조립체에 결합된 엔드 이펙터 ― 상기 엔드 이펙터는 프로세스 챔버로 그리고 상기 프로세스 챔버로부터 기판들을 이송하도록 구성됨 ―; 및
    상기 암 조립체에 결합되고 상기 프로세스 챔버 내부에서 측정들을 행하도록 구성된 센서를 포함하는,
    이송 챔버 로봇.
  16. 제15 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇의 엔드 이펙터에 결합되는,
    이송 챔버 로봇.
  17. 제15 항에 있어서,
    상기 센서는 상기 복수의 링크들 중 하나의 링크에 결합되는,
    이송 챔버 로봇.
  18. 제15 항에 있어서,
    상기 센서는 가속도계, 거리 센서, 카메라, 용량성 센서, 반사계, 고온계, 레이저 유도 형광 분광기 또는 광섬유 중 적어도 하나를 포함하는,
    이송 챔버 로봇.
  19. 제15 항에 있어서,
    상기 센서는 상기 이송 챔버 로봇에 결합된 엔드 이펙터와 독립적으로 포지셔닝될 수 있는,
    이송 챔버 로봇.
  20. 방법으로서,
    프로세서에 의해, 이송 챔버 로봇의 일부를 프로세스 챔버 내에 포지셔닝하는 단계 ― 상기 일부는 적어도 하나의 센서를 포함함 ―;
    하나 이상의 센서들을 사용하여, 상기 프로세스 챔버와 연관된 센서 데이터를 획득하는 단계; 및
    상기 프로세스 챔버로부터 상기 이송 챔버 로봇의 일부를 제거하는 단계를 포함하는,
    방법.
  21. 방법으로서,
    프로세서에 의해, 프로세스 챔버에서 센서 디바이스에 의해 생성된 복수의 센서 값들을 획득하는 단계;
    상기 복수의 센서 값들에 기계 학습 모델을 적용하는 단계 ― 상기 기계 학습 모델은 상기 프로세스 챔버의 서브시스템의 이력 센서 데이터 및 막을 증착하기 위한 레시피와 연관된 작업 데이터에 기초하여 훈련됨 ―;
    상기 기계 학습 모델의 출력을 생성하는 단계 ― 상기 출력은 상기 서브시스템의 장애 유형을 표시함 ―;
    상기 서브시스템의 장애 유형을 결정하는 단계; 및
    상기 장애 유형에 기초하여 시정 조치를 생성하는 단계를 포함하는,
    방법.
  22. 제21 항에 있어서,
    상기 센서 디바이스는 이송 챔버 로봇에 결합되는,
    방법.
KR1020247004435A 2021-07-08 2022-07-06 무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘 KR20240027837A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163219498P 2021-07-08 2021-07-08
US63/219,498 2021-07-08
US17/857,630 US20230008072A1 (en) 2021-07-08 2022-07-05 Method and mechanism for contact-free process chamber characterization
US17/857,630 2022-07-05
PCT/US2022/036263 WO2023283271A1 (en) 2021-07-08 2022-07-06 Method and mechanism for contact-free process chamber characterization

Publications (1)

Publication Number Publication Date
KR20240027837A true KR20240027837A (ko) 2024-03-04

Family

ID=84799379

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247004435A KR20240027837A (ko) 2021-07-08 2022-07-06 무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘

Country Status (6)

Country Link
US (1) US20230008072A1 (ko)
EP (1) EP4367714A1 (ko)
KR (1) KR20240027837A (ko)
CN (1) CN117836922A (ko)
TW (1) TW202309755A (ko)
WO (1) WO2023283271A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963315A (en) * 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
KR20070053538A (ko) * 2005-11-21 2007-05-25 삼성전자주식회사 얼라인부를 갖는 웨이퍼 이송로봇
US8224607B2 (en) * 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US11348813B2 (en) * 2019-01-31 2022-05-31 Applied Materials, Inc. Correcting component failures in ion implant semiconductor manufacturing tool
JP2022541346A (ja) * 2019-07-26 2022-09-22 ラム リサーチ コーポレーション 自動ウェハーハンドリングロボットの教育及びヘルスチェックのための統合化された適応型位置決めシステム及びルーチン

Also Published As

Publication number Publication date
EP4367714A1 (en) 2024-05-15
US20230008072A1 (en) 2023-01-12
CN117836922A (zh) 2024-04-05
TW202309755A (zh) 2023-03-01
WO2023283271A1 (en) 2023-01-12

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
WO2022186987A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
US20230061513A1 (en) Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
CN117916871A (zh) 用于将传感器耦合至传输腔室机器人的方法和机构
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
TW202414132A (zh) 用於防止機器學習模型性能的波動的方法和機制
KR20240100395A (ko) 프로세스 레시피 최적화를 위한 방법들 및 메커니즘들