TW202215529A - 蝕刻系統 - Google Patents

蝕刻系統 Download PDF

Info

Publication number
TW202215529A
TW202215529A TW110132712A TW110132712A TW202215529A TW 202215529 A TW202215529 A TW 202215529A TW 110132712 A TW110132712 A TW 110132712A TW 110132712 A TW110132712 A TW 110132712A TW 202215529 A TW202215529 A TW 202215529A
Authority
TW
Taiwan
Prior art keywords
plasma
wafer
feature
layer
ion
Prior art date
Application number
TW110132712A
Other languages
English (en)
Inventor
張鈞彥
沈育佃
楊智凱
張雅惠
張世明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202215529A publication Critical patent/TW202215529A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本揭露包含一種電漿蝕刻系統,其包含可移動的電漿源和可移動的晶圓座。可變化可移動的電漿源和可移動的晶圓座間的相對位置,以設定一角度,電漿的電漿粒子沿著此角度撞擊放置在晶圓座上的晶圓。

Description

用以補償偏移之覆蓋層的蝕刻裝置
電漿蝕刻為用以製作積體電路之一種半導體處理的型式。其牽涉到正射擊(以脈衝的方式)至樣本之適當氣體混合物的高速流輝光放電。電漿源(被稱為蝕刻物種)可為帶電的(離子)或中性的(原子或自由基)。在製程中,電漿自被蝕刻之材料的原子與電漿所產生之反應物種間的化學反應產生室溫下的揮發性蝕刻產物。最終被射擊之元素的原子將自己嵌入在或剛好在標的表面的下方,因而修正標的的物理性質。使用電漿蝕刻可將小特徵蝕刻至半導體材料的表面中。例如:電漿蝕刻可用以製造深溝渠在用於微機電系統中的矽表面上。
當元件變得愈來愈小而積集密度增加時,反應離子蝕刻(reactive ion etching,RIE)(做為一種型式的電漿蝕刻)已成為半導體特徵之非等向性蝕刻的關鍵製程。反應離子蝕刻或離子加強蝕刻係藉由物理和化學機構的結合來工作,以在蝕刻製程期間達成選擇性和非等向性。通常,反應離子蝕刻係操作在毫托爾(milliTorr)的範圍中或以上。通常,在電漿蝕刻期間,三種製程彼此競爭,其為藉由離子的物理性轟擊、藉由自由基和離子的化學蝕刻、和藉由鈍化薄膜沉積的表面鈍化。在一些應用中,例如:在蝕刻高深寬比特徵時,已增加地使用高密度電漿(high density plasma,HDP)蝕刻於蝕刻高深寬比特徵,例如具有大於約3:1的深寬比,其中此高密度電漿蝕刻具有較高的離子密度並在較低的壓力下操作。
以下的揭露提供了許多不同實施方式或實施例,以實施所提供之標的的不同特徵。以下所描述之構件與安排的特定實施例係用以簡化本揭露之實施例。當然這些僅為實施例,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。如在此所使用的,第一特徵形成在第二特徵上意指第一特徵形成以直接接觸第二特徵。另外,本揭露可以在各種示例中重複元件符號及/或字母。 這些重複本身並非指定所討論的各種實施例及/或配置之間的關係。
此外,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」與類似用語,以方便說明如圖式所繪示之一構件或一特徵與另一(另一些)構件或特徵之間的關係。除了在圖中所繪示之方向外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其他方位上),因此可利用同樣的方式來解釋在此所使用之空間相對描述符號。
在以下敘述中,陳述某些特定細節以提供本揭露之各種實施例的徹底瞭解。然而,熟悉此技藝者將了解到,可以在沒有這些具體細節的情況下實踐本揭露。在其他情況下,並未詳細描述與電子元件和製造技術相關聯的已知結構以避免不必要地模糊本揭露的實施例的描述。
除非內文中另有要求,在整個說明書和隨後的申請專利範圍中,用語「包含」及其變體,例如「包括」和「含有」,應被解釋為開放性的、包含的,也就是「包含, 但不限於」。
諸如第一、第二和第三之類的序數的使用並不一定意味著排序的順序,而可能只是區分一個行為或結構的多個實施例。
在整個說明書中對「一個實施例」或「一實施例」的參照意味著結合該實施例描述的特定特徵、結構或特性被包括在至少一個實施例中。因此,在本說明書各處出現的用語「在一個實施例中」或「在一實施例中」不一定都指相同的實施例。此外,特定特徵、結構或特性可以在一個或多個實施例中以任何適合的方式組合。
在本說明書和隨後的申請專利範圍中使用的單數形式「一」、「一個」和「該」包括複數形式,除非內容另有明確指出。應注意的是,除非內容另有明確指出,否則用語「或」通常以其包含的「和/或」的含義使用。
第1圖繪示電漿蝕刻系統100(或電漿系統100)之一實施例的方塊圖。如第1圖所示,電漿系統 100具有處理腔室102。在一實施例中,處理腔室102為對稱的電漿腔室。一襯墊層(為了簡潔並未示出)係沿著處理腔室102的壁延伸。可移動的晶圓座104配置以承載晶圓106,例如半導體晶圓,且放置在處理腔室102中。晶圓座104包含靜電卡盤108以及嵌入於靜電卡盤108之下電極110。在一些實施例中,晶圓座104包含加熱或冷卻靜電卡盤108的機構。舉例而言,背面氦氣冷卻112(backside cooling helium)配置以冷卻靜電卡盤108。
在一些實施例中,晶圓座104可充當行動電極。在一些實施例中,靜電卡盤108包含鋁基座,或其他任何金屬基座。在一些實施例中,靜電卡盤108包含在其表面109上的陶瓷材料,可承載晶圓106、或與晶圓106連接。電源供應器114連接至下電極110。在一些實施例中,電源供應器114可為射頻(radio frequency,RF)電源。
晶圓106可以是在晶圓的基材上形成半導體元件,例如積體電路或離散元件(discrete devices)的製程中任何階段中的半導體晶圓。在一實施例中,晶圓106包含極低介電常數介電層以及在半導體基材上之金屬層。晶圓106可為光罩、半導體晶圓、或電子元件製造領域的普通技術人員已知的其他工件。在至少一些實施例中,晶圓106包含用於製造任何積體電路、被動式(例如,電容器、電感器)以及主動式(例如,電晶體、光偵測測器、雷射器、二極體)微電子元件的任何材料。晶圓106可以包含將這種主動式和被動式微電子元件與形成在它們頂部的一個或多個導電層分開的絕緣材料(例如,介電材料)。在一實施例中,晶圓106為包含一層或多層介電層之半導體基材,例如二氧化矽、氮化矽、藍寶石、及其他介電材料。在一實施例中,晶圓106是包括一層或多層的晶圓堆疊。一層或多層的晶圓可包含導電層、半導體層、絕緣層或前述之任意層組合。
電漿系統 100也包含電漿源120。在一些實施例中,電漿源120包含進氣口122,其輸入一個或多個製程氣體124至電漿源120中。一個或多個製程氣體124包括一種化學物質以修正或蝕刻晶圓106的一個或多個表層106。電漿源120包含上電極126,其耦合至射頻電源128。在一些實施例中,電漿源120使用高頻電場從一種或多種製程氣體124產生電漿130。電漿130包括電漿粒子,例如離子、電子、自由基或其任意組合。在一些實施例中,電漿源120可包含阻擋器板(未示出),其可在電漿130進入處理腔室102之前減少一或多個離子、電子或自由基。射頻電源供應器114提供至晶圓座104,以激發或加速電漿130到達晶圓106。
在一些實施例中,上電極126連接至低電位,例如接地電位。電漿130可由下電極110與上電極126之間的電場產生或激發。
在一些實施例中,處理腔室102包含連接至真空幫浦系統(為了簡潔並未示出)之出口132。出口132被配置以排出在處理腔室102中之電漿蝕刻製程期間所產生的揮發性產物或其他副產物。
在一些實施例中,電漿源120或是電漿源120的一些元件,例如上電極126,是耦接至一個或多個馬達140。馬達140驅動電漿源120或上電極126在橫向方向(例如x-y平面)或垂直方向(例如z軸方向)中的一個或多個方向移動。舉例而言,馬達140可以驅動上電極相對於靜電卡盤108的表面109或晶圓106傾斜一個銳角。馬達140可包含線性馬達及起重馬達。
在一些實施例中,晶圓座104或晶圓座104的一些元件,例如靜電卡盤108,耦接至一個或多個馬達142。馬達142驅動晶圓座104或靜電卡盤108在橫向方向(例如x-y平面)、或垂直方向(例如z軸方向)中的一個或多個方向移動。舉例而言,馬達142可驅動靜電卡盤108相對電漿源120傾斜一個銳角,如將於第5圖所討論的。馬達142可包含線性馬達及起重馬達。
電漿源120(或電漿源120的元件)、或晶圓座104(或晶圓座104的元件)彼此相對的移動改變了電漿130的電漿粒子撞擊表面位於晶圓座104上的晶圓106的方向。電漿粒子撞擊晶圓106表面的方向的變化將引起電漿蝕刻所形成的特徵的計量變化(metrology change),本揭露將於後續進一步描述。
在一些實施例中,電漿系統 100也包含局部調整阻擋器144。局部調整阻擋器144可移除地定位(removably positioned)在電漿源120和晶圓座104上的晶圓106之間。局部調整阻擋器144可用於調節電漿130的電漿粒子撞擊晶圓106的局部區域的方向,並同時阻擋電漿130的電漿粒子撞擊晶圓106的其他區域。
第2圖示出局部調整阻擋器144之實施例示例。局部調整阻擋器144包含複數個分割區域200。在一些實施例中,每一個分割區域200的尺寸與形狀對應於晶圓106上之電漿蝕刻所形成或將要形成之特徵的尺寸和形狀。舉例而言,分割區域200的尺寸與形狀是對應於晶圓106上的晶粒。
在一些實施例中,每一個分割區域200包含可折疊的遮蓋202及貫穿孔204。當可折疊的遮蓋202被折疊而覆蓋貫穿孔204時,例如可折疊的遮蓋被折疊至「關」的位置時,電漿130的電漿粒子被分割區域200阻擋。當可折疊的遮蓋202被折疊以露出貫穿孔204時,例如可折疊的遮蓋折疊至「開」的位置時,貫穿孔204露出以讓電漿130的電漿粒子穿過貫穿孔204到達晶圓106上的對應區域。
控制器150耦接至一個或多個馬達140以及一個或多個馬達142。控制器150用以分別控制一個或多個馬達140和/或一個或多個馬達142,以驅動電漿源120 (或電漿源120的元件)或晶圓座104 (或晶圓座104的元件),相對彼此在橫向方向或垂直方向中的一個或多個方向上移動。在一些實施例中,一個或多個馬達140和/或一個或多個馬達142,可受到控制器150的控制,分別驅動電漿源120 (或電漿源120的元件)或晶圓座104 (或晶圓座104的元件)相對彼此傾斜一角度,例如銳角。
在一些實施例中,控制器150通訊地或電性地耦合至量測單元160。量測單元160用於測量形成在晶圓106上的特徵的度量。量測單元160的量測測量結果可被傳送到控制器150。控制器150可以基於量測單元160所提供的量測測量結果來控制一個或多個馬達140和/或一個或多個馬達142。
第3圖示出控制器150之實施例示例。如第3圖所示,控制器150包含計量偏移接收電路302、離子接近角度計算電路304以及實現電路306。計量偏移接收電路302配置以從例如量測單元160接收形成於晶圓座104上的晶圓106上之特徵的量測測量結果。量測測量結果可指出在晶圓上的特徵的實際位置與特徵的預期位置之間存在之偏移。離子接近角度計算電路304可以計算電漿130的電漿粒子沿著一接近角度(approach angle)撞擊晶圓座104上的晶圓106的表面的變化。離子接近角度可根據電漿源120與晶圓座104的相對位置變化。舉例而言,晶圓座104可以相對於電漿源120在橫向x-y平面中移動。晶圓座104可以相對於電漿源120傾斜一定角度。
實現電路306控制一或多個馬達140與一或多個馬達142,以分別驅動電漿源120或晶圓座104,以獲得電漿源120與晶圓座104的相對位置,進而獲得計算的離子接近角度,電漿130的電漿粒子沿著離子接近角度撞擊定位在晶圓座104上的晶圓106。
第4圖例示出了改變離子接近角度的實施例。如第4圖所示,馬達140驅動電漿源120在第一橫向方向410上移動,且馬達142驅動晶圓座104在與第一橫向方向410相反的第二橫向方向412上移動。由於電漿源120和晶圓座104分別在橫向方向410與橫向方向412上的運動,以及對應電漿源120和晶圓座104之間的對應相對位置,電場方向和吸引力也跟著發生變化。因此,電漿130中的電漿粒子被吸引,並以角度θ撞擊晶圓座104的靜電卡盤108的表面109或位於表面109上的晶圓106的表面(未示出)。角度θ是由離子接近角度計算電路304基於(例如,通過使用電漿130的電漿蝕刻程序)形成在晶圓106上的特徵的測量計量偏移來計算。
第5圖示出離子接近角度的變化例示實施例。如第5圖所示,馬達142驅動晶圓座104相對電漿源120傾斜銳角之角度φ。因為傾斜的角度φ,電漿130中的電漿粒子以角度μ撞擊晶圓座104的靜電卡盤108的表面109或位於表面109上的晶圓106的表面(未示出)。在一些實施例中,角度φ加上角度μ等於90度。角度φ是由離子接近角度計算電路304基於(例如,通過使用電漿130的電漿蝕刻程序)形成在晶圓106上的特徵的測量計量偏移來計算。
第6圖示出了改變晶圓106上局部區域602的離子接近角度的示例實施例。如第6圖所示,馬達140驅動電漿源120在第一橫向方向410上移動,且馬達142驅動晶圓座104在與第一橫向方向410相反的第二橫向方向412上移動。由於電漿源120和晶圓座104分別在橫向方向410與橫向方向412上的運動、以及電漿源120和晶圓座104之間的相應相對位置,電漿130中的電漿粒子將以角度θ撞擊晶圓座104的靜電卡盤108的表面109、或撞擊定位在表面109上之晶圓106的表面(未示出)。局部調整阻擋器144沿著電漿源120的電漿粒子通過的路徑,設置在電漿源120和晶圓座104之間。將一或多個可折疊的遮蓋202 (如第2圖所示)打開以露出對應的貫穿孔204,使電漿粒子以角度θ穿過並撞擊晶圓106上對應的局部區域602。局部調整阻擋器144的設置是由控制器150所控制,以確保貫穿孔204與對應的局部區域602在離子傳輸路徑上對準,使得電漿粒子以計算的接近角度θ撞擊局部區域602。
第7圖示出了改變晶圓106上局部區域702的離子接近角度的示例實施例。如第7圖所示,馬達142驅動晶圓座104相對電漿源120傾斜銳角之角度φ。因為傾斜的角度φ,電漿130中的電漿粒子將以角度µ撞擊晶圓座104的靜電卡盤108的表面109、或撞擊定位在表面109上之晶圓106的表面(未示出)。在一些實施例中,角度φ加上角度μ等於90度。局部調整阻擋器144沿著電漿源120的電漿粒子通過的路徑,設置在電漿源120和晶圓座104之間。將一或多個可折疊的遮蓋202 (如第2圖所示)打開以露出對應的貫穿孔204,使電漿中的電漿粒子以角度θ穿過並撞擊晶圓106上對應的局部區域702。局部調整阻擋器144的設置是由控制器150所控制,以確保貫穿孔204與對應的局部區域702在離子傳輸路徑上對準,使得電漿粒子以計算的接近角度µ撞擊局部區域702。角度φ是由離子接近角度計算電路304基於(例如,通過使用電漿130的電漿蝕刻程序)形成在晶圓106上的特徵上的測量計量偏移來計算。
第8圖為電漿系統 100的例示製程800。第9A圖至第9C圖示出在晶圓900的例子中進行製程800的操作。參照第8圖及第9A圖,在操作810的例子中,計量偏移接收電路302接收形成在晶圓 900上特徵的量測測量。第9A圖示出了晶圓 900包含基材 902及基材 902上方的圖案化的第一硬罩幕層904。第一硬罩幕層904包含開口906。第二硬罩幕層908在第一硬罩幕層904上且填充開口906。第一硬罩幕層904與第二硬罩幕層908可為金屬氧化物材料,例如Al 2O 3、Ta 2O 5、金屬氮化物材料如TiN或TaN、或含矽硬罩幕材料如SiN、SiON、或其他適合的硬罩幕材料例如四乙氧基矽烷(TEOS,Tetraethyl Orthosilicate)等,且可以透過原子層沉積、聚焦離子束、化學氣相沉積、旋轉塗佈製程或其他適合的沉積方法形成。阻障層910,例如銥(Ir)基阻障層,位於第二硬罩幕層908上。其他阻障層910的材料可以包括鈷、釕、鉭、氮化鉭、氧化銦、氮化鎢和氮化鈦或其他合適的阻障層材料。光阻層912在阻障層910上。光阻層912包含例如透過曝光和顯影所形成的開口914。光阻層912上的開口914與第一硬罩幕層904上的開口906重疊並垂直對齊。
量測測量結果指出開口914的預期位置與開口914的實際形成位置之間存在橫向偏移916。開口914的實際形成位置從開口914的預期位置在方向918上漂移,而因此未對準開口906。如果通過未對準的開口914進一步進行相同的電漿蝕刻,則第一硬罩幕層904上的開口906可能不會完全露出,且要透過第一硬罩幕層904的開口906在基材 902上形成的特徵還可能包括計量偏移,因為開口906沒有完全露出。
在操作820的例子中,離子接近角度計算電路304基於量測測量結果來計算離子接近角度。計算出的離子接近角度定義了電漿130的電漿粒子撞擊晶圓106的表面的方向,以補償特徵的計量偏移。具體地,如第9A圖所示的例子中,開口914上的偏移916反映了光阻層的開口914與第一硬罩幕層904上的開口906之間的偏移。計算出的離子接近角度將補償這種開口914與開口906之間的偏移。如第9B圖所示的例子中,離子接近角度計算電路304計算如果電漿130的電漿粒子以約85度至約89度(在一些實施例中約87.7度)的角度撞擊阻障層910和第二硬罩幕層908,通過在光阻層912上的開口914,開口906中的第二硬罩幕層908將被完全進入與去除,開口906將在離子接近角度為85度的電漿蝕刻之後而露出。在一些實施例中,離子接近角度是基於開口914和開口906之間的偏移尺寸與距離計算的。舉例而言,當偏移916為A,光阻層912底面與基材 902頂面的垂直距離為B時,離子接近角度可計算為arctan(B/A)。
在操作830的例子中,實現電路306控制電漿源120或晶圓座104中的一個或多個的移動,以實現如在操作820中所計算的85度的離子接近角。電漿源120或晶圓座104的移動,可以是第4圖至第7圖所示實施例中的一個或多個,也可以是其他動作。接著以更新的離子接近角度進行電漿蝕刻,以圖案化阻障層910和第二硬罩幕層908,從而暴露第一硬罩幕層904上的開口906。如第9C圖所示,因為離子接近角度約為85度,光阻層912的角落920除了開口914以外被蝕刻出坡度。傾斜的角落920有助於在電漿130在傾斜路徑中去除阻障層910和第二硬罩幕層908。應當理解的是,在光阻層912和第一硬罩幕層904之間示出的層都是為了說明和描述的目的。光阻層912和第一硬罩幕層904之間可以有更多的層或更少的層(例如,作為蝕刻的標的),這些都在本揭露的範圍內。此外,在一些情況下,光阻層912可以直接形成在基材 902上用於蝕刻基材 902上的特徵,並且在此描述的技術可以用於補償圖案化的光阻層912相對於基材 902的待蝕刻特徵的錯位。
第10A圖至第10D圖示出在晶圓1000的例子中進行製程800的操作。晶圓1000包含前層1010(prelayer)、硬罩幕層1020、阻障層1030及圖案化光阻層1040。前層1010包含特徵結構1012。前層1010可以是前道製程或後道製程中的任意層。舉例而言,前層1010為銅金屬化層,特徵結構1012為銅佈線結構,通過蝕刻對金屬化層1010進行圖案化所形成。硬罩幕層1020可為金屬氧化物、金屬氮化物、含矽硬罩幕層或其他適合硬罩幕層的材料。阻障層1030可為銥、鈷、釕、鉭、氮化鉭、氧化銦、氮化鎢、氮化鈦或其他適合阻障層的材料。圖案化光阻層1040配置以防止特徵結構1012在電漿蝕刻程序進行圖案化阻障層1030和硬罩幕層1020時露出。
如第10A圖所示,圖案化光阻層1040的度量存在偏移誤差 1042。因此,圖案化光阻層1040與前層1010上的特徵結構1012錯位。作為說明性的示例,偏移誤差之測量約為30埃(Å)。光阻層1040與特徵結構1012之間的距離(例如700 Å)是阻障層1030(例如450Å)與硬罩幕層1020(例如250Å)的總厚度。
如第10B圖所示,計算離子接近角度。舉例而言,針對光阻層1040與特徵結構1012之間的距離以及偏移量計算離子接近角度。舉例而言,當偏移1042為C,光阻層912底面與前層1010頂面的垂直距離為D時,離子接近角度可計算為arctan(D/C)。在這個說明性示例中,計算出的離子接近角度約為 87.7度。
如第10C圖所示,電漿源120與晶圓座104之間的相對位置是根據所計算出的離子接近角度來設定的。接著進行電漿蝕刻以圖案化阻障層1030和硬罩幕層1020。如第10C圖所示,在計算出的離子接近角度下,圖案化光阻層140能夠阻擋電漿130的電漿粒子到達前層1010上的特徵結構1012。圖案化光阻層1040的角落部分1044可被蝕刻掉,這有助於在電漿130的電漿粒子行進的路徑中去除阻障層1030和硬罩幕層1020。
第10D圖顯示在以計算出的離子接近角為87.7度的電漿蝕刻之後,偏移的光阻層1040有效地防止了特徵結構1012露出。
第9A圖至第9C圖以及第10A圖至第10D圖示出了使用電漿蝕刻的更新的離子接近角度來補償同一晶圓106上的層之間的錯位的示例。這些示例並不限制本揭露的範圍。此技術還可以用於其他應用場合。舉例而言,可以分析第一晶圓上的量測誤差,並且可以將電漿蝕刻的離子接近角度的調整實施在第二晶圓上,以修正發生在第一晶圓上的誤差。
本揭露可透過以下實施例進一步理解:
在第一實施例中,一種系統包含電漿處理腔室;晶圓座,被構造以被放置在電漿處理腔室中並承載晶圓;電漿源,被構造以被放置在電漿處理腔室中並放射出離子電漿至電漿處理腔室中並朝晶圓座;一量測單元,配置以決定晶圓上之特徵的量測測量;以及控制機構,配置以基於特徵的量測測量來變化離子電漿的離子輸送路徑與承載在晶圓座上之晶圓之表面間的第一角度。
在第二實施例中,一種方法,包含:接收在晶圓上之第一層之第一特徵的量測測量資訊;決定第一特徵與在晶圓上之第二層之第二特徵間的對準狀態;基於對準狀態決定電漿蝕刻的離子接近角度;以及使用電漿系統沿著離子接近角度在晶圓上執行電漿蝕刻。
在第三實施例中,一種方法,包含:接收具有第一層和第二層的晶圓,第二層係在基材上,第一層係位於晶圓之第一表面上的第二層上,第一層包含圖案化的第一特徵;決定包含量測誤差之圖案化的第一特徵;以及使用圖案化的第一特徵為罩幕透過電漿蝕刻來形成第二特徵在第二層上。其中,形成第二特徵包含:基於量測誤差決定方向,電漿蝕刻的複數個離子沿著方向撞擊晶圓的第一表面;以及根據電漿蝕刻的離子撞擊晶圓的第一表面所沿著的方向執行電漿蝕刻。
可以組合上述各種實施例以提供進一步的實施例。
根據以上詳細描述內容,可以對實施例進行其他改變。一般而言,在以下的申請專利範圍中,所使用的用語不應被解釋為將請求項限制於說明書和請求項中所公開的特定實施例,而應被解釋為包含所有可能的實施例以及其均等物的全部範圍。因此,申請專利範圍並不受本揭露的限制。
100:電漿蝕刻系統,電漿系統 102:處理腔室 104:晶圓座 106:晶圓 108:靜電卡盤 109:表面 110:下電極 112:背面氦氣冷卻 114:射頻電源供應器, 電源供應器 120:電漿源 122:進氣口 124:製程氣體 126:上電極 128:射頻電源 130:電漿 132:出口 140:馬達 142:馬達 144:局部調整阻擋器 150:控制器 160:量測單元 200:分割區域 202:遮蓋 204:貫穿孔 302:計量偏移接收電路 304:離子接近角度計算電路 306:實現電路 410:橫向方向,第一橫向方向 412:橫向方向,第二橫向方向 800:製程 810:操作 820:操作 830:操作 900:晶圓 902:基材 904:第一硬罩幕層 906:開口 908:第二硬罩幕層 910:阻障層 912:光阻層 914:開口 916:偏移,橫向偏移 918:方向 920:角落,傾斜角落 1000:晶圓 1010:金屬化層,前層 1012:特徵結構 1020:硬罩幕層 1030:阻障層 1040:圖案化光阻層 1042:偏移,偏移誤差 φ:角度 μ:角度 θ:角度
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。需注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或減少。 第1圖為例示電漿蝕刻系統。 第2圖為例示局部調整阻擋器。 第3圖為第1圖之電漿蝕刻系統的例示控制器。 第4圖至第7圖為在電漿蝕刻中設定離子接近角度的例示實施例。 第8圖為第1圖之例示電漿蝕刻系統的例示製程。 第9A圖至第9C圖為在例示晶圓上之第1圖的例示電漿蝕刻系統的多個操作。 第10A圖至第10D圖為在例示晶圓上之第1圖的例示電漿蝕刻系統的多個操作。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:電漿蝕刻系統,電漿系統
102:處理腔室
104:晶圓座
106:晶圓
108:靜電卡盤
109:表面
110:下電極
112:背面氦氣冷卻
114:射頻電源供應器,電源供應器
120:電漿源
122:進氣口
124:製程氣體
126:上電極
128:射頻電源
130:電漿
132:出口
140:馬達
142:馬達
144:局部調整阻擋器
150:控制器
160:量測單元

Claims (20)

  1. 一種系統,包含: 一電漿處理腔室; 一晶圓座,被構造以被放置在該電漿處理腔室中並承載一晶圓; 一電漿源,被構造以被放置在該電漿處理腔室中並放射出一離子電漿至該電漿處理腔室中並朝該晶圓座; 一量測單元,配置以決定該晶圓上之一特徵的一量測測量;以及 一控制機構,配置以基於該特徵的該量測測量來變化該離子電漿的一離子輸送路徑與承載在該晶圓座上之該晶圓之一表面間的一第一角度。
  2. 如請求項1所述之系統,其中該特徵為一圖案化的特徵,該圖案化的特徵在該晶圓上之一光阻層中。
  3. 如請求項2所述之系統,其中該第一角度係基於該光阻層與在該光阻層下之將被形成的標的之間的一錯位,該錯位係透過該量測測量來獲得。
  4. 如請求項1所述之系統,其中該控制機構包含一第一馬達,該第一馬達驅動該晶圓座在相對該電漿源之一橫向方向中移動。
  5. 如請求項1所述之系統,其中該控制機構包含一第二馬達,該第二馬達驅動該晶圓座相對該電漿源傾斜一第二角度。
  6. 如請求項1所述之系統,其中該控制機構包含一第三馬達,該第三馬達驅動該電漿源在相對該晶圓座之一橫向方向中移動。
  7. 如請求項1所述之系統,其中該控制機構包含一第四馬達,該第四馬達驅動該電漿源相對該晶圓座傾斜一第三角度。
  8. 如請求項4所述之系統,其中該第一馬達為一線性馬達。
  9. 如請求項5所述之系統,其中該第二馬達為一起重馬達。
  10. 如請求項1所述之系統,更包含一阻擋器,該阻擋器配置以可移動地被放置在該電漿源與該晶圓座之間,該阻擋器包含複數個貫穿孔和複數個可折疊的遮蓋,每一該些遮蓋對應至一各自的貫穿孔,並配置以覆蓋或顯露出該各自的貫穿孔。
  11. 如請求項10所述之系統,其中每一該些貫穿孔對應至該晶圓之一表面區域。
  12. 如請求項10所述之系統,其中每一該些貫穿孔的一形狀和一尺寸對應至該晶圓上之一晶粒。
  13. 一種方法,包含: 接收在一晶圓上之一第一層之一第一特徵的一量測測量資訊; 決定該第一特徵與在該晶圓上之一第二層之一第二特徵間的一對準狀態; 基於該對準狀態決定一電漿蝕刻的一離子接近角度;以及 使用一電漿系統沿著該離子接近角度在該晶圓上執行該電漿蝕刻。
  14. 如請求項13所述之方法,其中該第二層相對該晶圓之一基材低於該第一層。
  15. 如請求項13所述之方法,其中該執行該電漿蝕刻包含使一晶圓座或該電漿系統的一電漿源其中至少一者相對另一者進行一移動。
  16. 如請求項15所述之方法,其中該移動包含在一橫向方向中移動或傾斜。
  17. 如請求項13所述之方法,其中該決定該離子接近角度包含基於該第一特徵與該第二特徵間之一偏移量和該第一特徵與該第二特徵間之一垂直距離,來計算該離子接近角度。
  18. 如請求項13所述之方法,其中在該晶圓上執行該電漿蝕刻包含放置一阻擋器元件在該晶圓座與該系統之一電漿源間,該阻擋器元件包含一貫穿孔,該貫穿孔讓複數個離子沿著該離子接近角度通過。
  19. 一種方法,包含: 接收具有一第一層和一第二層的一晶圓,該第二層係在一基材上,該第一層係位於該晶圓之一第一表面上的該第二層上,該第一層包含一圖案化的第一特徵; 決定包含一量測誤差之該圖案化的第一特徵;以及 使用該圖案化的第一特徵為一罩幕透過電漿蝕刻來形成一第二特徵在該第二層上,其中形成該第二特徵包含: 基於該量測誤差決定一方向,該電漿蝕刻的複數個離子沿著該方向撞擊該晶圓的該第一表面;以及 根據該電漿蝕刻的該些離子撞擊該晶圓的該第一表面所沿著的該方向執行該電漿蝕刻。
  20. 如請求項19所述之方法,其中該電漿蝕刻是透過一裝置來執行,其中該裝置包含: 一電漿處理腔室; 一晶圓座,在該電漿處理腔室中且配置以支撐一晶圓;以及 一電漿源,耦合至該電漿處理腔室,並放射出一離子電漿至該電漿處理腔室中; 其中決定該電漿蝕刻的該些離子沿著該方向撞擊該晶圓的該第一表面包含變化該晶圓座與該電漿源的相對位置,以改變該離子電漿撞擊該晶圓之該第一表面的該方向。
TW110132712A 2020-09-30 2021-09-02 蝕刻系統 TW202215529A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085718P 2020-09-30 2020-09-30
US63/085,718 2020-09-30
US17/369,838 US20220102118A1 (en) 2020-09-30 2021-07-07 Etch apparatus for compensating shifted overlayers
US17/369,838 2021-07-07

Publications (1)

Publication Number Publication Date
TW202215529A true TW202215529A (zh) 2022-04-16

Family

ID=78793800

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132712A TW202215529A (zh) 2020-09-30 2021-09-02 蝕刻系統

Country Status (3)

Country Link
US (1) US20220102118A1 (zh)
CN (1) CN113764312A (zh)
TW (1) TW202215529A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11749570B2 (en) * 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911634B2 (en) * 2016-06-27 2018-03-06 Globalfoundries Inc. Self-contained metrology wafer carrier systems
US10566291B2 (en) * 2018-02-18 2020-02-18 Globalfoundries Inc. Mark structure for aligning layers of integrated circuit structure and methods of forming same
WO2020176425A1 (en) * 2019-02-25 2020-09-03 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching
US20220342316A1 (en) * 2019-09-05 2022-10-27 Asml Netherlands B.V. Method for determining defectiveness of pattern based on after development image
EP3879343A1 (en) * 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus

Also Published As

Publication number Publication date
US20220102118A1 (en) 2022-03-31
CN113764312A (zh) 2021-12-07

Similar Documents

Publication Publication Date Title
CN110634726B (zh) 利用低温晶片温度的离子束蚀刻
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
JP4701776B2 (ja) エッチング方法及びエッチング装置
JP5968225B2 (ja) 切り換え可能な中性ビーム源
US8986493B2 (en) Etching apparatus
US5904780A (en) Plasma processing apparatus
US8992785B2 (en) Method for modifying an etch rate of a material layer using energetic charged particles
US10475659B2 (en) Method of processing target object
US20180158684A1 (en) Method of processing target object
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US20080200002A1 (en) Plasma Sputtering Film Deposition Method and Equipment
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
JP2017527116A (ja) 均一なプラズマ処理のためのノズル
TW202125853A (zh) 用於mram應用之結構蝕刻方法
TW202215529A (zh) 蝕刻系統
CN110246737B (zh) 一种半导体晶圆结构的刻蚀方法
US7517468B2 (en) Etching method
US20230031722A1 (en) Voltage Control for Etching Systems
JP2004152960A (ja) ドライエッチング装置及びエッチング方法
TW202119611A (zh) 半導體膜堆疊的積層圖案化
US11961706B2 (en) Grid structures of ion beam etching (IBE) systems
CN110581088B (zh) 用于表面平坦化的方法和设备
US20220172930A1 (en) Wafer processing apparatus
KR20110098693A (ko) 저손상 공정을 위한 차세대 나노소자용 식각 장비
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning