TW202205590A - 半導體元件 - Google Patents
半導體元件 Download PDFInfo
- Publication number
- TW202205590A TW202205590A TW110119291A TW110119291A TW202205590A TW 202205590 A TW202205590 A TW 202205590A TW 110119291 A TW110119291 A TW 110119291A TW 110119291 A TW110119291 A TW 110119291A TW 202205590 A TW202205590 A TW 202205590A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- layer
- well region
- semiconductor
- source
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 300
- 239000000758 substrate Substances 0.000 claims abstract description 544
- 238000000034 method Methods 0.000 claims description 483
- 239000000463 material Substances 0.000 claims description 139
- 238000002955 isolation Methods 0.000 claims description 135
- 229910052751 metal Inorganic materials 0.000 claims description 92
- 239000002184 metal Substances 0.000 claims description 92
- 238000005530 etching Methods 0.000 claims description 87
- 229910052710 silicon Inorganic materials 0.000 claims description 43
- 239000010703 silicon Substances 0.000 claims description 43
- 239000004020 conductor Substances 0.000 claims description 42
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 39
- 229910021332 silicide Inorganic materials 0.000 claims description 21
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 17
- 239000002019 doping agent Substances 0.000 claims description 14
- 239000010410 layer Substances 0.000 description 543
- 229920002120 photoresistant polymer Polymers 0.000 description 119
- 235000012431 wafers Nutrition 0.000 description 119
- 239000007789 gas Substances 0.000 description 57
- 230000004888 barrier function Effects 0.000 description 55
- 239000011229 interlayer Substances 0.000 description 42
- 239000010949 copper Substances 0.000 description 34
- 239000000126 substance Substances 0.000 description 34
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 32
- 229910052802 copper Inorganic materials 0.000 description 32
- 229910052581 Si3N4 Inorganic materials 0.000 description 31
- 239000003989 dielectric material Substances 0.000 description 31
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 30
- 238000001312 dry etching Methods 0.000 description 29
- 239000000460 chlorine Substances 0.000 description 27
- 238000001039 wet etching Methods 0.000 description 25
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 24
- 230000005855 radiation Effects 0.000 description 24
- 239000010936 titanium Substances 0.000 description 24
- 238000005229 chemical vapour deposition Methods 0.000 description 23
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 22
- -1 methylsiloxane Chemical class 0.000 description 21
- 239000002253 acid Substances 0.000 description 20
- 229910052715 tantalum Inorganic materials 0.000 description 20
- 229910052814 silicon oxide Inorganic materials 0.000 description 19
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 18
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 18
- 229910052801 chlorine Inorganic materials 0.000 description 18
- 229920000642 polymer Polymers 0.000 description 18
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 18
- 238000009792 diffusion process Methods 0.000 description 17
- 239000003870 refractory metal Substances 0.000 description 17
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 16
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 description 16
- 238000009713 electroplating Methods 0.000 description 16
- 150000004767 nitrides Chemical class 0.000 description 16
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 16
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 14
- 108091006146 Channels Proteins 0.000 description 14
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 14
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 14
- 238000005240 physical vapour deposition Methods 0.000 description 14
- 238000001020 plasma etching Methods 0.000 description 14
- 238000007747 plating Methods 0.000 description 14
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 13
- 239000001301 oxygen Substances 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 125000006850 spacer group Chemical group 0.000 description 13
- 241000237503 Pectinidae Species 0.000 description 12
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 12
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 12
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 12
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 12
- 238000011065 in-situ storage Methods 0.000 description 12
- 235000020637 scallop Nutrition 0.000 description 12
- 239000000243 solution Substances 0.000 description 12
- 229910052719 titanium Inorganic materials 0.000 description 12
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 11
- 238000001459 lithography Methods 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 11
- 238000012545 processing Methods 0.000 description 11
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 10
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 10
- 238000011161 development Methods 0.000 description 10
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 10
- 239000012212 insulator Substances 0.000 description 10
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 9
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 9
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 9
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 9
- 229910052782 aluminium Inorganic materials 0.000 description 9
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 9
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 9
- 229910052794 bromium Inorganic materials 0.000 description 9
- 229910052799 carbon Inorganic materials 0.000 description 9
- 230000015556 catabolic process Effects 0.000 description 9
- 229910052740 iodine Inorganic materials 0.000 description 9
- 239000011630 iodine Substances 0.000 description 9
- 150000002739 metals Chemical class 0.000 description 9
- 230000003647 oxidation Effects 0.000 description 9
- 238000007254 oxidation reaction Methods 0.000 description 9
- 229910052707 ruthenium Inorganic materials 0.000 description 9
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 9
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 9
- 229910052721 tungsten Inorganic materials 0.000 description 9
- 239000010937 tungsten Substances 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 8
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 8
- 229940102396 methyl bromide Drugs 0.000 description 8
- 238000000059 patterning Methods 0.000 description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 7
- 229910018503 SF6 Inorganic materials 0.000 description 7
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 7
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 7
- 239000012790 adhesive layer Substances 0.000 description 7
- 229910045601 alloy Inorganic materials 0.000 description 7
- 239000000956 alloy Substances 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 229910052731 fluorine Inorganic materials 0.000 description 7
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 7
- 229910052732 germanium Inorganic materials 0.000 description 7
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 7
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 7
- 239000007943 implant Substances 0.000 description 7
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 7
- 229910052709 silver Inorganic materials 0.000 description 7
- 239000004332 silver Substances 0.000 description 7
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 7
- 229960000909 sulfur hexafluoride Drugs 0.000 description 7
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 7
- 229910021341 titanium silicide Inorganic materials 0.000 description 7
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 6
- VZPPHXVFMVZRTE-UHFFFAOYSA-N [Kr]F Chemical compound [Kr]F VZPPHXVFMVZRTE-UHFFFAOYSA-N 0.000 description 6
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000003776 cleavage reaction Methods 0.000 description 6
- 238000000708 deep reactive-ion etching Methods 0.000 description 6
- 238000005553 drilling Methods 0.000 description 6
- 238000010894 electron beam technology Methods 0.000 description 6
- 238000010884 ion-beam technique Methods 0.000 description 6
- WQLQSBNFVQMAKD-UHFFFAOYSA-N methane;silicon Chemical compound C.[Si] WQLQSBNFVQMAKD-UHFFFAOYSA-N 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- 239000011574 phosphorus Substances 0.000 description 6
- 230000007017 scission Effects 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 5
- 235000011114 ammonium hydroxide Nutrition 0.000 description 5
- 230000007547 defect Effects 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 230000005669 field effect Effects 0.000 description 5
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 5
- 229910052737 gold Inorganic materials 0.000 description 5
- 239000010931 gold Substances 0.000 description 5
- 238000001465 metallisation Methods 0.000 description 5
- 239000012713 reactive precursor Substances 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 239000011800 void material Substances 0.000 description 5
- IHGSAQHSAGRWNI-UHFFFAOYSA-N 1-(4-bromophenyl)-2,2,2-trifluoroethanone Chemical compound FC(F)(F)C(=O)C1=CC=C(Br)C=C1 IHGSAQHSAGRWNI-UHFFFAOYSA-N 0.000 description 4
- 229910000951 Aluminide Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- 229910000673 Indium arsenide Inorganic materials 0.000 description 4
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 4
- MDPILPRLPQYEEN-UHFFFAOYSA-N aluminium arsenide Chemical compound [As]#[Al] MDPILPRLPQYEEN-UHFFFAOYSA-N 0.000 description 4
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000011049 filling Methods 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 4
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 4
- 238000002513 implantation Methods 0.000 description 4
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 4
- 239000011572 manganese Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 4
- 238000004806 packaging method and process Methods 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 229910003468 tantalcarbide Inorganic materials 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 3
- IHLNQRLYBMPPKZ-UHFFFAOYSA-N [P].[C].[Si] Chemical compound [P].[C].[Si] IHLNQRLYBMPPKZ-UHFFFAOYSA-N 0.000 description 3
- CYHANSWJPNHHIE-UHFFFAOYSA-N [Si].[Ni].[Co] Chemical compound [Si].[Ni].[Co] CYHANSWJPNHHIE-UHFFFAOYSA-N 0.000 description 3
- HIVGXUNKSAJJDN-UHFFFAOYSA-N [Si].[P] Chemical compound [Si].[P] HIVGXUNKSAJJDN-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 239000000908 ammonium hydroxide Substances 0.000 description 3
- 238000005253 cladding Methods 0.000 description 3
- 239000008367 deionised water Substances 0.000 description 3
- 229910021641 deionized water Inorganic materials 0.000 description 3
- 229910052733 gallium Inorganic materials 0.000 description 3
- 238000000227 grinding Methods 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 229910001092 metal group alloy Inorganic materials 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 238000004151 rapid thermal annealing Methods 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- WEAMLHXSIBDPGN-UHFFFAOYSA-N (4-hydroxy-3-methylphenyl) thiocyanate Chemical compound CC1=CC(SC#N)=CC=C1O WEAMLHXSIBDPGN-UHFFFAOYSA-N 0.000 description 2
- 229910017115 AlSb Inorganic materials 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910005542 GaSb Inorganic materials 0.000 description 2
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 2
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- 239000004341 Octafluorocyclobutane Substances 0.000 description 2
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- KMTYGNUPYSXKGJ-UHFFFAOYSA-N [Si+4].[Si+4].[Ni++] Chemical compound [Si+4].[Si+4].[Ni++] KMTYGNUPYSXKGJ-UHFFFAOYSA-N 0.000 description 2
- AUCDRFABNLOFRE-UHFFFAOYSA-N alumane;indium Chemical compound [AlH3].[In] AUCDRFABNLOFRE-UHFFFAOYSA-N 0.000 description 2
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 2
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 2
- MANYRMJQFFSZKJ-UHFFFAOYSA-N bis($l^{2}-silanylidene)tantalum Chemical compound [Si]=[Ta]=[Si] MANYRMJQFFSZKJ-UHFFFAOYSA-N 0.000 description 2
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 229910052748 manganese Inorganic materials 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 2
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910021324 titanium aluminide Inorganic materials 0.000 description 2
- 229910021355 zirconium silicide Inorganic materials 0.000 description 2
- 229910021354 zirconium(IV) silicide Inorganic materials 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- GPWHDDKQSYOYBF-UHFFFAOYSA-N ac1l2u0q Chemical compound Br[Br-]Br GPWHDDKQSYOYBF-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000001534 heteroepitaxy Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 239000011259 mixed solution Substances 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0158—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/74—Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
- H01L21/743—Making of internal connections, substrate contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/34—Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
- H01L23/36—Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
- H01L23/367—Cooling facilitated by shape of device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Layout of the interconnection structure
- H01L23/5286—Arrangements of power or ground buses
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/024—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
- H10D30/6211—Fin field-effect transistors [FinFET] having fin-shaped semiconductor bodies integral with the bulk semiconductor substrates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0149—Manufacturing their interconnections or electrodes, e.g. source or drain electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0186—Manufacturing their interconnections or electrodes, e.g. source or drain electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0193—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/834—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET] comprising FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/85—Complementary IGFETs, e.g. CMOS
- H10D84/853—Complementary IGFETs, e.g. CMOS comprising FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/85—Complementary IGFETs, e.g. CMOS
- H10D84/859—Complementary IGFETs, e.g. CMOS comprising both N-type and P-type wells, e.g. twin-tub
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D89/00—Aspects of integrated devices not covered by groups H10D84/00 - H10D88/00
- H10D89/60—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD]
- H10D89/601—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD] for devices having insulated gate electrodes, e.g. for IGFETs or IGBTs
- H10D89/921—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD] for devices having insulated gate electrodes, e.g. for IGFETs or IGBTs characterised by the configuration of the interconnections connecting the protective arrangements, e.g. ESD buses
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D89/00—Aspects of integrated devices not covered by groups H10D84/00 - H10D88/00
- H10D89/60—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD]
- H10D89/601—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD] for devices having insulated gate electrodes, e.g. for IGFETs or IGBTs
- H10D89/931—Integrated devices comprising arrangements for electrical or thermal protection, e.g. protection circuits against electrostatic discharge [ESD] for devices having insulated gate electrodes, e.g. for IGFETs or IGBTs characterised by the dispositions of the protective arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/34—Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
- H01L23/36—Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
- H01L23/367—Cooling facilitated by shape of device
- H01L23/3677—Wire-like or pin-like cooling fins or heat sinks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Materials Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Geometry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Element Separation (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
半導體元件包括基材、半導體鰭片、源極/汲極結構、第一埋入式電源線、接觸、第一基材通孔和第二基材通孔。基材具有井區,此井區從基材的前表面延伸到基材中。半導體鰭片位於井區上。源極/汲極結構在半導體鰭片上。第一埋入式電源線電耦合到第一半導體鰭片上的源極/汲極結構。第一埋入式電源線具有沿著第一半導體鰭片的長度方向延伸的長度和在井區內延伸的高度。第一基材通孔從基材的背表面穿過基材延伸到第一埋入式電源線。第二基材通孔從基材的背表面延伸到井區。
Description
無
半導體積體電路(integrated circuit, IC)行業經歷了指數增長。體積體電路材料和設計的技術進步已經產生了幾代體積體電路,其中每一代都比上一代具有更小、更複雜的電路。在體積體電路發展的過程中,功能密度(即,每個晶片區域之互連裝置的數量)通常增加了,而幾何尺寸(即,可以使用製程產生的最小元件(或線寬))減小了。這種按比例縮小的過程通常透過提高生產效率和降低相關成本來提供益處。
無
以下公開提供了用於實現本公開之不同特徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本公開。當然,這些僅是示例,並不旨在進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加的特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
如本公開所使用的,「大約」、「大概」、「近似於」或「實質上」通常將意味著在給定值或範圍的20%以內,或在10%以內,或在5%以內。在此給出的數值是近似的,意味著如果沒有明確說明,則可以推斷出術語「大約」、「大概」、「近似於」或「實質上」。
除非另有定義,否則本公開中使用的所有術語(包括技術術語和科學術語)具有與本公開所屬領域具普通知識者通常所理解的相同含義。還將理解的是,諸如在常用詞典中定義的那些術語應被解釋為具有與它們在相關領域和本公開的上下文中的含義相一致的含義,並且將不會在理想化或過於正式的情況下被解釋,除非在此明確定義。
本公開的實施例針對但不限於鰭式場效應電晶體(fin-like field-effect transistor, FinFET)裝置。 鰭式場效應電晶體裝置例如可以是互補式金屬氧化物半導體(complementary metal-oxide-semiconductor, CMOS)裝置,包括P型金屬氧化物半導體(P-type metal-oxide-semiconductor, PMOS)鰭式場效應電晶體裝置和N型金屬氧化物半導體(N-type metal-oxide-semiconductor, NMOS)鰭式場效應電晶體裝置。以下公開將繼續一個或多個鰭式場效應電晶體示例以闡述本公開的各種實施例。然而,應理解,除非特別地在請求項中說明,否則本公開不應限於特定類型的裝置。
可以透過任何合適的方法來圖案化鰭片。例如,可以使用一種或多種微影製程(包括雙圖案化或多圖案化製程)來圖案化鰭片。雙重圖案化或多重圖案化製程將微影和自對準製程相結合,從而允許創建具有例如間距小於使用單次、直接微影製程可獲得的間距的圖案。例如,在一個實施例中,在基材上方形成犧牲層並使用微影製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後去除犧牲層,接著可以使用剩餘的間隔物來圖案化鰭片。
現在參考第1A圖和第1B圖,其繪示根據部分實施例之用於製造半導體元件的示例性方法M1。方法M1包括整個製造過程的相關部分。應該理解,可以在如第1A圖和第1B圖所示的操作之前、期間和之後提供附加的操作,並且以下描述的一些操作可以被替換或消除以作為此方法的其他實施例。操作/過程的順序可以互換。方法M包括製造鰭式場效應電晶體裝置。然而,鰭式場效應電晶體裝置的製造僅是用於描述根據本公開之部分實施例的製造過程的示例。
第2圖至第20B圖繪示根據本公開的部分實施例之在方法M1的各個階段的晶片W1。第2圖是晶片W1的俯視圖。第2圖至第19圖和第20A圖是對應於第2圖中的線A-A的橫截面圖。第20B圖是沿著第2圖中的線B-B的橫截面圖,並且對應於第20A圖。方法M1開始於方框S101,其中在基材上形成半導體鰭片。參照第3圖,在方框S101的部分實施例中,晶片W1經歷一系列沉積和微影製程,使得在晶片W1的基材110上形成圖案化的光阻層(未繪示)和遮罩層130。在部分實施例中,遮罩層130包括硬遮罩層和襯墊層。在部分實施例中,基材110是半導體基材(例如,體半導體(bulk semiconductor)、絕緣體上半導體(semiconductor-on-insulator, SOI)基材等)。絕緣體上半導體基材包括形成在絕緣體層上的半導體材料層。絕緣體層可以是例如埋入氧化物(buried oxide, BOX)層、氧化矽層等。絕緣體層設置在基材、矽或玻璃基材上。亦可以使用其他基材(例如,多層或梯度基材)。在部分實施例中,基材110的半導體材料可以包括矽;鍺;化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦);合金半導體(包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷銦化鋁(AlInAs)、砷鎵化鋁(AlGaAs)、砷銦化鎵(GaInAs)、磷銦化鎵(GaInP)和/或磷砷化銦鎵(GaInAsP));或其組合。
如第3圖所示,基材110中的井區116和118將基材110分成用於不同類型之裝置或電晶體的單獨區域。井區116和118的示例材料包括但不限於摻雜有各種類型的p型摻雜劑和/或n型摻雜劑的半導體材料。在部分實施例中,井區116包括p型摻雜劑並且可以被稱為P型井區,並且井區118包括n型摻雜劑並且可以被稱為N型井區。 在第3圖的示例配置中,N型井區118是用於形成p通道金屬氧化物半導體電晶體的區域,並且P型井區116是用於形成n型通道金屬氧化物半導體電晶體的區域。這裡描述之井區116和118的導電性是一個例子。其他配置均在各種實施例的範圍內。
在部分實施例中,襯墊層是包括使用諸如熱氧化過程形成的氧化矽的薄膜。襯墊層可以作為基材110和硬遮罩層之間的黏合層。襯墊層還可以作為用於蝕刻硬遮罩層的蝕刻停止層。在部分實施例中,硬遮罩層由氮化矽形成,並且諸如使用低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)來形成。硬遮罩層在隨後的微影製程期間作為硬遮罩。在遮罩層130上形成光阻層,然後對其進行圖案化,從而在光阻層中形成開口,從而暴露出遮罩層130的區域。
然後,對基材110進行圖案化以形成一個或多個半導體鰭片152和154。透過光阻層蝕刻遮罩層130,從而暴露出下面的井區116和118。然後,對暴露的井區116和118進行蝕刻,以形成溝槽T。可以將在相鄰溝槽T之間之部分的井區116和118稱為半導體鰭片152和154。在蝕刻井區116和118之後,去除光阻層。接下來,可以選擇性地執行清潔步驟以去除半導體基材110的原生氧化物。例如,可以使用稀釋的氫氟酸(hydrofluoric, HF)來執行清潔。根據本公開的各個方面,半導體鰭片152和154沿著第一方向延伸。在部分實施例中,也可以將半導體鰭片152和154稱為氧化物定義(oxide-definition, OD)區域。在部分實施例中,作為示例而非限制,井區116和/或118的厚度在例如約10奈米(nm)至約100奈米的範圍內。
返回第1A圖,方法M1接著進行到方框S102,其中形成第一隔離介電質以覆蓋半導體鰭片。參考第4圖,在方框S102的部分實施例中,形成隔離介電質160以過度填充溝槽T並覆蓋半導體鰭片152和154。溝槽T中的隔離介電質160可以被稱為淺溝槽隔離(shallow trench isolation, STI)結構。在部分實施例中,隔離介電質160由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃(fluoride-doped silicate glass, FSG)或其他低介電常數(K)介電質材料製成。在部分實施例中,可以使用高密度電漿(high-density-plasma, HDP)化學氣相沉積(chemical vapor deposition, CVD)製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成隔離介電質160。在部份其他實施例中,可以使用次大氣壓的化學氣相沉積(sub-atmospheric chemical vapor deposition, SACVD)製程或高深寬比製程(high aspect-ratio process, HARP)來形成隔離介電質160,其中製程氣體可以包括四乙氧基矽烷(tetraethylorthosilicate, TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(spin-on-dielectric, SOD)(例如,含氫矽氧烷(hydrogen silsesquioxane, HSQ)或甲基矽氧烷(methyl silsesquioxane, MSQ))製程來形成隔離介電質160。亦可以使用其他製程和材料。在部分實施例中,隔離介電質160可以具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。之後,可以可選地對隔離介電質160執行熱退火。
返回第1A圖,方法M1然後進行到方框S103,其中對第一隔離介電質執行平坦化處理。參考第5圖,在方框S103的部分實施例中,執行平坦化製程(例如,化學機械平坦化)以去除半導體鰭片152和154上方之多餘的隔離介電質160。在部份其他實施例中,當暴露遮罩層130時,停止平坦化製程。在這樣的實施例中,遮罩層130可以在平坦化中充當化學機械平坦化停止層。在部分實施例中,平坦化製程還可以去除遮罩層130,使得半導體鰭片152和154的頂表面被暴露。如果遮罩層130沒有透過平坦化製程去除,則如果遮罩層130由氮化矽形成,則可以透過使用熱磷酸(H3
PO4
)的濕式製程去除,並且如果遮罩層130由氧化矽形成,則可以利用稀釋的氫氟酸去除。
返回第1A圖,方法M1然後進行到方框S104,其中形成溝槽以延伸穿過第一隔離介電質進入鄰近半導體鰭片的基材中。參考第6圖,在方框S104的部分實施例中,在隔離介電質160上方形成圖案化的遮罩層(未繪示)。在部分實施例中,透過旋塗光阻劑材料,然後進行諸如軟烘烤製程(soft baking process)和硬烘烤製程(hard baking process)(也可以稱為曝光前烘烤(pre-exposure baking))的製程來形成遮罩層(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層是深紫外光(DUV)光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層是I-line光阻劑、極紫外光(EUV)光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑(chemically amplified resist, CAR),其包含受酸不穩定基團(acid labile groups, ALG)保護的主鏈聚合物並且還包含光酸產生劑(photo-acid generators, PAG)。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在隔離介電質160上塗覆遮罩層之後,透過遮罩將遮罩層暴露於輻射。在完成使遮罩層暴露於輻射之後,對暴露的遮罩層進行一個或多個曝光後烘烤(post-exposure baking, PEB)製程。然後,執行顯影製程,從而去除部分暴露的遮罩層,並且此遮罩層可以作為蝕刻遮罩以保護隔離介電質160的其餘部分免受蝕刻製程的影響。參照第6圖,當蝕刻製程完成時,形成穿過隔離介電質160並且具有底部在井區116和118中的溝槽160a和160b。在部分實施例中,作為示例而非限制,在井區116和/或118中的溝槽160a和/或160b具有在約30奈米至約200奈米範圍內的深度T2。
在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻(reactive-ion etching, RIE)製程或原子層蝕刻(atomic layer etching, ALE)製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
))、含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
返回第1A圖,方法M1然後進行到方框S105,其中在第一隔離介電質上方形成導電材料並使其填充在溝槽中。參考第7圖,在方框S105的部分實施例中,使用導電材料170填充溝槽160a和160b。在部分實施例中,導電材料170可以包括金屬(例如,鎢(W)、釕(Ru)、鋁(Al)、銅(Cu)或其他合適的導電材料)。在部分實施例中,可以透過化學氣相沉積、物理氣相沉積(physical vapor deposition, PVD)、濺鍍沉積或適合於沉積導電材料的其他技術來沉積導電材料170。
返回第1A圖,方法M1然後進行到方框S106,其中對導電材料進行平坦化處理。參考第8圖,在方框S106的部分實施例中,執行平坦化製程(例如,化學機械平坦化(chemical mechanical polish, CMP))以去除在隔離介電質160上方之多餘的導電材料170。在部分其他的實施例中,當隔離介電質160被暴露時停止平坦化製程。在這樣的實施例中,隔離介電質160可以在平坦化中充當化學機械平坦化停止層。
返回第1A圖,方法M1然後進行到方框S107,其中將溝槽中的導電材料蝕刻至給定的深度,在溝槽中留下一部分的導電材料,從而形成在此高度的埋入式電源軌。參考第9圖,在方框S107的部分實施例中,執行蝕刻製程以使導電材料170變薄,使得導電材料170的頂面降低到低於隔離介電質160的頂面的高度,從而形成埋入式電源軌170a和170b。在部分實施例中,埋入式電源軌170a和170b也可以被稱為導電軌170a和170b。在部分實施例中,埋入式電源軌170a和/或170b也可以被稱為供電電源軌和/或接地參考軌。例如,埋入式電源軌170a可以被稱為接地參考軌,並且埋入式電源軌170b可以被稱為供電電源軌。如第2圖所示,埋入式電源軌170a和/或170b沿著半導體鰭片152和/或154的長度方向延伸。在部分實施例中,導電材料170的變薄可以包括乾式蝕刻製程或其他合適的蝕刻製程。在部分實施例中,蝕刻製程包括使用選擇性地蝕刻導電材料170而不會顯著地蝕刻周圍結構(即,隔離介電質160)的技術和蝕刻劑。
在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、三氟化氮(NF3
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
)和/或八氟環丁烷(C4
F8
))、含氯氣體(例如,氯氣(Cl2
)、鹽酸(HCl)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
返回第1A圖,方法M1然後進行到方框S108,其中在第一隔離介電質上方形成第二隔離介電質,並在溝槽中填充第二隔離介電質使其在埋入式電源軌上。參考第10圖,在方框S108的部分實施例中,形成隔離介電質165以過度填充溝槽160a和160b,使其在埋入式電源軌170a和170b上並覆蓋隔離介電質160。溝槽160a和160b中的隔離介電質165可以被稱為淺溝槽隔離(shallow trench isolation, STI)結構。在部分實施例中,隔離介電質165由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃或其他低介電常數介電質材料製成。在部分實施例中,隔離介電質165具有與隔離介電質160不同的材料。在部分實施例中,隔離介電質165具有與隔離介電質160相同的材料。
在部分實施例中,可以使用高密度電漿化學氣相沉積製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成隔離介電質165。在部份其他實施例中,可以使用次大氣壓的化學氣相沉積製程或高深寬比製程來形成隔離介電質165,其中製程氣體可以包括四乙氧基矽烷(TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(例如,含氫矽氧烷(HSQ)或甲基矽氧烷(MSQ))製程來形成隔離介電質165。亦可以使用其他製程和材料。在部分實施例中,隔離介電質165可具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。之後,可以選擇性地對隔離介電質165執行熱退火。
返回第1A圖,方法M1然後進行到方框S109,其中去除在第一隔離介電質上方的第二隔離介電質。參考第11圖,在方框S109的部分實施例中,執行平坦化製程(例如,化學機械平坦化)以去除在隔離介電質160上方之多餘的隔離介電質165。在部份其他實施例中,當暴露隔離介電質160時,停止平坦化製程。在這樣的實施例中,隔離介電質160可以在平坦化中充當化學機械平坦化停止層。在部份其他實施例中,當暴露遮罩層130時,停止平坦化製程。在這樣的實施例中,遮罩層130可以在平坦化中充當化學機械平坦化停止層。在部分實施例中,平坦化製程還可以去除遮罩層130,以暴露半導體鰭片152和154的頂表面。
返回到第1A圖,方法M1然後進行到方框S110,其中使第一隔離介電質和第二隔離介電質凹陷。參考第12圖,在方框S110的部分實施例中,例如透過蝕刻操作使隔離介電質160和165凹陷,其中可以將稀釋的氫氟酸、矽鈷鎳(SiCoNi)(包括氫氟酸(HF)和氨(NH3
))等作為蝕刻劑。在使隔離介電質165凹陷之後,半導體鰭片152的一部分和半導體鰭154的一部分高於隔離介電質160的頂表面並且高於隔離介電質165的頂表面。
應當理解,上述的方框S101至S110僅僅是形成半導體鰭片152和154、隔離介電質160和165以及埋入式電源軌170a和170b的示例。在其他實施例中,可以在基材110的頂表面上方形成介電層;可以蝕刻穿過介電層以形成溝槽;可以在溝槽中磊晶生長同質磊晶結構;並且可以使介電層凹陷,使得同質磊晶結構從介電層突出以形成鰭片。在其他實施例中,可以使用異質磊晶結構作為鰭片。例如,可以使半導體鰭片152和154凹陷,並且可以在此位置上磊晶生長與凹陷的半導體鰭片152和154不同的材料。在其他的實施例中,可以在基材110的頂表面上方形成介電層;可以蝕刻穿過介電層形成溝槽;可以使用與基材110不同的材料在溝槽中磊晶生長異質磊晶結構;並且可以使介電層凹陷,使得異質磊晶結構從介電層突出以形成鰭片。在磊晶生長同質磊晶或異質磊晶結構的部分實施例中,儘管可以一起使用原位(in-situ
)和佈植摻雜,然而在生長期間原位摻雜生長的材料可能會消除鰭片先前的佈植摻雜。在部分實施例中,半導體鰭片152或半導體鰭片154可以包括矽鍺((Six
Ge1-x
),其中x可以在大約0與1之間)、碳化矽、純或實質上純的鍺、III-V族化合物半導體、II-VI化合物半導體等。例如,用於形成III-V族化合物半導體的可用材料包括但不限於砷化銦(InAs)、砷化鋁(AlAs)、砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷鎵化銦(InGaAs)、砷鋁化銦(InAlAs)、鍗化鎵(GaSb)、鍗化鋁(AlSb)、磷化鋁(AlP)、磷化鎵(GaP)等。
如本公開中所使用的,術語「原位(in-situ
)」用於描述當裝置或基材保持在製程系統(例如,包括負載鎖定室、傳送室、處理室或任何其他流體地耦合的腔室)內的同時例如在其中製程系統允許基材保持在真空條件下執行的過程。如此,術語「原位」也可以用於指被處理的裝置或基材在不暴露於外部環境(例如,在製程系統外部)的過程。
接著是第2圖所示的閘極形成,其可以透過諸如替換閘極技術的技術來實現。如第2圖所示,形成垂直於半導體鰭片152和154的閘極結構182和184。
返回第1B圖,方法M1然後進行到方框S111,在其中在半導體鰭片上形成源極/汲極特徵。參考第13圖,在方框S111的部分實施例中,可以透過執行磊晶生長製程來形成源極/汲極特徵172和174,此磊晶生長製程提供覆蓋半導體鰭片152和154的部分的磊晶材料162和164。源極/汲極特徵172和174形成在基材110上並與第2圖所示的閘極結構182和184相鄰。源極/汲極特徵172和174包括透過在暴露的半導體鰭片152和154上磊晶生長半導體材料而形成的材料162和164。換句話說,材料162和164形成在與第2圖所示之閘極結構182和184相鄰的半導體鰭片152和154的周圍;可以將此稱為在半導體鰭片152和154周圍形成「包覆層(cladding)」。因此,形成了包含半導體鰭片152和154和磊晶生長的材料162和164的源極/汲極特徵172和174。應理解,可以在不使半導體鰭片152和154凹陷的情況下形成這些特徵。
在各個實施例中,生長的半導體材料162和164可以包括鍺(Ge)、矽(Si)、砷化鎵(GaAs)、砷化鎵鋁(AlGaAs)、矽鍺(SiGe)、磷化砷鎵(GaAsP)、矽磷(SiP)或其他合適的材料。在部分實施例中,材料162和164可以在磊晶生長製程期間被原位摻雜。例如,在部分實施例中,磊晶生長的材料162和164可以摻雜有硼。在部分實施例中,磊晶生長的材料162和164可以摻雜有碳以形成矽:碳(Si:C)源極/汲極特徵,摻雜有磷以形成矽:磷(Si:P)源極/汲極特徵,或摻雜有碳和磷兩者以形成矽碳磷(SiCP)源極/汲極特徵。在一個實施例中,半導體鰭片152和154由矽製成,並且磊晶生長的材料162和164也為矽。在部分實施例中,半導體鰭片152和154以及磊晶生長的材料162和164可以包括相似的材料,但是摻雜有不同的物質。在其他實施例中,半導體鰭片152和154包括第一半導體材料,磊晶生長的材料162和164包括與第一半導體材料不同的第二半導體。在部分實施例中,磊晶生長的材料162和164不是原位摻雜的而是(例如)執行佈植製程以摻雜磊晶生長的材料162和164。
在部分實施例中,在形成源極/汲極特徵172和174之前,形成包括閘極介電質和位於閘極介電質上方的閘極的虛設閘極結構(未繪示),以使其在半導體鰭片152和154上延伸。在部分實施例中,為了形成虛設閘極結構,在半導體鰭片152和154上方形成閘極介電層,接著形成虛設閘極層。然後,對閘極介電層和虛設閘極層進行圖案化,以分別形成閘極介電質和閘極。出於製程原因,可以在虛設閘極結構上形成硬遮罩,其中,硬遮罩可以包括氮化矽。半導體鰭片152和154的通道部分被虛設閘極結構覆蓋,並且半導體鰭片152和154的源極/汲極部分未被虛設閘極結構覆蓋。換句話說,虛設閘極結構形成在半導體鰭片152和154的通道部分上方。
在部分實施例中,在形成源極/汲極特徵172和174之前,分別在虛設閘極結構的相對側壁上形成如第20B圖所示的多個閘極間隔物186。在部分實施例中,至少一些閘極間隔物186包括單層或多層。可以透過在先前形成的結構上毯覆式沉積一個或多個介電層(未繪示)來形成閘極間隔物186。介電層可以包括氮化矽(SiN)、氮氧化物、矽化碳(SiC)、氮氧化矽(SiON)、氧化物等,並且可以透過諸如化學氣相沉積、電漿增強化學氣相沉積、濺鍍和其他合適的方法以形成些層。然後可以例如透過一種或多種蝕刻來圖案化閘極間隔物186,以從結構的水平表面去除部分的介電層。
在第13圖中,層間介電(interlayer dielectric, ILD)層176形成在源極/汲極特徵172和174以及虛設閘極結構上。也就是說,在稍後執行的製程期間,源極/汲極特徵172和174被層間介電層176覆蓋和保護。此外,層間介電層176形成在第20B圖所示的閘極間隔物186和虛設閘極結構上。執行化學機械平坦化製程以去除部分的層間介電層176。在化學機械平坦化製程期間,暴露虛設閘極結構。層間介電層176的其餘部分覆蓋源極/汲極特徵172和174。層間介電層176可以包括氧化矽、氮氧化物或其他合適的材料。
在部分實施例中,採用替換閘極(replacement gate, RPG)製程方案。在替換閘極製程方案中,預先形成虛設閘極結構並且之後由金屬閘極代替。在部分實施例中,去除虛設閘極結構(未繪示)以在閘極間隔物186之間形成開口。可以透過乾式蝕刻、濕式蝕刻或乾式和濕式蝕刻的組合來去除虛設閘極結構。例如,濕式蝕刻製程可以包括暴露於含氫氧化物的溶液(例如,氫氧化銨)、去離子水和/或其他合適的蝕刻劑溶液。在部分實施例中,虛設閘極結構在半導體鰭片152和154的通道部分上提供約束力。在去除虛設閘極結構之後,施加在半導體鰭片152和154的通道部分上的約束力相應地消失,因此,可以增強由源極/汲極特徵172和174引起之在半導體鰭片152和154的通道部分上的面內拉伸應變。
如第20B圖所示,在閘極間隔物186之間的開口中分別形成多個閘極188。換句話說,虛設閘極結構被閘極188代替,因而使間隔物186設置在閘極188的側壁上。閘極188形成在半導體鰭片152和154的通道部分上方。閘極188可以包括金屬、金屬合金和/或金屬矽化物。另外,閘極188的形成可以包括沉積以形成各種閘極材料、一個或多個襯墊層,並包括一種或多種化學機械平坦化製程以去除過量的閘極材料並由此使閘極188的頂表面平坦化。
在部份其他實施例中,包括在閘極188中的功函數金屬層可以是n型或p型功函數層。示例性的p型功函數金屬包括氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、矽化鋯(ZrSi2
)、矽化鉬(MoSi2
)、矽化鉭(TaSi2
)、矽化鎳(NiSi2
)、氮化鎢(WN)、其他合適的p型功函數材料或其組合。示例性的n型功函數金屬包括鈦(Ti)、銀(Ag)、鋁化鉭(TaAl)、碳鋁化鉭(TaAlC)、氮鋁化鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鋯(Zr)、其他合適的n型功函數材料或其組合。功函數層可以包括多個層。功函數層可以透過化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程來沉積。在部分實施例中,閘極188可以是包括p型功函數層的p型金屬閘極。在部分實施例中,包括在閘極188中的覆蓋層可以包括難熔金屬及其氮化物(例如,氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(W2
N)、氮矽化鈦(TiSiN)、氮矽化鉭(TaSiN))。可以透過物理氣相沉積、化學氣相沉積、金屬有機化學氣相沉積(metal-organic chemical vapor deposition, MOCVD)和原子層沉積來沉積覆蓋層。在部分實施例中,包括在閘極188中的填充層可以包括鎢(W)。可以透過原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的製程來沉積金屬層。
對閘極188進行去除製程,以部分地去除閘極188。蝕刻製程可以包括濕式蝕刻、乾式蝕刻和/或其組合。作為示例,濕式蝕刻溶液可以包括硝酸(HNO3
)、氨水(NH4
OH)、氫氧化鉀(KOH)、氟化氫(HF)、鹽酸(HCl)、氫氧化鈉(NaOH)、磷酸(H3
PO4
)和/或其他合適的濕式蝕刻溶液和/或其組合。亦或是,乾式蝕刻製程可以使用含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)、和/或三氯化硼(BCl3
))、含溴氣體(例如、溴化氫(HBr)和/或三溴甲烷(CHBr3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。在部分實施例中,選擇蝕刻製程以選擇性地蝕刻閘極188,而實質上不會蝕刻層間介電層176和閘極間隔物186。在部分實施例中,控制蝕刻製程以使得剩餘的閘極188的頂表面低於層間介電層176和閘極間隔物186的頂表面。本公開所用的術語「實質上」可以用於修飾任何定量表示,此定量表示可以允許變化而不會導致與之相關的基本功能發生變化。
返回第1B圖,方法M1然後進行到方框S112,其中形成互連以連接源極/汲極特徵和埋入式電源軌。參考第14A圖,在方框S112的部分實施例中,在層間介電層176中形成溝槽176b以暴露源極/汲極特徵172和174,並且形成通孔開口176a使其從溝槽176b的底部向下延伸至埋入式電源軌170a。溝槽176b和通孔開口176a可以透過類似雙鑲嵌的技術(例如,先溝槽圖案化方法或先通孔圖案化方法)形成。可以在先溝槽圖案化方法或先通孔圖案化方法中採用適當的微影和蝕刻技術。在第14A圖中,溝槽176b的底部位置高於源極/汲極特徵172和174的最大寬度。在部分實施例中,溝槽176b的底部位置可以低於源極/汲極特徵172和174的最大寬度並高於隔離介電質160的頂表面。在部分實施例中,溝槽176b的底部可以與隔離介電質160的頂表面齊平。然後,形成填充在層間介電層176的通孔開口176a和溝槽176b中的導電材料以形成互連178a,此互連將源極/汲極特徵172和174連接到埋入式電源軌170a。在部分實施例中,形成填充在層間介電層176的溝槽中的導電材料,以形成互連源極/汲極特徵的互連178b,如第2圖所示。
第14B圖繪示根據本公開之部份其他實施例在對應於第14A圖的階段的另一晶片,其繪示互連的不同輪廓。如第14B圖所示,形成了從溝槽176b的底部垂直地向下延伸到埋入式電源軌170a的通孔開口176c。第14A圖和第14B圖之間的區別在於,通孔開口176c暴露出隔離介電質160的側表面。因此,在其中形成的互連179與隔離介電質160接觸。
返回第1B圖,方法M1然後進行到方框S113,其中在基材上形成後段製程堆疊,並在後段製程堆疊上形成結合層。透過在半導體晶片上進行前段製程(front end of line, FEOL)和後段製程(back end of line, BEOL)製程來製造晶片,此前段製程包括用於生產埋入式電源軌170a和170b以及互連178a的上述步驟。如上所述,將埋入式電源軌170a/170b連接到汲極電壓(VDD)、源極電壓(VSS)和互連178a。參考第15圖,在方框S113的部分實施例中,後段製程堆疊190形成在基材110上方並且以示意性方式繪示出而沒有詳細地描述互連。使用後段製程形成後段製程堆疊190。後段製程包括在晶片W1中的裝置結構之間形成金屬佈線,以使它們互連,其包括形成接觸、互連線、通孔結構和介電結構。然後,在後段製程堆疊190上方形成結合層192。在部分實施例中,結合層192可以包括氧化矽、氮碳化矽(SiCN)或用於實現直接介電質至介電質結合的任何其他材料。
返回第1B圖,方法M1然後進行到方框S114,其中將晶片接合到載體基材上。參考第16圖,在方框S114的部分實施例中,晶片W1透過結合層192結合到載體基材194。在部分實施例中,載體基材194上還設有結合層196。結合層196可以包括氧化矽、氮碳化矽(SiCN)或用於實現直接的介電質至介電質結合的任何其他材料。透過直接接合(direct bonding)技術將晶片W1接合到載體基材194,從而獲得如第16圖所示之接合的晶片堆疊。
返回第1B圖,方法M1然後進行到方框S115,其中使晶片的基材變薄。參考第17圖,在方框S115的部分實施例中,作為示例而非限制方式,晶片W1的基材110可透過研磨和/或濕式或乾式蝕刻技術變薄。作為示例而非限制,剩餘的基材厚度在約50奈米(nm)至約500奈米的範圍內。在部分實施例中,作為示例而非限制,接著進一步減小厚度,直到半導體的厚度約為1微米(μm)。在部分實施例中,可以透過將可以充當蝕刻停止層的摻雜層併入到裝置晶片中來實現變薄。作為示例而非限制,然後施加在摻雜層上停止的選擇性濕式蝕刻步驟,以將半導體晶片減薄至所需之約1微米的厚度。
返回第1B圖,方法M1然後進行到方框S116,其中形成穿過基材直到到達埋入式電源軌和井區的基材通孔(through substrate via, TSV)開口。參考第18A圖,在方框S116的部分實施例中,形成穿過基材110直到到達連接到電源或接地的埋入式電源軌170a和/或170b的基材通孔開口110a和/或110c。形成穿過基材110直到到達井區116和/或118的基材通孔開口110b,並且此基材通孔開口110b可以作為導電路徑以在靜電放電(electrostatic discharge, ESD)事件期間釋放高電流和電流密度,以避免電路崩潰。
更詳細地,作為示例而非限制,在基材110中形成具有大於約5之高深寬比的基材通孔開口110a、110b和/或110c。在限定基材通孔開口110a、110b和/或110c時,在基材110上方形成硬遮罩層(未繪示),隨後在其上形成圖案化的光阻層(未繪示)。作為示例而非限制,硬遮罩層可以是氮化矽層、氮氧化矽層等。透過曝光、烘烤、顯影和/或其他微影製程將光阻層圖案化以提供暴露硬遮罩層的開口。然後,透過濕式蝕刻或乾式蝕刻製程,使用圖案化的光阻層作為遮罩元件以提供開口,來蝕刻暴露的硬遮罩層。使用硬遮罩層和圖案化的光阻層作為遮罩元件,執行蝕刻製程以蝕刻暴露的基材110,從而形成穿過基材110的基材通孔開口110a、110b和/或110c。埋入式電源軌170a和170b還可以在蝕刻基材110時作為蝕刻停止層,直到暴露出埋入式電源軌170a和170b。因為同時形成基材通孔開口110a、110b和/或110c,所以基材通孔開口110b的底部可以與基材通孔開口110a和/或110c的底部齊平,並且可以與透過基材通孔開口110a和/或110c而暴露的電源軌170a和/或170b的表面齊平。
在部分實施例中,可以使用任何合適的蝕刻方法來蝕刻基材通孔開口110a、110b和/或110c,在此所指之合適的蝕刻方法包括諸如電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材110。蝕刻製程可以從晶片W1的背表面110d蝕刻大約幾十微米到幾百微米而形成基材通孔開口110a、110b和/或110c。在部分實施例中,蝕刻製程可導致在與基材通孔開口110a、110b和/或110c的側壁相鄰之基材110上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口110a、110b和/或110c的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。作為示例而非限制,基材通孔開口110a、110b和/或110c的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口110a、110b和/或110c的深寬比可大於約10。在部分實施例中,在基材110中創建具有高深寬比的基材通孔開口110a、110b和/或110c時,可能會在基材110的側壁上產生一系列蝕刻的大扇貝形(未繪示),其尺寸可以根據製程參數而改變。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。
在部分實施例中,基材通孔開口110a、110b和/或110c具有如第2圖所示的矩形平面圖輪廓(或俯視圖輪廓),其寬度小於埋入式電源軌170a和170b的寬度。在部分實施例中,基材通孔開口110a、110b和/或110c的寬度大於埋入式電源軌170a和170b的寬度。亦或是,基材通孔開口110a、110b和/或110c可具有圓形的平面輪廓,其直徑小於埋入式電源軌170a和170b的寬度。在部分實施例中,基材通孔開口110a、110b和/或110c的直徑大於埋入式電源軌170a和170b的寬度。
第18B圖繪示根據本公開的部份其他實施例之處於對應於第18A圖的階段的另一晶片,其繪示出基材通孔開口的不同輪廓。如第18B圖所示,形成從基材110的背表面110d垂直地向下延伸至埋入式電源軌170a的基材通孔開口110e、110f和/或110g。第18A圖和第18B圖之間的區別在於,基材通孔開口110e和/或110f的背面底端低於埋入式電源軌170a和/或170b的背面端部170c和/或170d,使得基材通孔開口110e和/或110f暴露埋入式電源軌170a和/或170c的側壁,這將允許增加埋入式電源軌170a和/或170b與將在基材通孔開口110e和/或110f中形成的基材通孔之間的接觸面積。因為同時形成基材通孔開口110e、110f和/或110g,所以基材通孔開口110f的底部可以與基材通孔開口110e和/或110g的底部齊平並且低於埋入式電源軌170a和/或170b的背面端部170c和/或170d。
返回第1B圖,方法M1然後進行到方框S117,其中在基材通孔開口中形成基材通孔(through substrate vias, TSVs)。參考第19圖,在方框S117的部分實施例中,基材通孔198a和/或198c形成在基材110的基材通孔開口110a和/或110c中,並與埋入式電源軌170a和/或170b接觸,以連接到電源或接地。基材通孔198b形成在基材通孔開口110b中並且與井區116和/或118接觸。在部分實施例中,基材通孔198b可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片W1的前側上未形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔198b可以作為晶片W1的散熱器,以將半導體元件產生的熱量從井區釋放到晶片W1的外部。
更詳細地,透過使用金屬化製程以及使用金屬電鍍技術來填充高深寬比開口以避免接縫或空隙缺陷而形成基材通孔198a、198b和/或198c。在部分實施例中,為了避免金屬從基材通孔金屬擴散到矽基材中,在絕緣層和基材通孔金屬之間使用阻擋層(未繪示)。阻擋層可以做為基材通孔開口110a、110b和/或110c的襯墊。阻擋層可作為防止金屬擴散的擴散阻擋層,並且可作為金屬與介電質之間的黏合層。作為示例但非限制,難熔金屬、難熔金屬氮化物、難熔金屬矽氮化物及其組合可用於阻擋層(例如,氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、氮矽化鈦(TiSiN)、氮化鎢(WN)或其組合)。在部分實施例中,阻擋層可以包括氮化鉭(TaN)層和鉭(Ta)層。在部分實施例中,阻擋層是氮化鈦(TiN)層。在部分實施例中,阻擋層是鈦(Ti)層。在部分實施例中,接著在阻擋層上形成金屬晶種層(metal seed layer)(未繪示)。在部分實施例中,作為示例而非限制,金屬晶種層是銅晶種層,此銅晶種層可以透過物理氣相沉積的方式形成。
接著,可以將晶片W1轉移至電鍍工具(例如,電化學電鍍(electrochemical plating, ECP)工具)並透過電鍍製程在晶片W1上電鍍導電層以填充基材通孔開口110a、110b和/或110c。儘管本公開描述了電化學電鍍製程,然而實施例不限於電化學電鍍沉積的金屬。導電層可以包括選自包括但不限於銅和銅基合金的導體材料群組的低電阻率的導體材料。亦或是,導電層可以包括各種材料(例如,鎢、釕、鋁、金、銀等)。在部分實施例中,導電層是形成在銅晶種層上方的含銅層。
隨後,透過蝕刻、化學機械平坦化等去除導電層、金屬晶種層和阻擋層的多餘部分,從而使金屬填充的開口的上表面實質上與基材110的背表面齊平。基材通孔開口110a、110b和/或110c中的導電層和阻擋層的其餘部分形成基材通孔198a、198b和/或198c。
基材通孔198b與井區116和/或118接觸並且電耦合在埋入式電源軌170a和170b之間。當在埋入式電源軌170b上發生靜電放電事件時,基材通孔198b用以在埋入式電源軌170a和170b之間提供導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。在部分實施例中,基材通孔198b也可以被稱為靜電放電保護電路。
返回第1B圖,方法M1然後進行到方框S118,其中形成後段製程型互連堆疊和在後段製程型互連堆疊上的端子以連接埋入式電源軌。參考第20A圖和第20B圖,在方框S118的部分實施例中,形成後段製程型互連堆疊150以及在後段製程型互連堆疊150上方的端子VDD和VSS,以連接埋入式電源軌170a和170b。更詳細地,執行一系列鑲嵌製程步驟以產生後段製程型互連堆疊150,其透過低電阻電路將基材通孔198a和198c連接至晶片W1的背面上的端子VDD和VSS。在部分實施例中,後段製程型互連堆疊150也可以被稱為晶片W1的電源供應網路(power delivery network)。
第21圖繪示根據本公開之部份其他實施例的與第2圖相對應的另一晶片的俯視圖,其繪示出基材通孔的不同輪廓。如第21圖所示,形成與埋入式電源軌170a和/或170b接觸的基材通孔698a和/或698c,以連接到電源或接地。形成與井區116和/或118接觸的基材通孔698b。形成第21圖所示之基材通孔698a、698b和/或698c的操作與如第2圖至第20B圖所示在方法M1的方框S101至S118中形成晶片W1的基材通孔198a、198b和/或198c的操作實質上相同,並且可以參考前述段落中的相關詳細描述,在此不再贅述。
如第21圖所示,垂直地重疊閘極結構182的基材通孔698b,在沿閘極結構182的長度方向橫向地位於半導體鰭片152和154之間,和/或在沿半導體鰭片152的長度方向橫向地位於互連178a和178b之間。在部分實施例中,基材通孔698b與源極/汲極特徵172和174不重疊。基材通孔698a和/或698c與互連178a和/或178b不重疊。在部分實施例中,基材通孔698a、698b和698c沿著閘極結構182的長度方向配置。在部分實施例中,基材通孔698b可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於沒有在晶片的正面上形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔698b可以作為晶片的散熱器,以將半導體元件產生的熱量從井區釋放到晶片外部。在部分實施例中,基材通孔698b也可以被稱為靜電放電保護電路。
第22圖繪示根據本公開之部份其他實施例的與第2圖相對應的另一晶片的俯視圖,其繪示出基材通孔的不同輪廓。如第22圖所示,形成與埋入式電源軌170a和/或170b接觸的基材通孔798a和/或798c,以便連接到電源或接地。形成與井區116和/或118接觸的基材通孔798b。形成第22圖所示之基材通孔798a、798b和/或798c的操作與形成如第2圖至第20B圖所示在方法M1的方框S101至S118中形成晶片W1的基材通孔198a、198b和/或198c的操作實質上相同,因此可以參考前述段落中的相關詳細描述,在此不再贅述。
如第22圖所示,與閘極結構182垂直地重疊的基材通孔798b在沿閘極結構182的長度方向上橫向地位於半導體鰭片152和154之間,和/或在沿著半導體鰭片152的長度方向上橫向地位於互連178a和178b之間。在部分實施例中,基材通孔798b不與源極/汲極特徵172和174重疊。基材通孔798a和/或798c與互連178a和/或178b重疊。在部分實施例中,基材通孔798a、798b和798c在閘極結構182的長度方向上不重疊。在部分實施例中,基材通孔798b可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片的正面上不形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔798b可以作為晶片的散熱器,以將半導體元件產生的熱量從井區釋放到晶片外部。在部分實施例中,基材通孔798b也可以被稱為靜電放電保護電路。
第23圖繪示根據本公開之部份其他實施例的與第2圖相對應的另一晶片的俯視圖,其繪示出基材通孔的不同輪廓。如第23圖所示,形成與埋入式電源軌170a和/或170b接觸的基材通孔898a和/或898c,以便連接到電源或接地。形成與井區116和/或118接觸的基材通孔898b。第23圖所示之用於形成基材通孔898a、898b和/或898c的操作與如第2圖至第20B圖所示在方法M1的方框S101至S118中用於形成晶片W1的基材通孔198a、198b和/或198c的操作實質上相同,因此可以參考前述段落中的相關詳細描述,在此不再贅述。
如第23圖所示,基材通孔898b垂直地重疊互連178a和/或沿著閘極結構182的長度方向橫向地位於半導體鰭片152和154之間。在部分實施例中,基材通孔898b與閘極結構182不重疊。基材通孔898a和/或898c與互連178a和/或178b不重疊。在部分實施例中,基材通孔898a、898b和898c在閘極結構182的長度方向上未對準。在部分實施例中,基材通孔898b可以作為傳導路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於沒有在晶片的正面上形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔898b可以作為晶片的散熱器,以將由半導體元件產生的熱量從井區釋放到晶片的外部。在部分實施例中,基材通孔898b也可以被稱為靜電放電保護電路。
現在參考第24圖,其繪示根據部分實施例之用於製造半導體元件的示例性方法M2。方法M2包括整個製造過程的相關部分。應當理解,可以在第24圖所示的操作之前、之中和之後提供附加操作,並且以下描述的一些操作可以被代替或消除以作為此方法的附加實施例。操作/過程的順序可以互換。應理解,為了更好地理解所公開的實施例,已經簡化了第24圖。
第25圖至第36圖繪示根據本公開的部分實施例在方法M2的各個階段之晶片W2。方法M2開始於方框S201,其中在基材上形成半導體鰭片。參照第25圖,在方框S201的部分實施例中,晶片W2經歷一系列沉積和微影製程,從而在晶片W2的基材210上形成圖案化的光阻層(未繪示)和遮罩層230。在部分實施例中,遮罩層230包括硬遮罩層和襯墊層。在部分實施例中,基材210是半導體基材(例如,體半導體、絕緣體上半導體基材等)。絕緣體上半導體基材包括形成在絕緣體層上的半導體材料層。絕緣體層可以是例如埋入氧化物層、氧化矽層等。絕緣體層設置在基材、矽或玻璃基材上。亦可以使用其他基材(例如,多層或梯度基材)。在部分實施例中,基材210的半導體材料可以包括矽;鍺;化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦);合金半導體(包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷銦化鋁(AlInAs)、砷鎵化鋁(AlGaAs)、砷銦化鎵(GaInAs)、磷銦化鎵(GaInP)和/或磷砷化銦鎵(GaInAsP));或其組合。
如第25圖所示,基材210中的P型井區216和N型井區218將基材210劃分為用於不同類型的裝置或電晶體的單獨區域。P型井區216和N型井區218的示例性材料包括但不限於摻雜有各種類型的p型摻雜劑和/或n型摻雜劑的半導體材料。在部分實施例中,P型井區216包括p型摻雜劑,而N型井區218包括n型摻雜劑。在第25圖的示例性配置中,N型井區218是用於形成p通道金屬氧化物半導體電晶體的區域,並且P型井區216是用於形成n通道金屬氧化物半導體電晶體的區域。這裡描述之井區216和218的導電性是一個例子。其他配置亦在各種實施例的範圍內。
在部分實施例中,襯墊層是包括使用諸如熱氧化過程形成之氧化矽的薄膜。襯墊層可以作為基材210和硬遮罩層之間的黏合層。襯墊層還可以作為用於蝕刻硬遮罩層的蝕刻停止層。在部分實施例中,硬遮罩層由例如使用低壓化學氣相沉積或電漿增強化學氣相沉積的氮化矽形成。硬遮罩層在隨後的微影製程期間作為硬遮罩。在遮罩層230上形成光阻層,然後對其進行圖案化,從而在光阻層中形成開口,從而暴露出遮罩層230的區域。
然後,對基材210進行圖案化以形成一個或多個半導體鰭片252、253、254和255。透過光阻層蝕刻遮罩層230以暴露出下面的基材210。然後,對暴露的基材210進行蝕刻,以形成溝槽T3。相鄰溝槽T3之間的P型井區216的一部分可以被稱為半導體鰭片253。相鄰溝槽T3之間的N型井區218的一部分可以被稱為半導體鰭片254。可以將在橫向上圍繞P型井區216和N型井區218並且鄰近於相鄰溝槽T3之部分的基材210稱為半導體鰭片252和255。在蝕刻基材210之後,去除光阻層。接下來,可以可選地執行清潔步驟以去除半導體基材210的原生氧化物。例如,可以使用稀釋的氫氟酸來執行清潔。根據本公開的各個方面,半導體鰭片252至255沿著第一方向延伸。在部分實施例中,半導體鰭片252至255也可以被稱為氧化物定義區域。在部分實施例中,作為示例而非限制,P型井區216和/或N型井區218的厚度在例如約10奈米至約100奈米的範圍內。
返回第24圖,方法M2然後進行到方框S202,其中形成隔離介電質以覆蓋半導體鰭片。參考第26圖,在方框S202的部分實施例中,形成隔離介電質260以過度地填充溝槽T3並覆蓋半導體鰭片252至255。溝槽T3中的隔離介電質260可以被稱為淺溝槽隔離結構。在部分實施例中,隔離介電質260由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃或其他低介電常數介電質材料製成。在部分實施例中,可以使用高密度電漿化學氣相沉積製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成隔離介電質260。在部份其他實施例中,隔離介電質260可以使用低於大氣壓的化學氣相沉積製程或高深寬比製程形成,其中製程氣體可以包括四乙氧基矽烷(TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(例如,含氫矽氧烷(HSQ)或甲基矽氧烷(MSQ))製程來形成隔離介電質260。亦可以使用其他製程和材料。在部分實施例中,隔離介電質260可以具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。之後,可以可選地對隔離介電質260執行熱退火。
返回第24圖,方法M2然後進行到方框S203,其中對第一隔離介電質執行平坦化製程。參考第27圖,在方框S203的部分實施例中,執行諸如化學機械平坦化之類的平坦化製程以去除在半導體鰭片252至255上方之多餘的隔離介電質260。在部份其他實施例中,當暴露遮罩層230時,停止平坦化製程。在這樣的實施例中,遮罩層230可以在平坦化中充當化學機械平坦化停止層。在部分實施例中,平坦化製程還可以去除遮罩層230,以暴露半導體鰭片252至255的頂表面。如果沒有透過平坦化製程去除遮罩層230,則如果遮罩層230由氮化矽形成,則可以透過使用熱磷酸(H3
PO4
)的濕式製程去除,並且如果遮罩層230由氧化矽形成,則可以利用稀釋的氫氟酸去除。
返回第24圖,然後方法M2前進到方框S204,其中使隔離介電質凹陷。參考第28圖,在方框S204的部分實施例中,例如透過蝕刻操作使隔離介電質260凹陷,其中可以將稀釋的氫氟酸、矽鈷鎳(SiCoNi)(包括氫氟酸(HF)和氨(NH3
))等作為蝕刻劑。在使隔離介電質260凹陷之後,半導體鰭片252至255的一部分高於隔離介電質260的頂表面。
應當理解,上述的方框S201至S204僅僅是如何形成半導體鰭片252至255和隔離介電質260的示例。在其他實施例中,可以在基材210的頂表面上方形成介電層;可以透過蝕刻介電層形成溝槽;可以在溝槽中磊晶生長同質磊晶結構;並且可以使介電層凹陷,使得同質磊晶結構從介電層突出以形成鰭片。在其他實施例中,鰭片可使用異質磊晶結構。例如,可以使半導體鰭片252至255凹陷,並且在其位置磊晶生長與凹陷的半導體鰭片252至255不同的材料。在進一步的實施例中,可以在基材210的頂表面上方形成介電層;可以透過蝕刻介電層形成溝槽;可以使用與基材210不同的材料在溝槽中磊晶生長異質磊晶結構;可以使介電層凹陷,使得異質磊晶結構從介電層突出以形成鰭片。在磊晶生長同質磊晶或異質磊晶結構的部分實施例中,儘管可以一起使用原位(in-situ
)和佈植摻雜,然而在生長期間原位摻雜生長的材料可能會消除鰭片先前的佈植摻雜。在部分實施例中,半導體鰭片252、253、254或255可以包括矽鍺((Six
Ge1-x
),其中x可以在大約0與1之間)、碳化矽、純或實質上純的鍺、III-V族化合物半導體、II-VI族化合物半導體等。例如,用於形成III-V族化合物半導體的可用材料包括但不限於砷化銦(InAs)、砷化鋁(AlAs)、砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷鎵化銦(InGaAs)、砷鋁化銦(InAlAs)、鍗化鎵(GaSb)、鍗化鋁(AlSb)、磷化鋁(AlP)、磷化鎵(GaP)等。
返回第24圖,方法M2然後進行到方框S205,其中在半導體鰭片上形成源極/汲極特徵。參考第29圖,在方框S205的部分實施例中,在半導體鰭片252、253、254和255上方形成圖案化的遮罩層(未繪示)。在部分實施例中,透過旋塗光阻劑材料,然後進行諸如軟烘烤製程和硬烘烤製程(也可以稱為曝光前烘烤)的製程來形成遮罩層(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層是深紫外光光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層是I-line光阻劑、極紫外光光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑,其包含受酸不穩定基團保護的主鏈聚合物並且還包含光酸產生劑。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在半導體鰭片252、253、254和255上塗覆遮罩層之後,透過遮罩將遮罩層暴露於輻射。在將遮罩層暴露於輻射之後,對暴露的遮罩層進行一個或多個曝光後烘烤製程。然後,執行顯影製程,從而去除暴露的遮罩層的一部分,並且此遮罩層作為遮罩以保護半導體鰭片252和255免受隨後的磊晶生長製程的影響。當蝕刻製程完成時,形成溝槽以穿過遮罩層並暴露半導體鰭片253和254以及隔離介電質260的頂表面。在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
))、含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
然後,可以透過執行磊晶生長製程來形成源極/汲極特徵273和274,此磊晶生長製程提供覆蓋部分之半導體鰭片253和254的磊晶材料263和264。源極/汲極特徵273和274包括透過在暴露的半導體鰭片253和254上磊晶生長半導體材料而形成的材料263和264。換句話說,材料263和264形成在半導體鰭片253和254的周圍;可以將此稱為在半導體鰭片253和254周圍形成「包覆層」。因此,源極/汲極特徵273和274包括半導體鰭片253和254和所形成之磊晶生長的材料263和264。應理解,可以在不使半導體鰭片253和254凹陷的情況下形成這些特徵。在形成源極/汲極特徵273和274之後,去除覆蓋半導體鰭片252和255之圖案化的遮罩層。
在各個實施例中,生長的半導體材料263和264可以包括鍺(Ge)、矽(Si)、砷化鎵(GaAs)、砷化鎵鋁(AlGaAs)、矽鍺(SiGe)、磷化砷鎵(GaAsP)、矽磷(SiP)或其他合適的材料。在部分實施例中,材料263和264可以在磊晶製程期間被原位摻雜。例如,在部分實施例中,磊晶生長的材料263和264可以摻雜有硼。在部分實施例中,磊晶生長的材料263和264可以摻雜有碳以形成矽:碳(Si:C)源極/汲極特徵,摻雜有磷以形成矽:磷(Si:P)源極/汲極特徵,或摻雜有碳和磷兩者以形成矽碳磷(SiCP)源極/汲極特徵。在一個實施例中,半導體鰭片253和254由矽製成,並且磊晶生長的材料263和264也為矽。在部分實施例中,半導體鰭片253和254以及磊晶生長的材料263和264可以包括相似的材料,但是被不同地摻雜。在其他實施例中,半導體鰭片253和254包括第一半導體材料,而磊晶生長的材料263和264包括與第一半導體材料不同的第二半導體。在部分實施例中,磊晶生長的材料263和264不是原位摻雜的,而是(例如)執行佈植製程以摻雜磊晶生長的材料263和264。
在部分實施例中,在形成源極/汲極特徵273和274之前,形成在半導體鰭片253和254上延伸的虛設閘極結構(未繪示),其中此虛設閘極結構包括閘極介電質和位於閘極介電質上方的閘極。在部分實施例中,為了形成虛設閘極結構,在半導體鰭片253和254上方形成閘極介電層,接著形成虛設閘極層。然後,對閘極介電層和虛設閘極層進行圖案化,以分別形成閘極介電質和閘極。出於製程原因,可以在虛設閘極結構上形成硬遮罩,其中,硬遮罩可以包括氮化矽。半導體鰭片253和254的通道部分被虛設閘極結構覆蓋,並且半導體鰭片253和254的源極/汲極部分未被虛設閘極結構覆蓋。換句話說,虛設閘極結構形成在半導體鰭片253和254的通道部分上方。
返回第24圖,方法M2然後進行到方框S206,其中在源極/汲極特徵上形成層間介電層,並在層間介電層上形成後段製程堆疊。參考第30圖,在方框S206的部分實施例中,層間介電層276形成在半導體鰭片252、253、254和255、源極/汲極特徵273和274以及虛設閘極結構之上。也就是說,在稍後執行的製程期間,源極/汲極特徵273和274被層間介電層276覆蓋和保護。此外,層間介電層276形成在虛設閘極結構上方。執行化學機械平坦化製程以去除部分的層間介電層276。在化學機械平坦化製程期間,暴露虛設閘極結構。層間介電層276的其餘部分分別覆蓋源極/汲極特徵273和274。層間介電層276可以包括氧化矽、氮氧化物或其他合適的材料。
採用替換閘極製程方案。在替換閘極製程方案中,預先形成虛設閘極結構並由金屬閘極代替。在部分實施例中,去除虛設閘極結構(未繪示)。可以透過乾式蝕刻、濕式蝕刻或乾式和濕式蝕刻的組合來去除虛設閘極結構。例如,濕式蝕刻製程可以包括暴露於含氫氧化物的溶液(例如,氫氧化銨)、去離子水和/或其他合適的蝕刻劑溶液。在部分實施例中,虛設閘極結構在半導體鰭片253和254的通道部分上提供約束力。在去除虛設閘極結構之後,施加在半導體鰭片253和254的通道部分上的約束力相應地消失,因此,可以增強由源極/汲極特徵273和274在半導體鰭片253和254的通道部分上引起的面內拉伸應變。
然後,形成閘極以替換虛設閘極結構。閘極形成在半導體鰭片252至254的通道部分上方。閘極188可以包括金屬、金屬合金和/或金屬矽化物。另外,閘極的形成可以包括沉積以形成各種閘極材料、一個或多個襯墊層,並可包括一種或多種化學機械平坦化製程以去除過量的閘極材料並由此使閘極的頂表面平坦化。
在部份其他實施例中,包括在閘極中的功函數金屬層可以是n型或p型功函數層。示例性的p型功函數金屬包括氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、矽化鋯(ZrSi2
)、矽化鉬(MoSi2
)、矽化鉭(TaSi2
)、矽化鎳(NiSi2
)、氮化鎢(WN)、其他合適的p型功函數材料或其組合。示例性的n型功函數金屬包括鈦(Ti)、銀(Ag)、鋁化鉭(TaAl)、碳鋁化鉭(TaAlC)、氮鋁化鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鋯(Zr)、其他合適的n型功函數材料或其組合。功函數層可以包括多個層。功函數層可以透過化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程來沉積。在部分實施例中,閘極可以是包括p型功函數層的p型金屬閘極。在部分實施例中,包括在閘極188中的覆蓋層可以包括難熔金屬及其氮化物(例如,氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(W2
N)、氮矽化鈦(TiSiN)、氮矽化鉭(TaSiN))。可以透過物理氣相沉積、化學氣相沉積、金屬有機化學氣相沉積和原子層沉積來沉積覆蓋層。在部分實施例中,包括在閘極中的填充層可以包括鎢(W)。可以透過原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的製程來沉積金屬層。
對閘極執行去除製程以部分地去除閘極。蝕刻製程可以包括濕式蝕刻、乾式蝕刻和/或它們的組合。作為示例,濕式蝕刻溶液可以包括硝酸(HNO3
)、氨水(NH4
OH)、氫氧化鉀(KOH)、氟化氫(HF)、鹽酸(HCl)、氫氧化鈉(NaOH)、磷酸(H3
PO4
)和/或其他合適的濕式蝕刻溶液和/或其組合。亦或是,乾式刻蝕製程可以使用含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。在部分實施例中,選擇蝕刻製程以選擇性地蝕刻閘極而實質上不蝕刻層間介電層276。在部分實施例中,控制蝕刻製程以使得剩餘的閘極的頂面低於層間介電層276的頂表面。
前段製程包括上述步驟。然後,在基材210上方形成後段製程堆疊290並連接源極/汲極特徵273和274,其以示意性方式表達而無詳細說明互連。使用後段製程形成後段製程堆疊290。後段製程包括在晶片W2中的裝置結構之間形成金屬佈線以使它們互連,其中包括形成接觸、互連線、通孔結構和介電結構。
返回第24圖,方法M2然後進行到方框S207,其中在後段製程堆疊上形成結合層。參考第31圖,在方框S207的部分實施例中,在後段製程堆疊290上方形成結合層292。在部分實施例中,結合層292可以包括氧化矽、氮碳化矽(SiCN)或適用於現有封裝技術之直接接合技術的任何其他材料。
返回第24圖,方法M2然後進行到方框S208,其中將晶片結合到載體基材上。參考第32圖,在方框S208的部分實施例中,晶片W2透過結合層292結合到載體基材294。在部分實施例中,載體基材294上還設置有結合層296。結合層296可以包括氧化矽、氮碳化矽(SiCN)或適用於最先進的封裝技術之直接接合技術的任何其他材料。透過直接接合技術將晶片W2結合到載體基材294,從而獲得如第32圖所示之結合的晶片堆疊。
返回第24圖,方法M2然後進行到方框S209,其中使晶片的基材變薄。參考第33圖,在方框S209的部分實施例中,作為示例而非限制,可以使用研磨和/或濕式或乾式蝕刻技術來使晶片W2的基材210變薄。作為示例而非限制,剩餘的基材厚度在約50奈米至約500奈米的範圍內。在部分實施例中,作為示例而非限制,接著進一步減小厚度,直到半導體的厚度為約1微米。在部分實施例中,透過將可以作為蝕刻停止層的摻雜層併入到裝置晶片中來實現減薄。作為示例而非限制,接著執行在摻雜層上停止的選擇性濕式蝕刻步驟,以將半導體晶片減薄至所需之約1微米的厚度。
返回第22圖,方法M2然後前進到方框S210,其中形成穿過基材直到到達基材中的P型井區和N型井區的基材通孔開口。參考第34圖,在方框S210的部分實施例中,形成穿過基材210到達P型井區216和N型井區218的基材通孔開口210a和210b,並且基材通孔開口210a和210b可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。
更詳細地,作為示例而非限制,在基材210中以大於約5的高深寬比形成基材通孔開口210a和110b。在限定基材通孔開口210a和210b時,在基材210上方形成硬遮罩層(未繪示),隨後在其上形成圖案化的光阻層(未繪示)。作為示例而非限制,硬遮罩層可以是氮化矽層、氮氧化矽層等。透過曝光、烘烤、顯影和/或其他微影製程將光阻層圖案化以提供暴露硬遮罩層的開口。然後,透過濕式蝕刻或乾式蝕刻製程,使用圖案化的光阻層作為遮罩元件來提供開口以蝕刻暴露的硬遮罩層。使用硬遮罩層和圖案化的光阻層作為遮罩元件,執行蝕刻製程以蝕刻暴露的基材210,從而形成穿過基材210的基材通孔開口210a和210b。
在部分實施例中,可以使用任何合適的蝕刻方法(例如,電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程)來蝕刻基材通孔開口210a和210b。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材210。蝕刻製程可以從晶片W2的背表面210d蝕刻大約數十微米到數百微米的深度而形成基材通孔開口210a和210b。在部分實施例中,蝕刻製程可能會導致在與基材通孔開口210a和210b的側壁相鄰之基材210上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口210a和/或210b的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。作為示例而非限制,基材通孔開口210a和/或210b的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口210a和/或210b的深寬比可以大於約10。在部分實施例中,在基材210中產生具有高深寬比的基材通孔開口210a和/或210b時,可能會在基材210的側壁上形成一系列蝕刻的大扇貝形(未繪示),其根據製程參數的不同,可能會有不同的尺寸。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。在部分實施例中,基材通孔開口210a和/或210b具有如第2圖所示的矩形的平面輪廓(或俯視圖輪廓)。亦或是,基材通孔開口210a和/或210b可以具有圓形橫截面。
返回第24圖,方法M2然後進行到方框S211,其中在基材通孔開口中形成基材通孔。參考第35圖,在方框S211的部分實施例中,基材通孔298a和/或298b形成在基材210的基材通孔開口210a和/或210b中並與P型井區216和/或N型井區218接觸。在部分實施例中,基材通孔298a和/或298b可以作為傳導路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片W2的前側不形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔298a和/或298b可以作為晶片W2的散熱器,以將半導體元件產生的熱量從井區釋放到晶片W2的外部。
更詳細地,透過使用金屬化製程以及使用金屬電鍍技術填充高深寬比開口以避免接縫或空隙缺陷來形成基材通孔298a和/或298b。在部分實施例中,為了避免金屬從基材通孔金屬擴散到矽基材中,在絕緣層和基材通孔金屬之間使用阻擋層(未繪示)。阻擋層可以作為基材通孔開口210a和/或210b的襯墊。阻擋層作為防止金屬擴散的擴散阻擋層,並且作為金屬與介電質之間的黏合層。作為示例但非限制,阻擋層可使用難熔金屬、難熔金屬氮化物、難熔金屬矽氮化物及其組合(例如,氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、氮矽化鈦(TiSiN)、氮化鎢(WN)或其組合)。在部分實施例中,阻擋層可以包括氮化鉭(TaN)層和鉭(Ta)層。在部分實施例中,阻擋層是氮化鈦(TiN)層。在部分實施例中,阻擋層是鈦(Ti)層。在部分實施例中,接著在阻擋層上形成金屬晶種層(未繪示)。在部分實施例中,作為示例但非限制,金屬晶種層是銅晶種層,此銅晶晶層可以透過物理氣相沉積的方式形成。
然後,可以將晶片W1轉移到電鍍工具(例如,電化學電鍍工具)並且透過電鍍製程在晶片W1上電鍍導電層以填充基材通孔開口210a和/或210b 。儘管在此描述了電化學電鍍製程,然而實施例並不限於電化學電鍍沉積的金屬。作為示例而非限制,導電層可以包括選自銅和銅基合金的導體材料之群組的低電阻率的導體材料。亦或是,導電層可以包括各種材料(例如,鎢、釕、鋁、金、銀等)。在部分實施例中,導電層是形成在銅晶種層上方的含銅層。
隨後,透過蝕刻、化學機械平坦化等去除導電層、金屬晶種層和阻擋層的多餘部分,從而使金屬填充開口的頂表面與基材210的背表面210d實質上齊平。在基材通孔開口210a和/或210b中之導電層和阻擋層的其餘部分形成基材通孔298a和/或298b。
基材通孔298a與P型井區216接觸,而基材通孔 298b與N型井區218接觸。當發生靜電放電事件時,基材通孔298a和/或298b用以提供導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。在部分實施例中,基材通孔298a和/或298b也可以被稱為靜電放電保護電路。
返回第24圖,方法M2然後前進到方框S212,其中形成後段製程型互連堆疊和後段製程型互連堆疊上的端子以連接基材通孔。參考第36圖,在方框S212的部分實施例中,形成後段製程型互連堆疊250以及後段製程型互連堆疊250上方的端子VDD和VSS以連接基材通孔298a和/或298b。更詳細地,執行一系列鑲嵌製程步驟以產生後段製程型互連堆疊250,此後段製程型互連堆疊250透過低電阻電路將基材通孔298a和298b連接至晶片W2的背面上的端子VDD和VSS。在部分實施例中,後段製程型互連堆疊250也可以被稱為晶片W2的電力輸送網路。
第37圖至第50圖繪示根據本公開之部分實施例在製造晶片W3的各個階段中的方法。用於形成晶片W3之在第37圖所示結構之前的操作與在第25圖至第27圖中方法M2的方框S201至S203中所示之用於形成晶片W2的操作實質上相同,因此可以參考前述段落中的相關詳細描述,在此不再贅述。例如,基材310、P型井區316、N型井區318、半導體鰭片352、353、354和355、遮罩層330和隔離介電質360的材料和製造方法可以與如第25圖至第27圖所示之基材210、P型井區216、N型井區218、半導體鰭片252、253、254和255、遮罩層230和隔離介電質260實質上相同,因此相關的詳細描述可以參考前述段落,在此不再贅述。本實施例與第25圖至第27圖中的實施例之間的區別在於,本實施例之附加的半導體鰭片356、357和358從基材310向上延伸,並且半導體鰭片356、357和358的材料和製造方法可以與半導體鰭片352、353、354和355實質上相同。
參考第37圖。在隔離介電質360上方形成圖案化的遮罩層369。在部分實施例中,透過旋塗光阻劑材料,然後進行諸如軟烘烤製程和硬烘烤製程(也可以稱為曝光前烘烤)的製程來形成遮罩層369(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層369是深紫外光光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層是I-line光阻劑、極紫外光光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑,其包含受酸不穩定基團保護的主鏈聚合物並且還包含光酸產生劑。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層369是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在隔離介電質360上塗覆遮罩層369之後,遮罩層369透過遮罩暴露於輻射。在完成將遮罩層369暴露於輻射之後,暴露的遮罩層369經歷一個或多個曝光後烘烤製程。然後,執行顯影製程,從而去除部分暴露的遮罩層369,以暴露在半導體鰭片352和356之間和在半導體鰭片357和358之間之部分的隔離介電質360。遮罩層369可以作為蝕刻遮罩以保護隔離介電質360的其餘部分免受隨後蝕刻製程的影響。
參考第38圖。透過遮罩層369對隔離介電質360進行蝕刻製程,以形成延伸穿過隔離介電質360進入基材310的溝槽360a和360b。當蝕刻製程完成後,所形成之溝槽360a和360b的底部位置在基材310中,並且與P型井區316和N型井區318的最低邊界齊平。在部分實施例中,溝槽360a和360b的底部位置可能會低於P型井區316和N型井區318的最低邊界的位置。在部分實施例中,溝槽360a和360b的底部位置可能會高於P型井區316和N型井區318的最低邊界的位置。作為示例而非限制,井區316和/或318中的溝槽360a和/或360b的深度T4在大約30奈米至大約200奈米範圍內。
在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
))、含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
參考第39圖。導電材料370形成在隔離介電質360上方並填充在溝槽360a和360b中。在部分實施例中,導電材料370可以包括金屬(例如,鎢(W)、釕(Ru)、鋁(Al)、銅(Cu)或其他合適的導電材料)。在部分實施例中,可以透過化學氣相沉積、物理氣相沉積、濺鍍沉積或適合於沉積導電材料的其他技術來沉積導電材料370。
參考第40圖。對導電材料370進行平坦化製程。在部分實施例中,可以執行諸如化學機械平坦化的平坦化製程以去除在隔離介電質360上多餘的導電材料370。在部份其他實施例中,當隔離介電質360被暴露時,停止平坦化製程。在這樣的實施例中,隔離介電質360可以在平坦化中充當化學機械平坦化停止層。
參考第41圖。在溝槽360a和360b中將導電材料370蝕刻至給定的深度,以在溝槽360a和360b中留下一部分的導電材料370,從而使埋入式電源軌370a和370b形成在這個高度。在部分實施例中,埋入式電源軌370a和370b也可以被稱為導電軌370a和370b。在部分實施例中,埋入式電源軌370a和/或370b也可以被稱為供電電源軌和/或接地參考軌。例如,埋入式電源軌370a可以被稱為接地參考軌,並且埋入式電源軌370b可以被稱為供電電源軌。如第2圖所示之埋入式電源軌170a和/或170b,埋入式電源軌370a和/或370b沿著半導體鰭片352至358的長度方向延伸。在部分實施例中,導電材料370的變薄可以包括乾式蝕刻製程或其他合適的蝕刻製程。在部分實施例中,蝕刻製程包括選擇使用會蝕刻導電材料370而不會顯著地蝕刻周圍的結構(即,隔離介電質360)的技術和蝕刻劑。
在第41圖中,埋入式電源軌370a和/或370b的底表面位置與P型井區316和/或N型井區318的最低邊界齊平。在部分實施例中,埋入式電源軌370a和/或370b的底表面位置可能會低於P型井區316和/或N型井區318的最低邊界。在部分實施例中,埋入式電源軌370a和/或370b的底部位置可能會高於P型井區316和/或N型井區318的最低邊界。
在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、三氟化氮(NF3
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
)和/或八氟環丁烷(C4
F8
))、含氯氣體(例如,氯氣(Cl2
)、鹽酸(HCl)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
參考第42圖。隔離介電質365形成在隔離介電質360上方,並填充在溝槽360a和360b中以位在在埋入式電源軌370a和370b上。溝槽360a和360b中的隔離介電質365可以被稱為淺溝槽隔離結構。在部分實施例中,隔離介電質365由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃或其他低介電常數介電質材料形成。在部分實施例中,隔離介電質365具有與隔離介電質360不同的材料。在部分實施例中,隔離介電質365具有與隔離介電質360相同的材料。
在部分實施例中,可以使用高密度電漿化學氣相沉積製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成隔離介電質365。在部份其他實施例中,可以使用次大氣壓的化學氣相沉積製程或高深寬比製程來形成隔離介電質365,其中製程氣體可以包括四乙氧基矽烷(TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(例如,含氫矽氧烷(HSQ)或甲基矽氧烷(MSQ))製程來形成隔離介電質365。亦可以使用其他製程和材料。在部分實施例中,隔離介電質365可以具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。之後,可以可選地對隔離介電質365執行熱退火。
參考第43圖。去除隔離介電質360上方的隔離介電質365。在部分實施例中,可以執行諸如化學機械平坦化的平坦化製程以去除在隔離介電質360上方過量的隔離介電質365。在部份其他實施例中,當暴露隔離介電質360時,停止平坦化製程。在這樣的實施例中,隔離介電質360可以在平坦化中充當化學機械平坦化停止層。在部份其他實施例中,當暴露遮罩層330時,停止平坦化製程。在這樣的實施例中,遮罩層330可以在平坦化中充當化學機械平坦化停止層。在部分實施例中,平坦化製程還可以去除遮罩層330,以暴露半導體鰭片352至358的頂表面。
參考第44圖。例如,透過蝕刻操作使隔離介電質360和365凹陷,其中可以將稀釋的氫氟酸、矽鈷鎳(SiCoNi)(包括氫氟酸(HF)和氨(NH3
))等作為蝕刻劑。在使隔離介電質365凹陷之後,部分的半導體鰭片352至358高於隔離介電質360的頂表面並且高於隔離介電質365的頂表面。接著,形成閘極(未繪示)(例如,第2圖所示的閘極結構182和184),其可以透過諸如替換閘極技術來完成。所形成的閘極垂直於半導體鰭片352至358。
參考第45圖。在半導體鰭片353、354、356和357上形成源極/汲極特徵373、374、376和377。更詳細地,在半導體鰭片352至358上形成圖案化的遮罩層(未繪示)。在部分實施例中,透過旋塗光阻材料,然後進行諸如軟烘烤製程和硬烘烤製程(也可以稱為曝光前烘烤)的製程來形成遮罩層(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層是深紫外光光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層是I-line光阻劑、極紫外光光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑,其包含受酸不穩定基團保護的主鏈聚合物並且還包含光酸產生劑。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在半導體鰭片352至358上塗覆遮罩層之後,遮罩層透過遮罩暴露於輻射。在將遮罩層暴露於輻射之後,對暴露的遮罩層進行一個或多個曝光後烘烤製程。然後,執行顯影製程,從而去除暴露的遮罩層的一部分,並且此遮罩層作為遮罩以保護半導體鰭片352、355和358免受隨後的磊晶生長製程的影響。當蝕刻製程完成時,形成溝槽以穿過遮罩層並暴露半導體鰭片353、354、356和357以及隔離介電質360的頂表面。在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
))、含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
然後,可以透過執行磊晶生長製程來形成源極/汲極特徵373、374、376和377,此磊晶生長製程提供覆蓋部分的半導體鰭片353、354、356和357的磊晶材料363、364、366和367。源極/汲極特徵373、374、376和377包括材料363、364、366和367,此材料透過在暴露的半導體鰭片353、354、356和357上磊晶生長半導體材料而形成。換句話說,在半導體鰭片353、354、356和357的周圍形成材料363、364、366和367;可將此稱為在半導體鰭片353、354、356和357周圍形成「包覆層」。因此,源極/汲極特徵373、374、376和377包括半導體鰭片353、354、356和357和所形成的磊晶生長的材料363、364、366和367。應理解,可以在不使半導體鰭片353、354、356和357凹陷的情況下形成這些特徵。在形成源極/汲極特徵373、374、376和377之後,去除覆蓋半導體鰭片353、354、356和357之圖案化的遮罩層。
在各個實施例中,生長的半導體材料363、364、366和367可以包括鍺(Ge)、矽(Si)、砷化鎵(GaAs)、砷化鎵鋁(AlGaAs)、矽鍺(SiGe)、磷化砷鎵(GaAsP)、矽磷(SiP)或其他合適的材料。在部分實施例中,材料363、364、366和367可以在磊晶製程期間被原位摻雜。例如,在部分實施例中,磊晶生長的材料363、364、366和367可以摻雜有硼。在部分實施例中,磊晶生長的材料363、364、366和367可以摻雜有碳以形成矽:碳(Si:C)源極/汲極特徵,摻雜有磷以形成矽:磷(Si:P)源極/汲極特徵,或摻雜有碳和磷兩者以形成矽碳磷(SiCP)源極/汲極特徵。在一個實施例中,半導體鰭片353、354、356和357由矽形成,並且磊晶生長的材料363、364、366和367也由矽形成。在部分實施例中,半導體鰭片353、354、356和357以及磊晶生長的材料363、364、366和367可以包括相似的材料,但是被不同地摻雜。在其他實施例中,半導體鰭片353、354、356和357包括第一半導體材料,磊晶生長的材料363、364、366和367包括與第一半導體材料不同的第二半導體。在部分實施例中,磊晶生長的材料363、364、366和367不是原位摻雜的,而是,例如,執行佈植製程來摻雜磊晶生長的材料363、364、366和367。
在部分實施例中,在形成源極/汲極特徵373、374、376和377之前,形成橫跨半導體鰭片352至358並包括閘極介電質和在閘極介電質上的閘極的閘極結構(未繪示)。在部分實施例中,為了形成虛設閘極結構,在半導體鰭片353、354、356和357上方形成閘極介電層,接著形成虛設閘極層。然後,對閘極介電層和虛設閘極層進行圖案化,以分別形成閘極介電質和閘極。出於製程原因,可以在虛設閘極結構上形成硬遮罩,其中,硬遮罩可以包括氮化矽。半導體鰭片353、354、356和357的通道部分被虛設閘極結構覆蓋,並且半導體鰭片353、354、356和357的源極/汲極部分未被虛設閘極結構覆蓋。換句話說,虛設閘極結構形成在半導體鰭片353、354、356和357的通道部分上方。
參考第46A圖。在源極/汲極特徵373、374、376和377以及虛設閘極結構上方形成層間介電層372。也就是說,在稍後執行的製程期間,源極/汲極特徵373、374、376和377被層間介電層372覆蓋和保護。此外,層間介電層372形成在虛設閘極結構上方。然後,執行化學機械平坦化製程以去除部分的層間介電層372。在化學機械平坦化製程期間,虛設閘極結構被暴露。層間介電層372的其餘部分覆蓋源極/汲極特徵373、374、376和377。層間介電層372可以包括氧化矽、氮氧化物或其他合適的材料。
在部分實施例中,採用替換閘極製程方案。在替換閘極製程方案中,預先形成虛設閘極結構並且之後由金屬閘極代替。可以透過乾式蝕刻、濕式蝕刻或乾式和濕式蝕刻的組合來去除虛設閘極結構。例如,濕式蝕刻製程可以包括暴露於含氫氧化物的溶液(例如,氫氧化銨)、去離子水和/或其他合適的蝕刻劑溶液。金屬閘極可以包括金屬、金屬合金和/或金屬矽化物。另外,金屬閘極的形成可以包括沉積製程以形成各種閘極材料、一個或多個襯墊層,以及一種或多種化學機械平坦化製程以去除過量的閘極材料並由此平坦化金屬閘極的頂表面。
在第46A圖中,形成穿過隔離介電質365並位在埋入式電源軌370b上的導電通孔378。將導電接觸379a和379b形成在源極/汲極特徵377和376上。在第46A圖中,形成在層間介電層372中的導電接觸379b透過導電通孔378進一步連接到埋入式電源軌370b。在另一視圖中,導電接觸379a還連接到埋入式電源軌370a。
第46B圖繪示根據本公開之部份其他實施例的處於對應於第46A圖的階段的另一晶片,其繪示源極/汲極特徵376和埋入式電源軌370b之間的互連的不同輪廓。如第46B圖所示,透過用第一圖案化的遮罩層蝕刻層間介電層372和隔離介電質365來形成從層間介電層372的頂表面垂直地向下延伸到埋入式電源軌370b的溝槽372a。此外,透過用第二圖案化的遮罩層蝕刻層間介電層372來形成從層間介電層372的頂表面向下延伸至源極/汲極特徵376和377的溝槽372b和372c。在第46B圖中,溝槽372b和372c的底部位於比源極/汲極特徵376和377的最大寬度高的位置。在部分實施例中,溝槽372b和372c的底部可以位於比源極/汲極特徵376和377的最大寬度低並高於隔離介電質360的頂表面的位置。在部分實施例中,溝槽372b和372c的底部可以與隔離介電質360的頂表面齊平。然後,形成導電材料以填充層間介電層372的溝槽372a、372b和372c,以形成將源極/汲極特徵376連接到埋入式電源軌370b的互連371b和將源極/汲極特徵377連接到埋入式電源軌370a的互連371c。
參考第47圖。透過在半導體晶片上進行前段製程和後段製程來製造晶片W3,此前段製程包括上述步驟。如上所述,將埋入式電源軌370a/370b連接到VDD、VSS、導電通孔378以及導電接觸379a和379b。後段製程堆疊390形成在基材310上方並且以示意性方式示出,而沒有詳細地描述互連。使用後段製程形成後段製程堆疊190。 後段製程包括在晶片W1中的裝置結構之間形成金屬佈線以使它們互連,其包括形成接觸、互連線、通孔結構和介電結構。然後,在後段製程堆疊390上方形成結合層392。在部分實施例中,結合層392可以包括氧化矽、氮碳化矽(SiCN)或在現有技術封裝技術中應用之直接接合技術中適用的任何其他材料。
參考第48圖。晶片W3透過結合層392結合到載體基材394。在部分實施例中,載體基材394上還設置有結合層396。結合層396可以包括氧化矽、氮碳化矽(SiCN)或在現有技術封裝技術中應用之直接接合技術中適用的任何其他材料。透過直接接合技術將晶片W3結合到載體基材394,從而獲得如第48圖所示之結合的晶片堆疊。
在部分實施例中,作為示例而非限制,在結合晶片W3之後,可以透過研磨和/或濕式或乾式蝕刻技術的方式使晶片W3的基材310變薄。作為示例而非限制,剩餘的基材厚度在約50奈米至約500奈米的範圍內。在部分實施例中,作為示例而非限制,接著使厚度進一步減小,直到半導體的厚度為約1微米。在部分實施例中,可以透過將可以充當蝕刻停止層的摻雜層加入到裝置晶片中來實現變薄。作為示例而非限制,然後執行在摻雜層上停止的選擇性濕式蝕刻步驟,以將半導體晶片減薄至所需的約1微米的厚度。
在第48圖中,形成貫穿基材310直到到達連接到電源或接地的埋入式電源軌370a和/或370b的基材通孔開口310a和/或310b。形成貫穿基材310直到到達P型井區316和/或N型井區318的基材通孔開口310c和/或310d,並且基材通孔開口310c和/或310d可以作為傳導路徑,以在發生靜電放電事件時減小高電流和電流密度,以避免電路崩潰。
更詳細地,作為示例而非限制,在基材310中以大於約5的高深寬比形成基材通孔開口310a、310b、310c和/或310d。在限定基材通孔開口310a、310b、310c和/或310d時,在基材310上方形成硬遮罩層(未繪示),隨後在其上形成圖案化的光阻層(未繪示)。作為示例而非限制,硬遮罩層可以是氮化矽層、氮氧化矽層等。透過曝光、烘烤、顯影和/或其他微影製程將光阻層圖案化以提供暴露硬遮罩層的開口。然後,透過濕式蝕刻或乾式蝕刻製程,使用圖案化的光阻層作為遮罩元件來蝕刻暴露的硬遮罩層,以提供開口。使用硬遮罩層和圖案化的光阻層作為遮罩元件,執行蝕刻製程以蝕刻暴露的基材310,從而形成穿過基材310的基材通孔開口310a、310b、310c和/或310d。埋入式電源軌370a和370b亦可以作為蝕刻基材310的蝕刻停止層,直到暴露出埋入式電源軌370a和370b。因為基材通孔開口310a、310b、310c和/或310d同時形成,所以基材通孔開口310c和/或310d的底部可以與基材通孔開口310a和/或310b的底部齊平,並且可以與透過基材通孔開口310a和/或310b而暴露的電源軌370a和/或370b的表面齊平。
在部分實施例中,可以使用任何合適的蝕刻方法來蝕刻基材通孔開口310a、310b、310c和/或310d,所述方法包括例如電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材310。蝕刻製程可以從晶片W3的背表面310e蝕刻大約幾十微米到幾百微米的深度而形成基材通孔開口310a、310b、310c和/或310d。在部分實施例中,蝕刻製程可能會導致在與基材通孔開口310a、310b、310c和/或310d的側壁相鄰之基材310上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口310a、310b、310c和/或310d的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。 作為示例而非限制,基材通孔開口110a、110b和/或110c的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口310a、310b、310c和/或310d的深寬比可以大於約10。在部分實施例中,在基材310中建立具有高深寬比的基材通孔開口310a、310b、310c和/或310d時,可能會在基材310的側壁上形成一系列蝕刻的大扇貝形(未繪示)(其可以根據製程參數改變尺寸)。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。
在部分實施例中,基材通孔開口310a、310b、310c和/或310d具有如第2圖所示之矩形的平面圖輪廓(或俯視圖輪廓),其寬度小於埋入式電源軌370a和370b的寬度。在部分實施例中,基材通孔開口310a、310b、310c和/或310d的寬度大於埋入式電源軌370a和370b的寬度。亦或是,基材通孔開口310a、310b、310c和/或310d可以具有圓形的平面圖輪廓,其直徑小於埋入式電源軌370a和370b的寬度。在部分實施例中,基材通孔開口310a、310b、310c和/或310d的直徑大於埋入式電源軌370a和370b的寬度。
參考第49圖。在基材310的基材通孔開口310a、310b、310c和310d中形成基材通孔398a、398b、398c和398d。如第49圖所示,在基材310的基材通孔開口110a和/或110b中形成基材通孔398a和/或398b,並使其與埋入式電源軌370a和/或370b接觸以連接至電源或接地。基材通孔398c和/或398d形成在基材通孔開口310c和/或310d中,並與P型井區316和/或N型井區318接觸。在部分實施例中,基材通孔398c和/或398d可作為傳導路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片W3的正面不形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔398c和/或398d可以作為晶片W3的散熱器,以將由半導體元件產生的熱量從井區釋放到晶片W3的外部。
更詳細地,透過使用金屬化製程以及使用金屬電鍍技術填充高深寬比開口以避免接縫或空隙缺陷來形成基材通孔398a、398b、398c和/或398d。在部分實施例中,為了避免金屬從基材通孔金屬擴散到矽基材中,在絕緣層和基材通孔金屬之間使用阻擋層(未繪示)。阻擋層可以作為基材通孔開口310a、310b、310c和/或310d的襯墊。阻擋層可作為防止金屬擴散的擴散阻擋層,並且可作為在金屬與介電質之間的黏合層。作為示例但非限制,阻擋層可以是難熔金屬、難熔金屬氮化物、難熔金屬矽氮化物及其組合(例如,氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、氮矽化鈦(TiSiN)、氮化鎢(WN)或其組合)。在部分實施例中,阻擋層可以包括氮化鉭(TaN)層和鉭(Ta)層。在部分實施例中,阻擋層是氮化鈦(TiN)層。在部分實施例中,阻擋層是鈦(Ti)層。在部分實施例中,接著在阻擋層上形成金屬晶種層(未繪示)。在部分實施例中,作為示例而非限制,金屬晶種層是銅晶種層,此銅晶種層可以透過物理氣相沉積的方式形成。
然後,可以將晶片W3轉移到電鍍工具(例如,電化學電鍍工具),並且透過電鍍製程在晶片W3上電鍍導電層以填充基材通孔開口310a、310b、310c和/或310d。儘管本公開描述了電化學電鍍製程,但是實施例並不限於電化學電鍍沉積的金屬。導電層可以包括選自包括但不限於銅和銅基合金的導體材料的群組之低電阻率的導體材料。亦或是,導電層可以包括各種材料(例如,鎢、釕、鋁、金、銀等)。在部分實施例中,導電層是形成在銅晶種層上方的含銅層。
隨後,透過蝕刻、化學機械平坦化等去除導電層、金屬晶種層和阻擋層的多餘部分,從而使金屬填充的開口的上表面實質上與基材310的背表面310e共平面。在基材通孔開口310a、310b、310c和/或310d中的導電層和阻擋層的其餘部分形成基材通孔398a、398b、398c和/或398d 。
基材通孔398c和/或398d與P型井區316和/或N型井區318接觸並且電耦合在埋入式電源軌370a和370b之間。當在埋入式電源軌370b上發生靜電放電事件時,基材通孔398c和/或398d用以在埋入式電源軌370a和370b之間提供導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。在部分實施例中,基材通孔398c和/或398d也可以被稱為靜電放電保護電路。
參考第50圖。形成後段製程型互連堆疊350以及後段製程型互連堆疊350上的端子VDD和VSS以連接埋入式電源軌370a和370b以及基材通孔398c和/或398d。更詳細地,執行一系列鑲嵌製程步驟以產生後段製程型互連堆疊350,其透過低電阻電路將基材通孔398a、398b、398c和398d連接至晶片W3背面上的端子VDD和VSS。在部分實施例中,後段製程型互連堆疊350也可以被稱為晶片W3的電力輸送網路。
第51圖至第56圖繪示根據本公開的部分實施例之在製造晶片W4的各個階段中的方法。用於形成晶片W4之在第51圖所示結構之前的操作與在第37圖至第47圖所示之用於形成晶片W3的操作實質上相同,因此相關的詳細描述可以參考前述段落,在此不再贅述。例如,基材410、P型井區416、N型井區418、半導體鰭片452至458和隔離介電質460、源極/汲極特徵473、474、476和477、層間介電層476、後段製程堆疊490、結合層492和載體基材494的材料和製造方法可以與如第37圖至第47圖所示之基材310、P型井區316、N型井區318、半導體鰭片352至358和隔離介電質360、源極/汲極特徵373、374、376和377、層間介電層372、後段製程堆疊390、結合層392和載體基材394實質上相同,因此相關的詳細描述可以參考前述段落,在此不再贅述。本實施例與第37圖至第47圖所示的實施例之間的區別在於在本實施例中省略了在第37圖至第47圖所示之晶片W3的埋入式電源軌370a和370b、導電通孔378以及導電接觸379a和379b。
參考第51圖。在基材410的背表面410e上方形成圖案化的遮罩層469。在部分實施例中,透過旋塗光阻劑材料,然後進行諸如軟烘烤製程和硬烘烤製程(也可以稱為曝光前烘烤)的製程來形成遮罩層469(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層469是深紫外光光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層469是I-line光阻劑、極紫外光光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層469是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑,其包含受酸不穩定基團保護的主鏈聚合物並且還包含光酸產生劑。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層469是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在基材410上方塗覆遮罩層469之後,遮罩層469透過遮罩暴露於輻射。在完成將遮罩層469暴露於輻射之後,暴露的遮罩層469經歷一個或多個曝光後烘烤製程。然後,執行顯影製程,以去除部分之暴露的遮罩層469,以暴露在P型井區416和N型井區418正上方之部分的材310。遮罩層469可以作為刻蝕遮罩,以保護基材410的其餘部分免受隨後之蝕製程的影響。
然後,在基材410中形成穿過遮罩層469直到到達P型井區416和N型井區418的基材通孔開口410b和/或410c,並且此基材通孔開口410b和/或410c可以作為導電路徑,從而可以在靜電放電事件期間減輕高電流和電流密度,以避免電路崩潰。更詳細地,作為示例而非限制,在基材410中形成具有大於約5的高深寬比的基材通孔開口410b和/或410c。
在部分實施例中,可以使用任何合適的蝕刻方法來蝕刻基材通孔開口410b和/或410c,所述之合適的蝕刻方法包括諸如電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材410。蝕刻製程可以從晶片W4的背表面410e蝕刻大約幾十微米到幾百微米的深度而形成基材通孔開口410b和/或410c。在部分實施例中,蝕刻製程可導致在與基材通孔開口410b和/或410c的側壁相鄰之基材410上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口410b和/或410c的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。作為示例而非限制,基材通孔開口410b和/或410c的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口410b和/或410c的深寬比可大於約10。在部分實施例中,在基材410中創建具有高深寬比的基材通孔開口410b和/或410c時,可以在基材410的側壁上形成一系列蝕刻的大扇貝形(未繪示),其根據製程參數的不同,可能會有不同的尺寸。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。在部分實施例中,基材通孔開口410b和/或410c具有如第2圖所示的矩形平面視圖輪廓(或俯視圖輪廓)。亦或是,基材通孔開口410b和/或410c可以具有圓形橫截面。在形成基材通孔開口410b和/或410c之後,去除遮罩層469。
參照第52圖。可以形成介電質材料467(例如,旋轉塗佈玻璃(spin-on-glass, SOG)),以覆蓋基材410的背表面410e並填充基材通孔開口410b和/或410c。介電質材料467可以透過諸如旋轉塗佈製程、微影製程和蝕刻製程來限定。在部分實施例中,介電質材料467可以由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃或其他低介電常數介電質材料製成。在部分實施例中,可以使用高密度電漿化學氣相沉積製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成介電質材料467。在部份其他實施例中,介電質材料467可以使用次大氣壓的化學氣相沉積製程或高深寬比製程形成,其中製程氣體可以包括四乙氧基矽烷(TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(例如,含氫矽氧烷(HSQ)或甲基矽氧烷(MSQ))製程來形成介電質材料467。亦可以使用其他製程和材料。在部分實施例中,介電質材料467可以具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。之後,可以可選地對介電質材料467執行熱退火。
參考第53圖。在介電質材料467上形成圖案化的光阻層468。然後,執行穿過圖案化的光阻層的蝕刻製程,從而形成穿過基材410和隔離介電質460以到達後段製程堆疊490中的互連的基材通孔開口410a。在部分實施例中,蝕刻製程是各向異性乾式蝕刻製程(例如,反應離子蝕刻製程或原子層蝕刻製程)。作為示例而非限制,乾式刻蝕製程可以使用含氧氣體、含氟氣體(例如,四氟化碳(CF4
)、六氟化硫(SF6
)、二氟甲烷(CH2
F2
)、三氟甲烷(CHF3
)和/或六氟乙烷(C2
F6
))、含氯氣體(例如,氯氣(Cl2
)、三氯甲烷(CHCl3
)、四氯化碳(CCl4
)和/或三氯化硼(BCl3
))、含溴氣體(例如,溴化氫(HBr)和/或溴甲烷(CHBR3
))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。
參考第54圖。在形成基材通孔開口410a之後,去除介電質材料467和光阻層468。
參考第55圖。在基材通孔開口410a、410b和410c中形成基材通孔498a、498b或498c。如第55圖所示,基材通孔498a形成在基材410的基材通孔開口410a中並與後段製程堆疊490中的互連接觸。基材通孔498b和/或498c形成在基材通孔開口410b和/或410c中並且與P型井區416和/或N型井區418接觸。在部分實施例中,基材通孔498b和/或498c可以作為傳導路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片W4的正面上不形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔498b和/或498c可以作為晶片W4的散熱器,以將由半導體元件產生的熱量從井區釋放到晶片W4的外部。
更詳細地,透過使用金屬化製程以及使用金屬電鍍技術填充高深寬比開口以避免接縫或空隙缺陷來形成基材通孔498a、498b和/或498c。在部分實施例中,為了避免金屬從基材通孔金屬擴散到矽基材中,在絕緣層和基材通孔金屬之間使用阻擋層(未繪示)。阻擋層可以作為基材通孔開口410a、410b和/或410c的襯墊。阻擋層作為防止金屬擴散的擴散阻擋層,並且作為金屬與介電質之間的黏合層。作為示例但非限制,阻擋層可以使用難熔金屬、難熔金屬氮化物、難熔金屬矽氮化物及其組合(例如,氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、氮矽化鈦(TiSiN)、氮化鎢(WN)或其組合)。在部分實施例中,阻擋層可以包括氮化鉭(TaN)層和鉭(Ta)層。在部分實施例中,阻擋層是氮化鈦(TiN)層。在部分實施例中,阻擋層是鈦(Ti)層。在部分實施例中,接著在阻擋層上形成金屬晶種層(未繪示)。在部分實施例中,作為示例而非限制,金屬晶種層是銅晶種層,此銅晶種層可以透過物理氣相沉積的方式形成。
然後,可以將晶片W4轉移到電鍍工具(例如,電化學電鍍工具),並透過電鍍製程在晶片W4上電鍍導電層以填充基材通孔開口410a、410b和/或410c。儘管本公開描述了電化學電鍍製程,但是實施例不限於電化學電鍍沉積的金屬。導電層可以包括選自包括但不限於銅和銅基合金的導體材料的群組之低電阻率的導體材料。亦或是,導電層可以包括各種材料(例如,鎢、釕、鋁、金、銀等等)。在部分實施例中,導電層是形成在銅晶種層上方的含銅層。
隨後,透過蝕刻、化學機械平坦化等去除導電層、金屬晶種層和阻擋層的多餘部分,從而使金屬填充的開口的上表面實質上與基材410的背表面410e共平面。在基材通孔開口410a、410b和/或410c中的導電層和阻擋層的其餘部分實質上形成基材通孔498a、498b和/或498c。
基材通孔498b和/或498c與P型井區416和/或N型井區418接觸,並且電連接至與後段製程堆疊490連接的基材通孔498a。當在基材通孔498a上發生靜電放電事件時,基材通孔498b和/或498c用以向基材通孔498a提供通導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。在部分實施例中,基材通孔498b和/或498c也可以被稱為靜電放電保護電路。
參考第56圖。形成後段製程型互連堆疊450以及後段製程型互連堆疊450上的端子VDD和VSS以連接基材通孔498a、498b和498c。更詳細地,執行一系列鑲嵌製程步驟以產生後段製程型互連堆疊450,其透過低電阻電路將基材通孔498a、498b和498c連接至在晶片W4的背面上的端子VDD和VSS。在部分實施例中,也可將後段製程型互連堆疊450稱為晶片W4的電力輸送網路。
第57圖至第63圖繪示根據本公開之部分實施例在製造晶片W5的各個階段中的方法。用於形成晶片W5之在第57圖所示結構之前的操作與在第25圖至第33圖在方法M2的方框S201至S209所示之用於形成晶片W2的操作實質上相同,因此相關的詳細描述可以參考前述段落,在此不再贅述。例如,基材510、P型井區516、N型井區518、半導體鰭片552、553、554和555、隔離介電質560、源極/汲極特徵573和574、層間介電層576、後段製程堆疊590、結合層592、載體基材594和結合層596的材料和製造方法可以與在第25圖至第33圖中所繪示之基材210、P型井區216、N型井區218、半導體鰭片252、253、254和255、隔離介電質260、源極/汲極特徵273和274、層間介電層276、後段製程堆疊290、結合層292、載體基材294、結合層296實質上相同,因此相關的詳細描述可以參考前述段落,在此不再贅述。
參考第57圖。在基材510的背表面510e上形成圖案化的遮罩層569。在部分實施例中,透過旋塗光阻劑材料,然後進行諸如軟烘烤製程和硬烘烤製程(也可以稱為曝光前烘烤)的製程來形成遮罩層569(例如,遮罩層也可以被稱為光阻層)。在部分實施例中,遮罩層569是深紫外光光阻劑(例如,氟化氪(KrF)光阻劑或氟化氬(ArF)光阻劑)。在部分實施例中,遮罩層569是I-line光阻劑、極紫外光光阻劑、電子束(e-beam)光阻劑或離子束光阻劑。在部分實施例中,遮罩層569是正型光阻劑。正型光阻劑不溶於顯影劑,但在輻射下變為可溶的。一種示例性的正型光阻劑是化學性增強光阻劑,其包含受酸不穩定基團保護的主鏈聚合物並且還包含光酸產生劑。光酸產生劑可以在輻射時產生酸,並且此酸可以催化酸不穩定基團從主鏈聚合物上裂解,從而增加聚合物對正型顯影劑的溶解度。在部分實施例中,遮罩層569是負型光阻劑。負型光阻劑可溶於顯影劑,但在輻射下不溶。
在基材510上塗覆遮罩層569之後,遮罩層569透過遮罩暴露於輻射。在完成將遮罩層569暴露於輻射之後,暴露的遮罩層469經歷一個或多個曝光後烘烤製程。然後,執行顯影製程,從而去除暴露的遮罩層569的部分以在N型井區518正上方暴露部分的基材510。遮罩層569可以作為蝕刻遮罩以保護基材510的其餘部分免受隨後的蝕刻製程的影響。
然後,在基材510中形成穿過遮罩層569直到到達N型井區518為止的基材通孔開口510b,並且此基材通孔開口510b可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。更詳細地,作為示例而非限制,在基材510中以大於約5的高深寬比形成基材通孔開口510b。
在部分實施例中,可以使用任何合適的蝕刻方法來蝕刻基材通孔開口510b,所述之合適的蝕刻方法包括諸如電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材510。蝕刻製程可以是從晶片W5的背表面510e將基材通孔開口510b蝕刻至大約幾十倍微米至數百微米的深度。在部分實施例中,蝕刻製程可以導致在與基材通孔開口510b的側壁相鄰之基材510上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口510b的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。作為示例而非限制,基材通孔開口510b的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口510b的深寬比可以大於約10。在部分實施例中,在基材510中形成具有高深寬比的基材通孔開口510b,會在基材510的側壁上形成一系列蝕刻的大扇貝形(未繪示),其尺寸可以根據製程參數而變化。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。在部分實施例中,基材通孔開口510b具有如第2圖所示之矩形的平面輪廓(或俯視圖輪廓)。亦或是,基材通孔開口510b可以具有圓形橫截面。
在形成基材通孔開口510b之後,在由基材通孔開口510b暴露的N型井區518上形成摻雜層528,這可使將要在基材通孔開口510b中形成的基材通孔具有改善的歐姆接觸。在部分實施例中,透過離子佈植製程形成摻雜層528。作為示例而非限制,可以透過佈植製程形成摻雜層528,此佈植製程的劑量可以在大約1e14原子/公分2
至大約5e15原子/公分2
的範圍內,並且其他劑量範圍亦在本公開的範圍內。作為示例而非限制,可以透過佈植製程形成摻雜層528,此佈植製程的劑量濃度可以在大約1e19原子/公分3
至大約2e21原子/公分3
的範圍內,並且其他的劑量範圍亦在本公開的範圍內。在部分實施例中,透過選擇性擴散形成摻雜層528。作為示例而非限制,摻雜層528可以包括n型摻雜劑(例如,磷(P)、砷(As)、銻(Sb)或其組合)。在形成摻雜層528之後,去除遮罩層469。
參照第58圖。可以形成介電質材料567(例如,旋轉塗佈玻璃),以覆蓋基材510的背表面510e並填充基材通孔開口510b。介電質材料567可以透過例如旋轉塗佈製程、微影製程和蝕刻製程來限定。在部分實施例中,介電質材料567可以由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃或其他低介電常數介電質材料製成。在部分實施例中,可以使用高密度電漿化學氣相沉積製程,使用矽甲烷(SiH4
)和氧氣(O2
)作為反應前驅物來形成介電質材料567。在部份其他實施例中,介電質材料567可以使用次大氣壓的化學氣相沉積製程或高深寬比製程形成,其中製程氣體可以包括四乙氧基矽烷(TEOS)和臭氧(O3
)。在其他實施例中,可以使用旋塗介電質(例如,含氫矽氧烷(HSQ)或甲基矽氧烷(MSQ))製程來形成介電質材料567。亦可以使用其他製程和材料。在部分實施例中,介電質材料567可以具有多層結構(例如,具有氮化矽形成在其上的熱氧化襯墊層)。此後,可以可選地對介電質材料567執行熱退火。
參考第59圖。在介電質材料567上形成圖案化的光阻層568。然後,透過圖案化的光阻層568進行蝕刻製程,從而在基材510中形成穿過光阻層568直到到達P型井區516為止的基材通孔開口510a,並且此基材通孔開口510a可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。更詳細地,作為示例而非限制,在基材510中以大於約5的高深寬比形成基材通孔開口510a。
在部分實施例中,可以使用任何合適的蝕刻方法來蝕刻基材通孔開口510a,所述之合適的蝕刻方法包括諸如電漿蝕刻、化學濕式蝕刻、雷射鑽孔和/或其他製程。在部分實施例中,蝕刻製程包括深反應離子蝕刻製程以蝕刻基材510。蝕刻製程可以使得從晶片W5的背表面510e蝕刻基材通孔開口510a以達到大約數十微米到數百微米的深度。在部分實施例中,蝕刻製程可能會導致在與基材通孔開口510a的側壁相鄰之基材510上的一系列蝕刻的大扇貝形。微扇貝形側壁將在隨後的製程中被平滑化。作為示例而非限制,基材通孔開口510a的深度在大約1微米至大約100微米的範圍內,並且直徑在大約0.1微米至大約10微米的範圍內。作為示例而非限制,基材通孔開口510a的深寬比在大約5至大約10的範圍內。在部分實施例中,基材通孔開口510a的深寬比可以大於約10。在基材510中形成具有高深寬比的基材通孔開口510a可能會在基材510的側壁上形成一系列蝕刻的大扇貝形(未繪示),其尺寸可以根據製程參數而變化。微扇貝形側壁將在隨後的熱氧化過程中被平滑化。在部分實施例中,基材通孔開口510a具有如第2圖所示之矩形的平面輪廓(或俯視圖輪廓)。亦或是,基材通孔開口510a可以具有圓形橫截面。
參考第60圖。在形成基材通孔開口510a之後,在由基材通孔開口510a暴露的P型井區516上形成摻雜層526,從而允許將在基材通孔開口510a中形成的基材通孔具有改善的歐姆接觸。在部分實施例中,透過離子佈植製程形成摻雜層526。作為示例而非限制,可以透過佈植製程形成摻雜層526,此佈植製程的劑量可以在大約1e14原子/公分2
至大約5e15原子/公分2
的範圍內,並且其他劑量的濃度範圍亦在本公開的範圍內。作為示例而非限制,可以透過佈植製程形成摻雜層526,此佈植製程的劑量濃度可以在大約1e19原子/公分3
至大約2e21原子/公分3
的範圍內,並且其他劑量的濃度範圍亦在本公開的範圍內。在部分實施例中,透過選擇性擴散形成摻雜層526。作為示例而非限制,摻雜層526可包括p型摻雜劑(例如,硼(B)、鎵(Ga)或其組合)。在形成摻雜層526之後,去除介電質材料567和光阻層568。
參考第61圖。透過金屬矽化製程在摻雜層526和/或528上形成矽化物層536和/或538。金屬矽化製程是在金屬和矽(或多晶矽)之間進行反應。在第61圖中,在摻雜層526和528上形成金屬層。然後,關於金屬矽化製程,可以在諸如氬氣(Ar)、氦氣(He)、氮氣(N2
)或其他惰性氣體中並在第一溫度下(例如,低於200°C 至300°C)進行第一快速熱退火(first rapid thermal annealing, RTA)製程,以將沉積的金屬層轉化為金屬矽化物。隨後進行蝕刻製程以從中去除未反應的金屬層。蝕刻製程可以包括濕式蝕刻、乾式蝕刻和/或它們的組合。作為示例,濕式蝕刻的蝕刻劑可以包括硫酸(H2
SO4
)、雙氧水(H2
O2
)、水(H2
O)和/或其他合適的濕式蝕刻溶液和/或其混合溶液。然後,在高於第一溫度的第二溫度(例如,400°C 至500°C)下進行第二退火或快速熱退火步驟,從而形成具有低電阻之穩定的矽化物層536和/或538。在部分實施例中,矽化物層536和/或538可以包括矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鈷(CoSi)、鎳鉑(Ni-Pt)或它們的組合。
參考第62圖。基材通孔598a和598b形成在基材510的基材通孔開口510a和510b中,並與矽化物層536和538接觸。在部分實施例中,基材通孔598a和598b可作為傳導路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於在晶片W5的前表面上不形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。在部分實施例中,基材通孔598a和598b可以作為晶片W5的散熱器,以將由半導體元件產生的熱量排放到晶片W5的外部。
更詳細地,透過使用金屬化製程以及使用金屬電鍍技術填充高深寬比開口以避免接縫或空隙缺陷來形成基材通孔598a和/或598b。在部分實施例中,為了避免金屬從基材通孔金屬擴散到矽基材中,在絕緣層和基材通孔金屬之間使用阻擋層(未繪示)。阻擋層可以作為基材通孔開口510a和/或510b的襯墊。阻擋層作為防止金屬擴散的擴散阻擋層,並且作為金屬與介電質之間的黏合層。作為示例但非限制,難熔金屬、難熔金屬氮化物、難熔金屬矽氮化物及其組合可用於阻擋層(例如,氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、氮矽化鈦(TiSiN)、氮化鎢(WN)或其組合)。在部分實施例中,阻擋層可以包括氮化鉭(TaN)層和鉭(Ta)層。在部分實施例中,阻擋層是氮化鈦(TiN)層。在部分實施例中,阻擋層是鈦(Ti)層。在部分實施例中,然後在阻擋層上形成金屬晶種層(未繪示)。在部分實施例中,金屬晶種層是銅晶種層,此銅晶種層可以透過物理氣相沉積的方式形成,但不限於此。
然後,可以將晶片W5轉移到電鍍工具(例如,電化學電鍍工具),並且透過電鍍製程在晶片W1上電鍍導電層以填充基材通孔開口510a和/或510b。儘管在本公開中描述了電化學電鍍製程,然而實施例並不限於電化學電鍍沉積的金屬。導電層可以包括選自包括但不限於銅和銅基合金的導體材料的群組的低電阻率的導體材料。亦或是,導電層可以包括各種材料(例如,鎢、釕、鋁、金、銀等等)。在部分實施例中,導電層是形成在銅晶種層上方的含銅層。
隨後,透過蝕刻、化學機械平坦化等去除導電層、金屬晶種層和阻擋層的多餘部分,從而使金屬填充的開口的上表面與基材510的背表面510e實質上共平面。在基材通孔開口510a和/或510b中之導電層和阻擋層的其餘部分形成基材通孔598a和/或598b。
基材通孔598a和/或598b與P型井區516和/或N型井區518接觸。當發生靜電放電事件時,基材通孔598a和/或598b用以提供導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。在部分實施例中,也可將基材通孔598a和/或598b稱為靜電放電保護電路。
參考第63圖。形成後段製程型互連堆疊550和後段製程型互連堆疊550上的端子VDD和VSS以連接埋入式電源軌。更詳細地,執行一系列鑲嵌製程步驟以產生後段製程型互連堆疊550,此後段製程型互連堆疊550透過低電阻電路將基材通孔598a和598b連接至晶片W5的背面上的端子VDD和VSS。在部分實施例中,也可以將後段製程型互連堆疊550稱為晶片W5的電力輸送網路。
基於以上討論,可以看出本公開提供了益處。然而,應當理解,其他的實施例可以提供附加的益處,並且在本公開中不必公開所有益處,並且對於所有實施例不需要特定的益處。本公開形成從晶片的背面延伸以嵌入在井區中矽穿孔。其中一個益處在於,本公開的基材通孔可以作為導電路徑,從而可以在靜電放電事件期間緩解高電流和電流密度,以避免電路崩潰。因此,由於沒有在晶片的正面上形成靜電放電裝置,因此可以在減小幾何尺寸的同時增加功能密度。另一個益處是,本公開的基材通孔可作為晶片的散熱器,以將由半導體元件產生的熱量從井區釋放到晶片的外部。
在部分實施例中,半導體元件包括基材、半導體鰭片、淺溝槽隔離結構、源極/汲極結構、第一埋入式電源線、接觸、第一基材通孔和第二個基材通孔。基材具有井區,此井區從基材的前表面延伸到基材中。半導體鰭片位於井區上。淺溝槽隔離結構橫向地圍繞半導體鰭片的下部。源極/汲極結構在半導體鰭片上。第一埋入式電源線電耦合到第一半導體鰭片上的源極/汲極結構。第一埋入式電源線具有沿著第一半導體鰭片的長度方向延伸的長度和從淺溝槽隔離結構內延伸到井區內的高度。第一基材通孔從基材的背表面穿過基材延伸到第一埋入式電源線。第二基材通孔從基材的背表面延伸到井區。在部分實施例中,在平面圖中,第二基材通孔在第一半導體鰭片和第一埋入式電源線之間。在部分實施例中,半導體元件還包括在井區上的第二半導體鰭片,其中,在平面圖中,第二基材通孔在第一半導體鰭片和第二半導體鰭片之間。在部分實施例中,半導體元件還包括第二埋入式電源線,此第二埋入式電源線的長度沿著第一半導體鰭片的長度方向延伸,其中第一半導體鰭片在第二埋入式電源線和第一埋入式電源線之間。在部分實施例中,在平面圖中,第二基材通孔與第一半導體鰭片不重疊。在部分實施例中,半導體元件還包括跨過第一半導體鰭片延伸的閘極結構,其中,在平面圖中,第二基材通孔與閘極結構重疊。在部分實施例中,第二基材通孔具有與第一基材通孔實質上相同的深度。在部分實施例中,由第一基材通孔和第一埋入式電源線形成的界面與由井區和第二基材通孔形成的界面實質上齊平。在部分實施例中,井區是N型井區。在部分實施例中,井區是P型井區。
在部分實施例中,半導體元件包括基材、第一半導體鰭片、第二半導體鰭片、第一基材通孔和第二基材通孔。基材具有P型井區和與P型井區相鄰的N型井區,其中P型井區和N型井區從基材的前表面延伸進入基材中。第一半導體鰭片配置在P型井區上。第二半導體鰭片配置在N型井區上。第一基材通孔從基材的背表面延伸到P型井區。第二基材通孔從基材的背面延伸到N型井區。在部分實施例中,半導體元件還包括第三半導體鰭片、源極/汲極結構、埋入式電源線、源極/汲極接觸和第三基材通孔。第三半導體鰭片在基材上。源極/汲極結構在第三半導體鰭片上。埋入式電源線部分地嵌入基材中。源極/汲極接觸將源極/汲極結構電連接到埋入式電源線。第三基材通孔從基材的背表面延伸到埋入式電源線。在部分實施例中,半導體元件還包括第三半導體鰭片、第一源極/汲極結構和第二源極/汲極結構、後段製程堆疊和第三基材通孔。第三半導體鰭片在基材上方。第一源極/汲極結構和第二源極/汲極結構分別在第一半導體鰭片和第三半導體鰭片上。後段製程堆疊位於第一源極/汲極結構和第二源極/汲極結構上方。第三基材通孔從基材的背表面延伸到後段製程堆疊。在部分實施例中,半導體元件還包括在第一基材通孔和P型井區之間並且摻雜有p型摻雜劑的摻雜層。在部分實施例中,半導體元件還包括在第二基材通孔與N型井區之間並且摻雜有n型摻雜劑的摻雜層。在部分實施例中,半導體元件還包括在第一基材通孔和P型井區之間的金屬矽化物層。
在部分實施例中,一種用於形成半導體元件的方法包括:形成從基材的前表面延伸到基材中的井區;在井區上形成半導體鰭片;在半導體鰭片上形成源極/汲極結構;在井區中刻蝕溝槽;在井區的溝槽中形成埋入式電源線;形成源極/汲極接觸,以將源極/汲極結構電連接到埋入式電源線;在基材的背表面上執行蝕刻製程,以形成多個開口,以暴露出埋入式電源線和井區;用導電材料填充多個開口,以形成多個矽通孔。在部分實施例中,在蝕刻製程期間將埋入式電源線作為蝕刻停止層。在部分實施例中,此方法還包括:在執行蝕刻製程之後,透過開口用摻雜劑摻雜井區。在部分實施例中,在執行蝕刻製程之後,透過開口在井區上形成金屬矽化物層。
前述內容概述了幾個實施例的特徵,使得本領域具普通知識者可以更好地理解本公開的各方面。本領域具普通知識者應該理解,他們可以容易地將本公開作為設計或修改其他過程和結構的基礎,以實現與本公開介紹的實施例相同的目的和/或實現相同的益處。本領域具普通知識者還應該理解,這樣的等效構造並不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,它們可以在本公開中進行各種改變、替換和變更。
110:基材
110a:基材通孔開口
110b:基材通孔開口
110c:基材通孔開口
110d:背表面
110e:基材通孔開口
110f:基材通孔開口
110g:基材通孔開口
116:井區
118:井區
130:遮罩層
150:後段製程型互連堆疊
152:半導體鰭片
154:半導體鰭片
160:隔離介電質
160a:溝槽
160b:溝槽
162:材料
164:材料
165:隔離介電質
170:導電材料
170a:埋入式電源軌
170b:埋入式電源軌
170c:背面端部
170d:背面端部
172:源極/汲極特徵
174:源極/汲極特徵
176:層間介電層
176a:通孔開口
176b:溝槽
176c:通孔開口
178:互連
178a:互連
178b:互連
179:互連
182:閘極結構
184:閘極結構
186:閘極間隔物
188:閘極
190:後段製程堆疊
192:結合層
194:載體基材
196:結合層
198a:基材通孔
198b:基材通孔
198c:基材通孔
210:基材
210a:基材通孔開口
210b:基材通孔開口
210d:背表面
216:P型井區
218:N型井區
230:遮罩層
250:後段製程型互連堆疊
252:半導體鰭片
253:半導體鰭片
254:半導體鰭片
255:半導體鰭片
260:隔離介電質
263:材料
264:材料
273:源極/汲極特徵
274:源極/汲極特徵
276:層間介電層
290:後段製程堆疊
292:結合層
294:載體基材
296:結合層
298a:基材通孔
298b:基材通孔
310:基材
310a:基材通孔開口
310b:基材通孔開口
310c:基材通孔開口
310d:基材通孔開口
310e:背表面
316:P型井區
318:N型井區
330:遮罩層
350:後段製程型互連堆疊
352:半導體鰭片
353:半導體鰭片
354:半導體鰭片
355:半導體鰭片
356:半導體鰭片
357:半導體鰭片
358:半導體鰭片
360:隔離介電質
360a:溝槽
360b:溝槽
363:材料
364:材料
365:隔離介電質
366:材料
367:材料
369:遮罩層
370:導電材料
370a:埋入式電源軌
370b:埋入式電源軌
371b:互連
371c:互連
372:層間介電層
372a:溝槽
372b:溝槽
372c:溝槽
373:源極/汲極特徵
374:源極/汲極特徵
376:源極/汲極特徵
377:源極/汲極特徵
378:導電通孔
379a:導電接觸
379b:導電接觸
390:後段製程堆疊
392:結合層
394:載體基材
396:結合層
398a:基材通孔
398b:基材通孔
398c:基材通孔
398d:基材通孔
410:基材
410a:基材通孔開口
410b:基材通孔開口
410c:基材通孔開口
410e:背表面
416:P型井區
418:N型井區
450:後段製程型互連堆疊
452:半導體鰭片
453:半導體鰭片
454:半導體鰭片
455:半導體鰭片
456:半導體鰭片
457:半導體鰭片
458:半導體鰭片
460:隔離介電質
467:介電質材料
468:光阻層
469:遮罩層
473:源極/汲極特徵
474:源極/汲極特徵
476:源極/汲極特徵
477:源極/汲極特徵
490:後段製程堆疊
492:結合層
494:載體基材
496:結合層
498a:基材通孔
498b:基材通孔
498c:基材通孔
510:基材
510a:基材通孔開口
510b:基材通孔開口
510e:背表面
516:P型井區
518:N型井區
526:摻雜層
528:摻雜層
536:矽化物層
538:矽化物層
550:後段製程型互連堆疊
552:半導體鰭片
553:半導體鰭片
554:半導體鰭片
555:半導體鰭片
560:隔離介電質
567:介電質材料
568:光阻層
569:遮罩層
573:源極/汲極特徵
574:源極/汲極特徵
576:層間介電層
590:後段製程堆疊
592:結合層
594:載體基材
596:結合層
598a:基材通孔
598b:基材通孔
698a:基材通孔
698b:基材通孔
698c:基材通孔
798a:基材通孔
798b:基材通孔
798c:基材通孔
898a:基材通孔
898b:基材通孔
898c:基材通孔
A-A:線
B-B:線
M1:方法
M2:方法
S101:方框
S102:方框
S103:方框
S104:方框
S105:方框
S106:方框
S107:方框
S108:方框
S109:方框
S110:方框
S111:方框
S112:方框
S113:方框
S114:方框
S115:方框
S116:方框
S117:方框
S118:方框
S201:方框
S202:方框
S203:方框
S204:方框
S205:方框
S206:方框
S207:方框
S208:方框
S209:方框
S210:方框
S211:方框
S212:方框
T:溝槽
T2:深度
T3:溝槽
T4:深度
VSS:源極電壓
VDD:汲極電壓
W1:晶片
W2:晶片
W3:晶片
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開的各方面。應理解,根據行業中的標準實踐,各種特徵未按比例繪製。實際上,為了清楚起見,可以任意地增加或減小各種特徵的尺寸。
第1A圖和第1B圖是根據本公開的部分實施例之用於形成半導體元件的方法M1的流程圖。
第2圖至第13圖、第14A圖、第15圖至第17圖、第18A圖、第19圖、第20A圖和第20B圖繪示根據本公開的部分實施例之用於在各個階段製造半導體元件的方法。
第14B圖繪示根據本公開的部份其他實施例之處於對應於第14A圖的階段的另一晶片。
第18B圖繪示根據本公開的部份其他實施例之處於對應於第18A圖的階段的另一晶片。
第21圖繪示根據本公開的部份其他實施例之晶片的俯視圖。
第22圖繪示根據本公開的部份其他實施例之晶片的俯視圖。
第23圖繪示根據本公開的部份其他實施例之晶片的俯視圖。
第24圖是根據本公開的部分實施例之用於形成半導體元件的方法M2的流程圖。
第25圖至第36圖繪示根據本公開的部分實施例之用於在各個階段製造半導體元件的方法。
第37圖至第45圖、第46A圖和第47圖至第50圖繪示根據本公開的部分實施例之用於在各個階段製造半導體元件的方法。
第46B圖繪示根據本公開的部份其他實施例之處於對應於第46A圖的階段的另一半導體元件。
第51圖至第56圖繪示根據本公開的部分實施例之用於在各個階段製造半導體元件的方法。
第57圖至第63圖繪示根據本公開的部分實施例之用於在各個階段製造半導體元件的方法。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
110:基材
110a:基材通孔開口
110b:基材通孔開口
110c:基材通孔開口
116:井區
118:井區
150:後段製程型互連堆疊
152:半導體鰭片
154:半導體鰭片
160:隔離介電質
165:隔離介電質
170a:埋入式電源軌
170b:埋入式電源軌
172:源極/汲極特徵
174:源極/汲極特徵
176:層間介電層
178:互連
190:後段製程堆疊
192:結合層
194:載體基材
196:結合層
198a:基材通孔
198b:基材通孔
198c:基材通孔
VSS:源極電壓
VDD:汲極電壓
Claims (20)
- 一種半導體元件,包含: 一基材,具有從該基材的一前表面延伸到該基材中的一井區; 一第一半導體鰭片,在該井區上; 一淺溝槽隔離結構,橫向地圍繞該第一半導體鰭片的一下部; 一源極/汲極結構,在該第一半導體鰭片上; 一第一埋入式電源線,電耦合到該第一半導體鰭片上的該源極/汲極結構,該第一埋入式電源線的一長度沿著該第一半導體鰭片的一長度方向延伸,並且該第一埋入式電源線的一高度從該淺溝槽隔離結構內延伸到該井區內; 一第一基材通孔,從該基材的一背表面延伸到該第一埋入式電源線;以及 一第二基材通孔,從該基材的該背表面延伸到該井區。
- 根據請求項1所述的半導體元件,其中,在一平面圖中,該第二基材通孔在該第一半導體鰭片和該第一埋入式電源線之間。
- 根據請求項1所述的半導體元件,更包含在該井區上的一第二半導體鰭片,其中,在一平面圖中,該第二基材通孔在該第一半導體鰭片和該第二半導體鰭片之間。
- 根據請求項1所述的半導體元件,更包含一第二埋入式電源線,該第二埋入式電源線的一長度沿著該第一半導體鰭片的該長度方向延伸,其中,該第一半導體鰭片在該第二埋入式電源線和該第一埋入式電源線之間。
- 根據請求項1所述的半導體元件,其中,在一平面圖中,該第二基材通孔與該第一半導體鰭片不重疊。
- 根據請求項1所述的半導體元件,更包含跨過該第一半導體鰭片延伸的一閘極結構,其中,在一平面圖中,該第二基材通孔與該閘極結構重疊。
- 根據請求項1所述的半導體元件,其中,該第二基材通孔與該第一基材通孔實質上具有相同的深度。
- 根據請求項1所述的半導體元件,其中,由該第一基材通孔和該第一埋入式電源線形成的一界面與由該井區和該第二基材通孔形成的一界面實質上齊平。
- 根據請求項1所述的半導體元件,其中,該井區是一N型井區。
- 根據請求項1所述的半導體元件,其中,該井區是一P型井區。
- 一種半導體元件,包含: 一基材,具有一P型井區和與該P型井區相鄰的一N型井區,其中該P型井區和該N型井區從該基材的一前表面延伸到該基材中; 一第一半導體鰭片,設置在該P型井區上; 一第二半導體鰭片,設置在該N型井區上; 一第一基材通孔,從該基材的一背表面延伸到該P型井區;以及 一第二基材通孔,從該基材的該背表面延伸到該N型井區。
- 根據請求項11所述的半導體元件,更包含: 一第三半導體鰭片,在該基材上; 一源極/汲極結構,在該第三半導體鰭片上; 一埋入式電源線,部分地埋入在該基材中; 一源極/汲極接觸,將該源極/汲極結構電連接到該埋入式電源線;以及 一第三基材通孔,從該基材的該背表面延伸到該埋入式電源線。
- 根據請求項11所述的半導體元件,更包含: 一第三半導體鰭片,在該基材上; 一第一源極/汲極結構和一第二源極/汲極結構,分別在該第一半導體鰭片和該第三半導體鰭片上; 一後段製程堆疊,在該第一源極/汲極結構和該第二源極/汲極結構上;以及 一第三基材通孔,從該基材的該背表面延伸到該後段製程堆疊。
- 根據請求項11所述的半導體元件,更包含在該第一基材通孔與該P型井區之間的一摻雜層,並且該摻雜層摻雜有一p型摻雜劑。
- 根據請求項11所述的半導體元件,更包含在該第二基材通孔與該N型井區之間的一摻雜層並且該摻雜層摻雜有一n型摻雜劑。
- 根據請求項11所述的半導體元件,更包含在該第一基材通孔與該P型井區之間的一金屬矽化物層。
- 一種形成半導體元件的方法,包含: 形成一井區,從一基材的一前表面延伸到該基材中; 形成一半導體鰭片在該井區上; 形成一源極/汲極結構在該半導體鰭片上; 刻蝕一溝槽於該井區中; 形成一埋入式電源線在該井區的該溝槽中; 形成一源極/汲極接觸,以將該源極/汲極結構電連接到該埋入式電源線; 執行一蝕刻製程於該基材的一背表面上,以形成暴露出該埋入式電源線和該井區的複數個開口;以及 使用一導電材料填充該些開口,以形成複數個矽通孔。
- 根據請求項17所述的方法,其中,在該蝕刻製程期間,將該埋入式電源線作為一蝕刻停止層。
- 根據請求項17所述的方法,更包含: 在執行該蝕刻製程之後,透過該開口用一摻雜劑摻雜該井區。
- 根據請求項17所述的方法,在執行該蝕刻製程之後,透過該開口在該井區上形成一金屬矽化物層。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063053234P | 2020-07-17 | 2020-07-17 | |
US63/053,234 | 2020-07-17 | ||
US17/196,623 US11728244B2 (en) | 2020-07-17 | 2021-03-09 | Semiconductor device and method for forming the same |
US17/196,623 | 2021-03-09 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202205590A true TW202205590A (zh) | 2022-02-01 |
Family
ID=76958806
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110119291A TW202205590A (zh) | 2020-07-17 | 2021-05-27 | 半導體元件 |
Country Status (5)
Country | Link |
---|---|
US (3) | US11728244B2 (zh) |
EP (1) | EP3940761A1 (zh) |
JP (1) | JP2022019674A (zh) |
CN (1) | CN113394218A (zh) |
TW (1) | TW202205590A (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220416017A1 (en) * | 2021-06-25 | 2022-12-29 | Intel Corporation | Buried power rail with a silicide layer for well biasing |
TWI855710B (zh) * | 2022-11-30 | 2024-09-11 | 美商萬國商業機器公司 | 具有電源條形成之延遲中線閘極切割 |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10950546B1 (en) * | 2019-09-17 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including back side power supply circuit |
US20220336355A1 (en) * | 2021-04-19 | 2022-10-20 | Samsung Electronics Co., Ltd. | Thermal budget enhanced buried power rail and method of manufacturing the same |
US20230187300A1 (en) * | 2021-12-13 | 2023-06-15 | Intel Corporation | Backside heat dissipation using buried heat rails |
US20230207464A1 (en) * | 2021-12-16 | 2023-06-29 | Intel Corporation | Metal-semiconductor junction formed by buried power rail |
US20230299000A1 (en) * | 2022-03-17 | 2023-09-21 | International Business Machines Corporation | Method and structure for forming landing for backside power distribution network |
TW202341261A (zh) * | 2022-04-11 | 2023-10-16 | 南韓商三星電子股份有限公司 | 半導體晶片架構以及製造其的方法 |
KR20230155275A (ko) * | 2022-05-03 | 2023-11-10 | 삼성전자주식회사 | 반도체 장치 |
US20230420359A1 (en) * | 2022-06-22 | 2023-12-28 | International Business Machines Corporation | Semiconductor device with power via |
KR20240040512A (ko) * | 2022-09-21 | 2024-03-28 | 삼성전자주식회사 | 집적회로 소자 |
CN117612999A (zh) * | 2023-11-24 | 2024-02-27 | 武汉新芯集成电路制造有限公司 | 具有埋入式电源轨的半导体结构及其制造方法 |
CN117747544B (zh) * | 2024-02-19 | 2024-05-24 | 中国科学院长春光学精密机械与物理研究所 | 一种硅通孔的形成方法 |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9406804B2 (en) | 2014-04-11 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with contact-all-around |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9831183B2 (en) | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
EP3324436B1 (en) * | 2016-11-21 | 2020-08-05 | IMEC vzw | An integrated circuit chip with power delivery network on the backside of the chip |
US20190371891A1 (en) * | 2018-06-01 | 2019-12-05 | Qualcomm Incorporated | Bulk layer transfer based switch with backside silicidation |
US10872818B2 (en) * | 2018-10-26 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried power rail and method forming same |
US11171138B2 (en) * | 2018-11-30 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and method of manufacture |
EP3671859A1 (en) * | 2018-12-20 | 2020-06-24 | IMEC vzw | A vertical isolated gate field effect transistor integrated in a semiconductor chip |
US11393819B2 (en) * | 2020-07-09 | 2022-07-19 | Qualcomm Incorporated | Semiconductor device implemented with buried rails |
-
2021
- 2021-03-09 US US17/196,623 patent/US11728244B2/en active Active
- 2021-05-27 TW TW110119291A patent/TW202205590A/zh unknown
- 2021-06-07 CN CN202110631139.6A patent/CN113394218A/zh active Pending
- 2021-07-16 JP JP2021117624A patent/JP2022019674A/ja active Pending
- 2021-07-16 EP EP21186102.6A patent/EP3940761A1/en not_active Withdrawn
-
2023
- 2023-06-28 US US18/343,399 patent/US12112998B2/en active Active
-
2024
- 2024-07-30 US US18/789,526 patent/US20240395669A1/en active Pending
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220416017A1 (en) * | 2021-06-25 | 2022-12-29 | Intel Corporation | Buried power rail with a silicide layer for well biasing |
TWI855710B (zh) * | 2022-11-30 | 2024-09-11 | 美商萬國商業機器公司 | 具有電源條形成之延遲中線閘極切割 |
Also Published As
Publication number | Publication date |
---|---|
US11728244B2 (en) | 2023-08-15 |
JP2022019674A (ja) | 2022-01-27 |
US20220020666A1 (en) | 2022-01-20 |
US12112998B2 (en) | 2024-10-08 |
EP3940761A1 (en) | 2022-01-19 |
US20230343680A1 (en) | 2023-10-26 |
US20240395669A1 (en) | 2024-11-28 |
CN113394218A (zh) | 2021-09-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
EP3940761A1 (en) | Semiconductor device and method for forming the same | |
TWI767123B (zh) | 整合背側電源網格的半導體裝置及其相關的積體電路與製造方法 | |
US11715689B2 (en) | Method of forming metal interconnection | |
CN107546203B (zh) | 半导体器件及其制造方法 | |
US11848327B2 (en) | Integrated circuit device including a power supply line and method of forming the same | |
CN110783256A (zh) | 集成电路装置的形成方法 | |
TWI662712B (zh) | 積體電路裝置及其製造方法 | |
CN106558535B (zh) | 形成金属互连件的方法 | |
US11081403B2 (en) | Methods of forming contact features in field-effect transistors | |
CN107068555B (zh) | 形成沟槽的方法 | |
TWI514449B (zh) | 半導體裝置及其製造方法 | |
TW202017109A (zh) | 積體電路裝置及其形成方法 | |
TWI495043B (zh) | 形成無凹陷連線結構的方法 | |
KR102375640B1 (ko) | 콘택트 도전성 특징부 형성 및 구조체 | |
US12205886B2 (en) | Hybrid method for forming semiconductor interconnect structure | |
CN106469674A (zh) | 形成金属互连的方法 | |
US8835304B2 (en) | Method of semiconductor integrated circuit fabrication | |
CN114334804A (zh) | 用于半导体器件的互连结构 | |
CN116247074A (zh) | 半导体装置及其形成方法 |