TW202201449A - 在晶圓檢查期間動態控制靜電吸盤之方法、裝置及系統 - Google Patents

在晶圓檢查期間動態控制靜電吸盤之方法、裝置及系統 Download PDF

Info

Publication number
TW202201449A
TW202201449A TW110109688A TW110109688A TW202201449A TW 202201449 A TW202201449 A TW 202201449A TW 110109688 A TW110109688 A TW 110109688A TW 110109688 A TW110109688 A TW 110109688A TW 202201449 A TW202201449 A TW 202201449A
Authority
TW
Taiwan
Prior art keywords
electrostatic chuck
wafer
control system
controller
stage
Prior art date
Application number
TW110109688A
Other languages
English (en)
Other versions
TWI811650B (zh
Inventor
義向 王
劉士兵
羅映
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202201449A publication Critical patent/TW202201449A/zh
Application granted granted Critical
Publication of TWI811650B publication Critical patent/TWI811650B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20278Motorised movement
    • H01J2237/20285Motorised movement computer-controlled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

一種經組態以在一晶圓之一檢查製程期間所利用的靜電吸盤控制系統,該靜電吸盤控制系統包含:一載物台之一靜電吸盤,該載物台經組態以在該檢查製程期間被解除銜接,其中該靜電吸盤包含經組態以在該檢查製程期間影響該晶圓與該靜電吸盤之間的一相互作用之複數個組件;一第一感測器,其經組態以在該複數個組件中之至少一些與該晶圓之間產生量測資料;及一控制器,其包括電路系統,該電路系統經組態以接收該量測資料以判定該晶圓相對於該靜電吸盤之特性且產生調整資料,以使得能夠在該載物台被解除銜接時基於該等經判定特性調整該複數個組件中之至少一些。

Description

在晶圓檢查期間動態控制靜電吸盤之方法、裝置及系統
本文中之描述係關於帶電粒子束裝置之領域,且更特定言之,係關於一種經動態控制之靜電吸盤。
帶電粒子束裝置能夠藉由在由該帶電粒子束裝置產生之帶電粒子束進行衝擊後自晶圓基板之表面偵測二次電子、反向散射電子、鏡面電子或其他種類之電子而產生該晶圓基板之2維影像。在半導體行業中出於諸如以下各種目的而將各種帶電粒子束裝置用於半導體晶圓上:晶圓處理(例如電子束直寫微影系統)、製程監測(例如臨界尺寸掃描電子顯微鏡(CD-SEM))、晶圓檢查(例如電子束檢查系統)、缺陷分析(例如缺陷再檢查SEM或比如DR-SEM及聚焦離子束系統或比如FIB)等。在晶圓檢查期間,將晶圓置放於靜電吸盤(electrostatic chuck,e-chuck)上。將晶圓置放於靜電吸盤上可在實施於靜電吸盤中之複數個電極與晶圓之間產生吸引力。靜電吸盤與晶圓之間的吸引力可藉由將高電壓施加至複數個電極以吸引且緊固帶電晶圓來達成。此外,靜電吸盤可藉由使用實施於靜電吸盤中之接腳而使晶圓接地,該接腳可使晶圓偏壓至預定義電壓位準。然而,靜電吸盤之執行以上功能之能力可受到靜電吸盤之位置限制。
本發明之實施例提供一種多束檢查裝置,且更特定言之,一種包括一改良型靜電吸盤控制系統之單束或多束檢查系統。在一些實施例中,該檢查系統包括一靜電吸盤,其包含:複數個電極,該複數個電極經組態以影響一晶圓與該靜電吸盤之間的一相互作用;一第一感測器,其經組態以在該複數個電極與該晶圓之間進行量測;一驅動器,其經組態以自該第一感測器捕捉該等量測且將電壓施加至該複數個電極;及一控制器,其經組態以自該驅動器接收該等所捕獲量測以判定該晶圓在該晶圓之檢查期間是否翹曲且基於該判定而調整施加至該複數個電極之該電壓。
在一些實施例中,提供一種用於動態調整用於一晶圓檢查之一靜電吸盤控制系統之參數的方法。該方法包括:自一第一感測器接收一靜電吸盤中之複數個電極與一晶圓之間的一經量測電容以判定該晶圓之一弓曲,其中該複數個電極經組態以在被供應有一高電壓時影響該晶圓與該靜電吸盤之間的一相互作用;及將一第一控制信號提供至一靜電吸盤控制系統,該靜電吸盤控制系統經組態以控制該靜電吸盤以基於在該晶圓之一檢查期間之該判定來調整供應至該複數個電極之該高電壓。
在一些實施例中,提供一種非暫時性電腦可讀媒體,其儲存用以藉由一處理器執行一方法以使得該裝置執行用以在一晶圓檢查期間動態地調整參數之一方法的指令。該方法包括:自一第一感測器接收一靜電吸盤中之複數個電極與一晶圓之間的一經量測電容以判定該晶圓之一弓曲,其中該複數個電極經組態以在被供應有一高電壓時影響該晶圓與該靜電吸盤之間的一相互作用;及將一第一控制信號提供至一靜電吸盤控制系統,該靜電吸盤控制系統經組態以控制該靜電吸盤以基於在該晶圓之一檢查期間之該判定來調整供應至該複數個電極之該高電壓。
現在將詳細參考實例實施例,在隨附圖式中說明該等實施例之實例。以下描述參考隨附圖式,其中除非另外表示,否則不同圖式中之相同編號表示相同或相似元件。實例實施例之以下描述中所闡述之實施並不表示符合本發明的所有實施。取而代之,其僅為符合關於如所附申請專利範圍中所敍述之主題之態樣的裝置及方法之實例。舉例而言,儘管一些實施例係在利用電子束之內容背景中予以描述,但本發明不限於此。可以相似方式應用其他類型之帶電粒子束。此外,可使用其他成像系統,諸如光學成像、光偵測、x射線偵測或其類似者。
電子器件係由形成於被稱為基板之矽塊上的電路構成。許多電路可一起形成於同一矽塊上且被稱為積體電路或IC。此等電路之大小已顯著地減小,使得電路中之許多電路可安裝於基板上。舉例而言,智慧型手機中之IC晶片可與拇指甲一樣小且仍可包括超過20億個電晶體,每一電晶體之大小不到人類毛髮之大小的1/1000。
製造此等極小IC為常常涉及數百個個別步驟之複雜、耗時且昂貴之製程。甚至一個步驟中之錯誤亦有可能導致成品IC中之缺陷,該等缺陷使得成品IC為無用的。因此,製造製程之一個目標為避免此類缺陷以使在製程中製造之功能性IC的數目最大化,亦即改良製程之總體良率。
改良良率之一個組分為監測晶片製造製程,以確保其正生產足夠數目個功能積體電路。監測該製程之一種方式為在晶片電路結構形成之各個階段處檢查晶片電路結構。可使用掃描電子顯微鏡(SEM)來進行檢查。SEM可用以實際上將此等極小結構成像,從而獲取該等結構之「圖像」。影像可用以判定結構是否適當形成,且亦判定結構是否形成於適當位置中。若結構為有缺陷的,則製程可經調整,使得缺陷不大可能再現。
SEM之工作原理與攝影機相似。攝影機藉由接收及記錄自人或物件反射或發射之光的亮度及顏色來拍攝圖像。SEM藉由接收及記錄自結構反射或發射之電子之能量來拍攝「圖像」。在拍攝此「圖像」之前,可將電子束提供至結構上,且當電子自該等結構反射或發射(「射出」)時,SEM之偵測器可接收並記錄彼等電子之能量以產生影像。為了拍攝此「圖像」,一些SEM使用單個電子束(被稱作「單束SEM」),而一些SEM使用多個電子束(被稱作「多束SEM」)來拍攝晶圓之多個「圖像」。藉由使用多個電子束,SEM可將更多電子束提供至結構上以獲得此等多個「圖像」,從而導致更多電子自結構射出。因此,偵測器可同時接收更多射出電子,且以較高效率及較快速度產生晶圓之結構之影像。
通常,在被置放於用於成像之平台(被稱作載物台)上之基板(例如矽基板)上製造結構。載物台固持且移動晶圓,且進一步包括靜電吸盤(electrostatic chuck,e-chuck)以將晶圓固定至載物台。一些靜電吸盤具有三個主要功能:將晶圓夾持至載物台;經由靜電吸盤與晶圓之間的電容量測而偵測晶圓;及使晶圓接地至高電壓。
為了執行此等功能,靜電吸盤可連接至提供功率至靜電吸盤的接觸塔。在一些習知系統中,靜電吸盤僅在載物台處於裝載位置以用於裝載晶圓時才連接至接觸塔。因此,當載物台自裝載位置移動時,靜電吸盤與接觸塔斷開連接,藉此防止靜電吸盤具有執行其功能所需之功率。結果,SEM可難以偵測及回應於由在檢查期間在靜電吸盤與晶圓之間的相互作用所引起的問題。
為了克服此等問題,一些習知系統在靜電吸盤與電力供應器之間具有永久性連接。但此類型之連接可導致靜電吸盤在檢驗製程期間受損,此係因為歸因於靜電吸盤與晶圓之間的大電壓差,可出現靜電吸盤與晶圓之間的電弧放電。舉例而言,當晶圓在檢查期間連接至高電壓(例如,30 kV)且靜電吸盤被供應有較低電壓(例如,1 kV)時,可出現電弧放電。
本發明之一些實施例提供用於在晶圓之檢查期間控制供應至靜電吸盤之功率的改良型電路系統。電路系統可包含放大器,該放大器可調整來自高電壓供應件之電壓且將經調整電壓提供至靜電吸盤。由於靜電吸盤具備高電壓,因此靜電吸盤與晶圓之間的電壓差為低的,藉此降低了電弧放電之風險。
電路系統亦可經組態以自控制器接收信號。控制器可經組態以檢查量測(例如,箝位電壓靜電吸盤與晶圓之間的電容、實施於靜電吸盤中之兩個接腳之間的接地電阻)並藉由將信號提供至電路系統而進行調整。舉例而言,基於所接收之量測,若控制器判定箝位電壓已降至低於預定義臨限值,則其可報告誤差或嘗試藉由將信號提供至電路系統來增加箝位電壓。藉助於其他實例,基於所接收之量測,控制器可判定可發生晶圓之弓曲(從而產生翹曲晶圓)且可調整某些箝位電壓以最小化弓曲。此外,基於所接收之指示晶圓可能未良好地接地之量測,控制器可將信號提供至電路系統以使得晶圓連接至高電壓。藉由動態調整電路系統之參數,SEM可偵測潛在問題且即時地進行調整,因此顯著地改良SEM之可靠性。
出於清楚起見,圖式中之組件的相對尺寸可被誇示。在以下圖式描述內,相同或類似參考數字係指相同或類似組件或實體,且僅描述關於個別實施例之差異。
如本文中所使用,除非另外特定陳述,否則術語「或」涵蓋所有可能組合,除非不可行。舉例而言,若陳述組件可包括A或B,則除非另外特定陳述或不可行,否則組件可包括A,或B,或A及B。作為第二實例,若陳述組件可包括A、B或C,則除非另外特定陳述或不可行,否則組件可包括A,或B,或C,或A及B,或A及C,或B及C,或A及B及C。
圖1說明符合本發明之實施例的實例電子束檢查(EBI)系統100。該EBI系統100可用於成像。如圖1中所展示,EBI系統100包括主腔室101、裝載/鎖定腔室102、電子束工具104及設備前端模組(EFEM) 106。電子束工具104位於主腔室101內。EFEM 106包括第一裝載埠106a及第二裝載埠106b。EFEM 106可包括額外裝載埠。第一裝載埠106a及第二裝載埠106b收納含有待檢查之晶圓(例如,半導體晶圓或由其他材料製成之晶圓)或樣本的晶圓前開式單元匣(FOUP) (晶圓及樣本可互換使用)。一「批次」為可被裝載以作為批量進行處理的複數個晶圓。
EFEM 106中之一或多個機器人臂(圖中未繪示)可將晶圓輸送至裝載/鎖定腔室102。裝載/鎖定腔室102連接至裝載/鎖定真空泵系統(圖中未繪示),該裝載/鎖定真空泵系統移除裝載/鎖定腔室102中之氣體分子以達到低於大氣壓力之第一壓力。在達到第一壓力之後,一或多個機器人臂(圖中未繪示)可將晶圓自裝載/鎖定腔室102輸送至主腔室101。主腔室101連接至主腔室真空泵系統(圖中未繪示),該主腔室真空泵系統移除主腔室101中之氣體分子以達到低於第一壓力之第二壓力。在達到第二壓力之後,晶圓經受電子束工具104之檢查。電子束工具104可為單束系統或多束系統。
控制器109電子地連接至電子束工具104。控制器109可為經組態以執行EBI系統100之各種控制的電腦。雖然控制器109在圖1中被展示為在包括主腔室101、裝載/鎖定腔室102及EFEM 106之結構外部,但應瞭解,控制器109可為該結構之部分。
在一些實施例中,控制器109可包括一或多個處理器(圖中未繪示)。處理器可為能夠操控或處理資訊之通用或特定電子器件。舉例而言,處理器可包括任何數目個中央處理單元(或「CPU」)、圖形處理單元(或「GPU」)、光學處理器、可程式化邏輯控制器、微控制器、微處理器、數位信號處理器、智慧財產(IP)核心、可程式化邏輯陣列(PLA)、可程式化陣列邏輯(PAL)、通用陣列邏輯(GAL)、複合可程式化邏輯器件(CPLD)、場可程式化閘陣列(FPGA)、系統單晶片(SoC)、特殊應用積體電路(ASIC)以及能夠資料處理之任何類型電路的任何組合。處理器亦可為虛擬處理器,其包括橫越經由網路耦接之多個機器或器件而分佈的一或多個處理器。
在一些實施例中,控制器109可進一步包括一或多個記憶體(圖中未繪示)。記憶體可為能夠儲存可由處理器存取(例如經由匯流排)之程式碼及資料的通用或特定電子器件。舉例而言,記憶體可包括任何數目個隨機存取記憶體(RAM)、唯讀記憶體(ROM)、光碟、磁碟、硬碟機、固態機、隨身碟、安全數位(SD)卡、記憶棒、緊湊型快閃(CF)卡或任何類型之儲存器件的任何組合。程式碼可包括作業系統(OS)及用於特定任務之一或多個應用程式(或「app」)。記憶體亦可為虛擬記憶體,其包括橫越經由網路耦接之多個機器或器件而分佈的一或多個記憶體。
圖2說明根據本發明之實施例的實例成像系統200。圖2之電子束工具104可經組態以用於EBI系統100。電子束工具104可為單束裝置或多束裝置。如圖2中所展示,電子束工具104可包括機動樣本載物台201,及由機動載物台201支撐以固持待檢查之晶圓203的晶圓固持器202。電子束工具104進一步包括物鏡總成204、電子偵測器206 (其包括電子感測器表面206a及206b)、物鏡孔徑208、聚光透鏡210、射束限制孔徑212、槍孔徑214、陽極216及陰極218。在一些實施例中,物鏡總成204可包括經修改擺動接物鏡延遲浸潤透鏡(SORIL),其包括磁極片204a、控制電極204b、偏轉器204c及勵磁線圈204d。電子束工具104可另外包括能量色散X射線光譜儀(EDS)偵測器(圖中未繪示)以特性化晶圓203上之材料。
藉由在陽極216與陰極218之間施加加速電壓而自陰極218發射初級電子束220。初級電子束220穿過槍孔徑214及射束限制孔徑212,此兩者可判定進入駐存於射束限制孔徑212下方之聚光透鏡210之電子束的大小。聚光透鏡210在射束進入物鏡孔徑208之前聚焦初級電子束220,以設定電子束在進入物鏡總成204之前的大小。偏轉器204c使初級電子束220偏轉以促進在晶圓上之射束掃描。舉例而言,在掃描製程中,可控制偏轉器204c以在不同時間點使初級電子束220依序偏轉至晶圓203之頂部表面之不同位置上,以提供用於晶圓203之不同部分之影像重建構的資料。此外,亦可控制偏轉器204c以在不同時間點使初級電子束220偏轉至特定位置處之晶圓203之不同側上,以提供用於彼位置處的晶圓結構之立體影像重建構之資料。另外,在一些實施例中,陽極216及陰極218可產生多個初級電子束220,且電子束工具104可包括複數個偏轉器204c以同時將多個初級電子束220投影至晶圓之不同部分/側,以提供用於晶圓203之不同部分的影像重建構之資料。
勵磁線圈204d及磁極片204a產生在磁極片204a之一末端處開始且在磁極片204a之另一末端處終止的磁場。正由初級電子束220掃描之晶圓203之一部分可浸潤於磁場中且可帶電,此又產生電場。該電場減少在初級電子束220與晶圓203碰撞之前使初級電子束220在晶圓203之表面附近撞擊的能量。與磁極片204a電隔離之控制電極204b控制晶圓203上之電場,以防止晶圓203之微拱起且確保適當射束聚焦。
在接收到初級電子束220後,可自晶圓203之部分發射二次電子束222。二次電子束222可在電子偵測器206之感測器表面206a及206b上形成射束點。電子偵測器206可產生表示射束點之強度的信號(例如電壓、電流或其類似者),且將該信號提供至影像處理系統250。二次電子束222及所得射束點之強度可根據晶圓203之外部或內部結構而變化。此外,如上文所論述,初級電子束220可投影至晶圓之頂部表面的不同位置或特定位置處之晶圓之不同側上,以產生不同強度的二次電子束222 (及所得射束點)。因此,藉由將射束點之強度與晶圓203之位置映射,處理系統可重建構反映晶圓203之內部或表面結構之影像。
成像系統200可用於檢查機動樣本載物台201上之晶圓203且包括電子束工具104,如上文所論述。成像系統200亦可包括影像處理系統250,該影像處理系統包括影像獲取器260、儲存器270及控制器109。影像獲取器260可包括一或多個處理器。舉例而言,影像獲取器260可包括電腦、伺服器、大型電腦主機、終端機、個人電腦、任何種類之行動計算器件及其類似者,或其組合。影像獲取器260可經由諸如電導體、光纖纜線、攜帶型儲存媒體、IR、藍牙、網際網路、無線網路、無線電或其組合之媒體與電子束工具104之偵測器206連接。影像獲取器260可自偵測器206接收信號且可建構影像。影像獲取器260可因此獲取晶圓203之影像。影像獲取器260亦可執行各種後處理功能,諸如產生輪廓、疊加指示符於所獲取影像上,及其類似者。影像獲取器260可執行對所獲取影像之亮度及對比度或其類似者之調整。儲存器270可為儲存媒體,諸如硬碟、雲端儲存器、隨機存取記憶體(RAM)、其他類型之電腦可讀記憶體及其類似者。儲存器270可與影像獲取器260耦接,且可用於保存經掃描原始影像資料作為原始影像,及後處理影像。影像獲取器260及儲存器270可連接至控制器109。在一些實施例中,影像獲取器260、儲存器270及控制器109可一起整合為一個控制單元。
在一些實施例中,影像獲取器260可基於自偵測器206接收之成像信號獲取樣本之一或多個影像。成像信號可對應於用於進行帶電粒子成像之掃描操作。所獲取影像可為包括複數個成像區域之單個影像。單個影像可儲存於儲存器270中。單個影像可為可劃分成複數個區之原始影像。該等區中之每一者可包括含有晶圓203之特徵的一個成像區域。
晶圓固持器202與晶圓203之間的相互作用可引起一些問題。舉例而言,電荷可積聚於晶圓203與晶圓固持器202之間,藉此使得較難以自晶圓固持器202移除晶圓203且延遲產出量。藉助於其他實例,已經翹曲之晶圓203不僅可造成產生準確影像的問題而且造成自晶圓固持器202提取晶圓203的問題,藉此減慢EBI系統之產出量。圖3中所描述之系統可偵測到此等問題且在晶圓203之檢查期間即時地進行調整。
圖3為符合本發明之實施例的用於在檢查製程期間控制在高電壓上操作之靜電吸盤(electrostatic chuck,e-chuck)之例示性系統300的說明。系統300可包括靜電吸盤控制系統302、用於固持晶圓304之靜電吸盤306及控制器322。
在一些實施例中,晶圓304可為圖2中之晶圓203,且靜電吸盤306可為圖2中之晶圓固持器202。晶圓304可包括背面膜。背面膜可包括薄介電或其他保護性材料(諸如二氧化矽或氮化物)層。
為了進行晶圓夾持、晶圓偵測及晶圓接地之功能,靜電吸盤控制系統302可包括靜電吸盤驅動器330,該靜電吸盤驅動器用於產生信號以調整供應至電極310A至310B及311A至311B之高電壓。當電化時,電極310A至310B及311A至311B可經由靜電場將晶圓304吸引及緊固至靜電吸盤306。靜電場可實現靜電吸盤306與晶圓304之間的電容耦合以電連接靜電吸盤306與晶圓304。舉例而言,帶正電荷靜電吸盤306可吸引帶負電荷晶圓304,且帶負電荷靜電吸盤306可吸引帶正電荷晶圓304。高電壓供應件可將電壓提供至靜電吸盤控制系統302,其中靜電吸盤驅動器330可調整所供應電壓以饋入實施於靜電吸盤306中之複數個電極310A至310B及311A至311B。舉例而言,靜電吸盤驅動器330可充當高電壓放大器,其可在自控制器322接收到調整組態後調整由高電壓供應件供應之電壓且將經調整電壓遞送至電極310A至310B及311A至311B。
在一些實施例中,用以接觸晶圓304之電極310A至310B及311A至311B之面積可相同。用於電極310A至310B及電極311A至311B之電壓之極性可相同或相反,其中當(例如)晶圓304接地時電極310A至310B及電極311A至311B之電壓之極性可相同。電極310A至310B及311A至311B亦可充當提供關於晶圓306之電容之資訊的電容器。
靜電吸盤驅動器330亦可產生信號以組態接地接腳313以經由接腳312A、312B或313使晶圓304接地,其中靜電吸盤驅動器330電連接至接腳313 (連接未描繪)。接腳312A至312B及313可在接地脈衝產生器360與晶圓304之間電連接。在一些實施例中,接地接腳313 (或高電壓接腳)可壓靠晶圓304之背面膜,而不完全穿透背面膜以使晶圓304偏壓至預定義位準,且接腳312A至312B可觸碰背面膜。
如圖3中所展示,靜電吸盤控制系統302可包括電容量測感測器340及接地電阻量測感測器350。雖然圖3展示感測器340及350在靜電吸盤控制系統302之內部,但應瞭解,感測器340及350可在靜電吸盤控制系統302之外部。電容量測感測器340及接地電阻量測感測器350可偵測或量測與晶圓304與靜電吸盤306之間的界面相關聯之電特性,且將所量測特性提供至控制器322。舉例而言,電特性可包括阻抗、電阻、電容性電抗、導納、電導或電容性電納中之至少一者。電容量測感測器340可量測靜電吸盤306與晶圓304之間的電容且將量測信號(表示經量測特性/值或與經量測特性/值相關聯之指示)提供至控制器322,該控制器可自此等量測信號判定晶圓304是否恰當地擱置於靜電吸盤306上。電極310A至310B及311A至311B可充當提供關於晶圓306之電容之資訊的電容器。若電極310A至310B與311A至311B之間的經量測電容超出預定義範圍,則控制器322可判定晶圓並未良好置放於靜電吸盤306上。舉例而言,可藉由回應於施加至電極310A至310B或311A至311B或接腳312A至312B或313中之一或多者的A/C電壓而監測在此等電極或接腳中之任一者之間流動的電流來監測靜電吸盤306與晶圓304之間的電容。經量測電流之改變高於預定義臨限值可指示晶圓304已弓曲。
接地電阻量測感測器350可電連接至接腳312A或312B。接地信號(其可為例如意欲穿通晶圓304之保護塗層、自接地脈衝產生器360傳輸的電壓脈衝或脈衝集合)可通過接腳313進入晶圓304且通過接腳312A或312B離開。接地電阻量測感測器350可量測第一電崩潰及第二電崩潰之電特性且將量測值提供至控制器322,第一電崩潰通過晶圓304之背面膜在接腳313與晶圓304之間,且第二電崩潰通過背面膜在晶圓304與接腳312A或312B之間。在一些實施例中,可在晶圓載物台上之晶圓304與導體(例如靜電吸盤306或電極310A至310B或311A至311B)之間形成電容性耦合。舉例而言,晶圓載物台上之晶圓304及導體可形成電容器。該電容性耦合可用作用於接地信號之電路徑(「返迴路徑」)。可使用通過接腳313進入之交流電(AC)信號來檢查電容性耦合之電連接性。當AC信號流經且形成電流迴路時,感測器350可將指示電流迴路之信號傳輸至控制器322,且控制器322可判定電容耦合已建立。在一些實施例中,可藉由量測AC信號來驗證接腳313與晶圓304之間的電連接之品質。在一些實施例中,接地電阻量測感測器350可量測接腳313與312A或312B中之任一者之間的電壓,該電壓可用以評估接地之位準。當接腳313與接腳312A或312B之間的電阻低於預定臨限值位準時,晶圓可被認為經充分接地。舉例而言,可藉由回應於施加至電極310A至310B或311A至311B或接腳312A至312B或313中之一或多者的A/C電壓而監測在此等電極或接腳中之任一者之間流動的電流來監測靜電吸盤306與晶圓304之間的電壓。經量測電流之改變低於預定義臨限值可指示晶圓304充分接地。
在一些實施例中,靜電吸盤驅動器330可經組態以自電容量測感測器340及接地電阻感測器350接收量測值,將所接收量測值傳送至控制器322,且自控制器322接收資料(通信)。舉例而言,若靜電吸盤控制系統302包括電容量測感測器340及接地電阻量測感測器350,則靜電吸盤驅動器330可自感測器340及350接收量測信號且將量測信號(表示量測值或與量測值相關聯之指示)提供至控制器322。應瞭解,此僅為組態之一個實例,且任何數目個其他組態係可能的。
控制器322可電連接至靜電吸盤控制系統302且可控制靜電吸盤控制系統302以產生信號。在一些實施例中,控制器322可經實施為圖1至圖2中之控制器109之部分。在一些實施例中,控制器322可經實施為獨立於控制器109之控制器,諸如軟體模組或硬體模組。
控制器322可提供指令(或控制參數)以組態由靜電吸盤驅動器330提供之信號。該等信號可包括以下各者中之至少一者:電壓、電流、電壓或電流之量變曲線、量變曲線之頻率、量變曲線之週期、量變曲線之相位、量變曲線之振幅,或電壓或電流之持續時間。經組態信號可使得靜電吸盤控制系統302能夠在晶圓304之檢查期間藉由使用接腳313來調整提供至電極310A至310B及311A至311B之電壓且使晶圓304接地。控制器322可為即時控制器,其可讀取自感測器340及350接收到之量測值(例如,箝位電壓、靜電吸盤306與晶圓304之間的電容、兩個接地接腳313與312A至312B中之任一者之間的接地電阻)且進行適當調整。舉例而言,若控制器322基於由感測器340提供之量測偵測到晶圓箝位電壓已降至低於預定義臨限值,則控制器322可將控制信號提供至靜電吸盤控制系統302(或靜電吸盤驅動器330)以調整施加至電極310A至310B及311A至311B之電壓以輔助夾持。晶圓箝位電壓之降低可在晶圓304之檢查期間造成嚴重問題。舉例而言,當機動載物台(例如,包含靜電吸盤306之機動樣本載物台201)移動時,晶圓304可與靜電吸盤306拆離,藉此損壞晶圓且將有問題的碎屑添加至檢查環境中。在另一實例中,若控制器322基於來自感測器340之電容量測偵測到晶圓302與靜電吸盤306之間的吸引力減弱,則控制器322可將控制信號提供至靜電吸盤控制系統302(或靜電吸盤驅動器330)以將電壓施加於電極310A至310B及311A至311B上以增加箝位電壓。感測器340可偵測到晶圓304之一些部分可能未充分地觸碰靜電吸盤306彼晶圓304。
控制器322亦可經由電容值改變(例如,通過電極310A至310B及311A至311B)偵測晶圓304是否弓曲抑或翹曲。舉例而言,控制器322可判定經由電極310A至310B之電容性量測與經由電極311A至311B之電容性量測不相同,此可指示晶圓304以某一方式翹曲或弓曲。若判定電容性量測指示晶圓弓曲或翹曲,則控制器322可經由控制信號指示靜電吸盤控制系統302調整至電極310A至310B及311A至311B之施加電壓以增加箝位電壓。
若控制器322基於來自感測器350之接地電阻量測值發現晶圓304並不良好地連接至高電壓(諸如接地電阻減小),則控制器322亦可提供信號以使晶圓304接地。控制器322可判定提供接地信號。接地判定可包含:1)啟用接地脈衝產生器360,其經組態以將一系列高電壓脈衝提供至接腳313、接腳312A或接腳312B,使得在晶圓304之背面膜處發生的介電質崩潰通過背面膜及另一接腳(諸如接腳313)形成電流路徑;2)藉由感測器350量測接腳313、312A或312B中之任一者之間的電壓;及3)藉由控制器322對所量測電壓與預定義電壓進行比較。因此,控制器322可偵測在檢查期間由晶圓304與靜電吸盤306之間的界面所引起的問題,且即時進行調整以解決該等問題。控制器322可進一步向外部系統報告在檢查期間發生的任何誤差。
圖4A為說明符合本發明之實施例的圖3中之例示性系統之實施例的示意圖。圖4A中之系統400A可包括靜電吸盤控制系統402A、靜電吸盤406A、控制器422A及連接靜電吸盤控制系統402A與控制器422A之匯流排426A。靜電吸盤控制系統402A、靜電吸盤406A及控制器422A可分別類似於圖3中之靜電吸盤控制系統302、靜電吸盤306及控制器322起作用。如圖4A中所展示,高電壓供應件可將電壓提供至靜電吸盤控制系統402A及靜電吸盤406A,而控制器422A可不自高電壓供應件接收此(等)電壓。在一些狀況下,匯流排426A可為光纖,且可經組態以在靜電吸盤控制系統402A與控制器422A之間傳送資料。當控制器422A處於低電壓而靜電吸盤控制系統402A處於高電壓時,光纖可用以隔離實施於靜電吸盤控制系統402A及控制器422A中之兩個電路。提供至靜電吸盤控制系統402A及靜電吸盤406A之電壓可與施加於晶圓(例如,圖3中之晶圓304)上之電壓相同或不同。靜電吸盤控制系統402A可基於自控制器422A接收到之通信調整經提供至靜電吸盤之電壓。如上文所解釋,控制器422A可基於自感測器(例如,圖3中之感測器340或感測器350)接收到之量測,藉由將信號傳輸至靜電吸盤控制系統402A以使靜電吸盤控制系統402A能夠進行調整來指示靜電吸盤控制系統402A(例如經由圖3中之驅動器330)增加箝位電壓。舉例而言,所提供之電壓可在0 kV至30 kV之範圍內。此外,提供至靜電吸盤406A之高電壓可保持晶圓與靜電吸盤406A之間的電壓差為低的(例如,1 kV),此可促進且在一些情況下使得能夠避免晶圓弓曲。
圖4B為說明符合本發明之實施例的圖3中之例示性系統之另一實施例的示意圖。圖4B中之系統400B可包括靜電吸盤控制系統402B、靜電吸盤406B、控制器422B,及連接靜電吸盤控制系統402B與控制器422B之匯流排426B。靜電吸盤控制系統402B、靜電吸盤406B及控制器422B可分別類似於圖4A中之靜電吸盤控制系統402A、靜電吸盤406A及控制器422A起作用。如圖4B中所展示,高電壓供應件可將電壓提供至靜電吸盤控制系統402A、靜電吸盤406A及控制器422A。在一些實施例中,匯流排426A可為光纖,且可經組態以在靜電吸盤控制系統402A與控制器422A之間傳送資料。在一些實施例中,匯流排426A可為除光纖之外的通信組件,且可經組態以在靜電吸盤控制系統402A與控制器422A之間傳送資料。
圖5為說明符合本發明之實施例的用於動態調整靜電吸盤(electrostatic chuck,e-chuck)控制系統之參數以用於晶圓檢查之例示性方法500的流程圖。方法500可由可與帶電粒子束裝置(例如EBI系統100)耦接之控制器來執行。舉例而言,控制器可為圖2中之控制器109、圖3中之控制器322、圖4A中之控制器422A,或圖4B中之控制器422B。控制器可經程式化以實施方法500。
在步驟505中,控制器(例如圖2中之控制器109)可提供控制信號以在晶圓檢查期間對機動樣本載物台(例如,圖2中之機動樣本載物台201)解除銜接。機動樣本載物台可包含固持晶圓之靜電吸盤,且靜電吸盤可包含經組態以在晶圓檢查期間影響晶圓與靜電吸盤之間的相互作用之複數個組件。舉例而言,控制信號可包括電壓、電流、電壓或電流之量變曲線、量變曲線之頻率、量變曲線之週期、量變曲線之相位、量變曲線之振幅或電壓或電流之持續時間中之至少一者,且可對機動樣本載物台解除銜接以將晶圓輸送至主腔室(例如,圖1中之主腔室101)以藉由電子束工具(例如圖1中之電子束工具104)處理晶圓檢查製程。舉例而言,機動樣本載物台經組態以移動至預定協調位置以使用電子束(例如,圖2中之電子束220)檢查晶圓。晶圓檢查製程可包含在晶圓被置放於預定座標處之後使用電子束來掃描晶圓以檢查該晶圓。在一些先前技術系統中,對載物台解除銜接會將載物台與高電壓電力供應器斷開連接。有利地,對於當前所揭示實施例,對載物台解除銜接並不將載物台與高電壓電力供應器斷開連接。
在步驟510中,控制器可自第一感測器(例如圖3中之感測器340)接收指示電容之第一資料,該電容係在例如實施於靜電吸盤(electrostatic chuck,E-chuck)中之複數個電極中之一或多者與晶圓之間。可分析第一資料以判定晶圓之弓曲。舉例而言,控制器可基於自電容量測感測器(例如,圖3中之感測器340)接收到之電容量測值藉由比較所接收之電容量測值與預定義電容量測值而判定晶圓傾向於自靜電吸盤釋放。作為另一實例,控制器可基於所接收的指示流經一或多個接腳或電極(例如電極310A至310B、311A至311B、接腳312A至312B、313)之電流的資料判定晶圓傾向於自靜電吸盤釋放,且可基於所接收資料判定晶圓傾向於自靜電吸盤釋放。
在步驟520中,控制器可將控制信號提供至靜電吸盤控制系統(例如,圖3中之靜電吸盤控制系統302)以使得該靜電吸盤控制系統能夠基於第一資料調整施加至實施於靜電吸盤中之電極的電壓,此可指示晶圓弓曲。舉例而言,控制信號可包括電壓、電流、電壓或電流之量變曲線、量變曲線之頻率、量變曲線之週期、量變曲線之相位、量變曲線之振幅或電壓或電流之持續時間中的至少一者,且可使得靜電吸盤控制系統能夠調整提供至電極之電壓。經調整電壓可將晶圓吸引及緊固於吸盤上,且移除晶圓中之弓曲(例如,藉由經由電極310及311補償晶圓)。舉例而言,靜電吸盤中之帶正電荷電極可吸引帶負電荷晶圓,且靜電吸盤中之帶負電荷電極可吸引帶正電荷晶圓。
在步驟530中,控制器可自第二感測器接收晶圓與靜電吸盤之間的連接件之經量測電阻,以判定至晶圓之連接是否為足夠低電阻,此可使得晶圓能夠充分良好地接地。舉例而言,接地電阻量測感測器(例如,圖3中之感測器350)可量測兩個接腳(例如,圖3中之接腳312A與312B、313與312A、313與312B等)之間的電壓且將所量測電壓傳送至控制器。在接收到所量測電壓之後,控制器可比較所接收電壓與預定義電壓以判定對於電阻減小之需要,此可實現晶圓之改良之接地。
在步驟540中,控制器可將控制信號提供至靜電吸盤控制系統以使得靜電吸盤控制系統能夠使晶圓偏壓至預定義電壓位準。舉例而言,控制信號可包括電壓、電流、電壓或電流之量變曲線、量變曲線之頻率、量變曲線之週期、量變曲線之相位、量變曲線之振幅或電壓或電流之持續時間中的至少一者,且可使得靜電吸盤控制系統能夠組態尖銳接腳(例如,圖3中之接腳313)突破晶圓之保護塗層且使晶圓偏壓至預定義電壓位準。當電子束與晶圓相互作用時,電子束可對晶圓充電且使得晶圓不再為電中性的。帶電晶圓可獲得電壓且影響射出電子,此可影響成像品質。因此,為了改良成像,可能有利的是使晶圓適當接地。
在步驟550中,控制器(例如,圖2中之控制器109)可提供控制信號以銜接機動樣本載物台。舉例而言,控制信號可包括電壓、電流、電壓或電流之量變曲線、量變曲線之頻率、量變曲線之週期、量變曲線之相位、量變曲線之振幅或電壓或電流之持續時間中之至少一者,且可在晶圓檢查製程之後銜接機動樣本載物台以將晶圓輸送至裝載/鎖定腔室(例如,圖1中之裝載/鎖定腔室102)。
在以下編號條項中闡明本發明之態樣: 1.           一種經組態以在一晶圓之一檢查製程期間所利用的靜電吸盤控制系統,該靜電吸盤控制系統包含: 一載物台之一靜電吸盤,該載物台經組態以在該檢查製程期間被解除銜接,其中該靜電吸盤包含經組態以在該檢查製程期間影響該晶圓與該靜電吸盤之間的一相互作用之複數個組件; 一第一感測器,其經組態以在該複數個組件中之至少一些與該晶圓之間產生量測資料;及 一控制器,其包括電路系統,該電路系統經組態以接收該量測資料以判定該晶圓相對於該靜電吸盤之特性且產生調整資料,以使得能夠在該載物台被解除銜接時基於該等經判定特性調整該複數個組件中之至少一些。 2.           如條項1之靜電吸盤控制系統,其進一步包含一驅動器,該驅動器以通信方式耦接至該控制器且經組態以將控制信號施加至該複數個組件以使得能夠調整該複數個組件。 3.           如條項1或2之靜電吸盤控制系統,其中該載物台經組態以在該檢查製程期間在該載物台被解除銜接之後移動至一預定位置。 4.           如條項3之靜電吸盤控制系統,其中該載物台經組態以在該檢查製程期間移動至該預定位置以使得一帶電粒子系統能夠掃描該晶圓。 5.           如條項2至4中任一項之靜電吸盤控制系統,其進一步包含一電力供應器,該電力供應器將電力提供至該驅動器及該控制器。 6.           如條項2至5中任一項之靜電吸盤控制系統,其進一步包含一光纖,該光纖連接該驅動器與該控制器且經組態以在該驅動器與該控制器之間傳送資料。 7.           如條項1至6中任一項之靜電吸盤控制系統,其中該控制器包含一即時控制器。 8.           如條項1至7中任一項之靜電吸盤控制系統,其中該複數個組件包括複數個電極,該複數個電極經組態以藉由產生一電場而影響該晶圓與該靜電吸盤之間的該相互作用。 9.           如條項8之靜電吸盤控制系統,其中由該第一感測器產生之該量測資料包含該複數個電極與該晶圓之間的一電容量測資料。 10.        如條項8或9之靜電吸盤控制系統,其中該複數個電極包含一第一電極集合及一第二電極集合,其中該第一電極集合及該第二電極集合經組態以提供資料以判定該晶圓在該檢查製程期間是否弓曲。 11.        如條項1至10中任一項之靜電吸盤控制系統,其中該複數個組件包括複數個接腳,該複數個接腳經組態以藉由將脈衝傳輸至該晶圓而影響該晶圓與該靜電吸盤之間的該相互作用。 12.        如條項11之靜電吸盤控制系統,其進一步包含: 一第二感測器,其經組態以產生對應於該複數個接腳與該晶圓之間的連接件之電阻的電阻量測資料,其中該控制器經進一步組態以接收該電阻量測資料以判定是否產生用於調整該等連接件之該等電阻的調整資料。 13.        如條項11或12之靜電吸盤控制系統,其進一步包含一接地脈衝產生器,該接地脈衝產生器經組態以產生脈衝至該晶圓且自該控制器接收調整資料以使得能夠基於該判定來調整該複數個接腳中之至少一些, 其中該等脈衝係高電壓脈衝。 14.        如條項1至13中任一項之靜電吸盤控制系統,其中該控制器經進一步組態以基於該等所接收量測而將一誤差報告給一外部系統。 15.        一種用於在一晶圓之一檢查製程期間動態調整一靜電吸盤控制系統之參數的方法,該方法包含: 在包含一靜電吸盤之一載物台被解除銜接用於該檢查製程後,接收量測資料,該量測資料係基於該晶圓與實施於該靜電吸盤中之複數個組件之間的相互作用而產生; 基於該量測資料判定該晶圓相對於該靜電吸盤之特性;及 基於該判定,傳輸信號以使得能夠在該載物台被解除銜接時調整該複數個組件中之至少一些。 16.        如條項15之方法,其進一步包含: 接收電阻量測資料以判定是否產生用於調整連接件之電阻之調整資料;其中該電阻量測資料係由一第二感測器產生,該第二感測器經組態以產生對應於該複數個組件與該晶圓之間的連接件之該等電阻的電阻量測資料;及 基於該判定啟用該複數個組件中之該至少一些。 17.        一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一控制器執行以使得該裝置執行用以在耦接至一靜電吸盤之一載物台被解除銜接時動態調整一靜電吸盤控制系統之參數的一方法,該方法包含: 在該載物台被解除銜接用於晶圓檢查時接收量測資料,以判定該晶圓相對於該靜電吸盤之特性,其中該量測資料係基於實施於該靜電吸盤中之複數個第一組件與該晶圓之間的相互作用而產生;及 在該載物台被解除銜接時,基於該等經判定特性調整該複數個第一組件中之至少一些。 18.        如條項17之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該控制器執行以致使該裝置進一步執行以下操作: 接收電阻量測資料以判定是否產生用於調整複數個第二組件與該晶圓之間的連接件之電阻的調整資料,其中該電阻量測資料係基於該複數個第二組件與該晶圓之間的相互作用而產生;及 基於是否產生該調整資料之該判定而調整該複數個第二組件中之至少一些。 19.        一種方法,其包含: 對包含一靜電吸盤之一載物台解除銜接以啟用一晶圓之一檢查製程; 基於該晶圓與實施於該靜電吸盤處之複數個第一組件之間的相互作用而產生量測資料; 基於該所產生之量測資料判定該晶圓相對於該靜電吸盤之特性;及 基於該等經判定特性且藉由該控制器將第一信號提供至該靜電吸盤控制系統,以使得能夠在該載物台被解除銜接時調整該複數個第一組件中之至少一些。 20.        如條項19之方法,其中該晶圓相對於該靜電吸盤之該等特性包含該晶圓之一弓曲。 21.        如條項19或20中任一項之方法,其中該複數個第一組件包括複數個電極,該複數個電極產生一電場以調整該晶圓與該靜電吸盤之間的該相互作用。 22.        如條項19至21中任一項之方法,其中對該載物台解除銜接包含將該載物台移動至一預定協調位置以使用一電子束檢查該晶圓。 23.        如條項19至22中任一項之方法,其進一步包含: 基於複數個第二組件與該晶圓之間的連接件之電阻而產生電阻量測資料; 基於該所產生之電阻量測資料判定是否產生用於調整連接件電阻之調整資料;及 基於該判定,提供第二信號以使得能夠在該載物台被解除銜接時調整該複數個第二組件中之至少一些。 24.        如條項23之方法,其中提供第二信號以使得能夠調整該複數個第二組件中之至少一些進一步包含啟用一接地脈衝產生器以經由該複數個第二組件中之一第一組件而產生脈衝至該晶圓,該第一組件為經組態以影響該晶圓與該靜電吸盤之間的該相互作用之一接腳。 25.        如條項19或24中任一項之方法,其進一步包含將來自一電力供應器之一電力提供至經組態以在該晶圓之該檢查製程期間控制該靜電吸盤之一系統。 26.        如條項19或25中任一項之方法,其進一步包含在該檢查製程之後銜接該載物台。 27.        一種經組態以檢查一晶圓之系統,其包含: 一檢查系統,其經組態以操控一電子束以用於在一檢查製程期間掃描該晶圓; 一載物台,其經組態以在該檢查製程期間被解除銜接; 一靜電吸盤,其耦接至該載物台,其中該靜電吸盤包含經組態以在該檢查製程期間影響該晶圓與該靜電吸盤之間的一相互作用之複數個組件; 一第一感測器,其經組態以在該複數個組件中之至少一些與該晶圓之間產生量測資料;及 一控制器,其包括電路系統,該電路系統經組態以接收該量測資料以判定該晶圓相對於該靜電吸盤之特性且產生調整資料,以使得能夠在該載物台被解除銜接時基於該等經判定特性調整該複數個組件中之至少一些。 28.        如條項27之系統,其進一步包含: 一第二感測器,其經組態以產生對應於該複數個組件中之至少一些與該晶圓之間的連接件之電阻的電阻量測資料,其中該控制器經進一步組態以接收該電阻量測資料以判定是否產生用於調整該等連接件之該等電阻的調整資料。 29.        一種方法,其包含: 在包含一靜電吸盤之一載物台被解除銜接時,在一晶圓之一檢查製程期間提供功率以控制該靜電吸盤; 基於該晶圓與實施於該靜電吸盤中之複數個第一組件之間的相互作用而產生量測資料; 藉由控制器基於該所產生之量測資料判定該晶圓相對於該靜電吸盤之特性; 基於該等經判定特性而提供第一信號以使得能夠調整該複數個第一組件中之至少一些; 基於該等所提供第一信號而調整該複數個第一組件中之該至少一些; 在該調整之後減小該功率;及 在該載物台被解除銜接時繼續調整該複數個第一組件中之至少一些。 30.        如條項29之方法,其進一步包含: 基於複數個第二組件與該晶圓之間的連接件之電阻而產生電阻量測資料; 基於該所產生之電阻量測資料判定是否產生用於調整連接件電阻之調整資料; 基於該等經判定特性,提供第二信號以使得能夠調整該複數個第二組件中之至少一些;及 基於該等所提供第二信號,在該載物台被解除銜接時調整該複數個第二組件中之至少一些。 31.        如條項29或30中任一項之方法,其中在該載物台被解除銜接之後,該載物台移動至一預定位置以使得能夠使用一電子束檢查該晶圓。
可提供非暫時性電腦可讀媒體,其儲存用於處理器(例如,圖1之控制器109、圖3之控制器322、圖4A之控制器422A或圖4B之控制器422B的處理器)進行動態調整靜電吸盤控制系統之參數以用於晶圓檢查、影像處理、資料處理、資料庫管理、圖形顯示、帶電粒子束裝置或另一成像器件之操作、控制晶圓接地、控制晶圓接地位置調整或其類似者的指令。常見形式之非暫時性媒體包括例如:軟碟、可撓性磁碟、硬碟、固態磁碟機、磁帶或任何其他磁性資料儲存媒體;CD-ROM;任何其他光學資料儲存媒體;具有孔圖案之任何實體媒體;RAM、PROM及EPROM、FLASH-EPROM或任何其他快閃記憶體;NVRAM;快取記憶體;暫存器;任何其他記憶體晶片或卡匣;及其網路化版本。
圖中之方塊圖說明根據本發明之各種實施例之系統、方法及電腦硬體或軟體產品之可能實施方案的架構、功能性及操作。就此而言,流程圖或方塊圖中之每一區塊可表示模組、區段、或程式碼之部分,其包括用於實施指定邏輯功能之一或多個可執行指令。應理解,在一些替代實施中,區塊中所指示之功能可不按圖中所提及之次序出現。舉例而言,視所涉及之功能性而定,連續展示的兩個區塊可大體上同時執行或實施,或兩個區塊有時可以相反次序執行。一些區塊亦可省略。亦應理解,方塊圖之每一區塊及該等區塊之組合可藉由執行指定功能或動作的基於專用硬體之系統,或藉由專用硬體及電腦指令之組合來實施。
應瞭解,本發明之實施例不限於已在上文所描述及在隨附圖式中所說明之確切構造,且可在不脫離本發明之範疇的情況下作出各種修改及改變。
100:電子束檢查(EBI)系統 101:主腔室 102:裝載/鎖定腔室 104:電子束工具 106:設備前端模組(EFEM) 106a:第一裝載埠 106b:第二裝載埠 109:控制器 200:成像系統 201:機動載物台 202:晶圓固持器 203:晶圓 204:物鏡總成 204a:磁極片 204b:控制電極 204c:偏轉器 204d:勵磁線圈 206:電子偵測器 206a:電子感測器表面 206b:電子感測器表面 208:物鏡孔徑 210:聚光透鏡 212:射束限制孔徑 214:槍孔徑 216:陽極 218:陰極 220:初級電子束 222:二次電子束 250:影像處理系統 260:影像獲取器 270:儲存器 300:系統 302:靜電吸盤控制系統 304:晶圓 306:靜電吸盤 310A:電極 310B:電極 311A:電極 311B:電極 312A:接腳 312B:接腳 313:接腳 322:控制器 330:靜電吸盤驅動器 340:電容量測感測器 350:接地電阻量測感測器 360:接地脈衝產生器 402A:靜電吸盤控制系統 402B:靜電吸盤控制系統 406A:靜電吸盤 406B:靜電吸盤 422A:控制器 422B:控制器 426A:匯流排 426B:匯流排 500:方法 505:步驟 510:步驟 520:步驟 530:步驟 540:步驟 550:步驟
圖1為說明符合本發明之實施例的實例電子束檢查(EBI)系統之示意圖。
圖2為說明符合本發明之實施例的可為圖1之實例電子束檢查系統之一部分的實例電子束工具之示意圖。
圖3為符合本發明之實施例的用於偵測與晶圓與靜電吸盤之間的界面相關聯之潛在問題且在晶圓之檢查期間即時進行調整的例示性系統之說明。
圖4A為說明符合本發明之實施例的圖3中之例示性系統之實施例的示意圖。
圖4B為說明符合本發明之實施例的圖3中之例示性系統之另一實施例的示意圖。
圖5為說明符合本發明之實施例的用於動態調整靜電吸盤控制系統之參數以用於晶圓檢查之例示性方法的流程圖。
300:系統
302:靜電吸盤控制系統
304:晶圓
306:靜電吸盤
310A:電極
310B:電極
311A:電極
311B:電極
312A:接腳
312B:接腳
313:接腳
322:控制器
330:靜電吸盤驅動器
340:電容量測感測器
350:接地電阻量測感測器
360:接地脈衝產生器

Claims (15)

  1. 一種經組態以在一晶圓之一檢查製程期間所利用的靜電吸盤控制系統,該靜電吸盤控制系統包含: 一載物台之一靜電吸盤,該載物台經組態以在該檢查製程期間被解除銜接,其中該靜電吸盤包含經組態以在該檢查製程期間影響該晶圓與該靜電吸盤之間的一相互作用之複數個組件; 一第一感測器,其經組態以在該複數個組件中之至少一些與該晶圓之間產生量測資料;及 一控制器,其包括電路系統,該電路系統經組態以接收該量測資料以判定該晶圓相對於該靜電吸盤之特性且產生調整資料,以使得能夠在該載物台被解除銜接時基於該等經判定特性調整該複數個組件中之至少一些。
  2. 如請求項1之靜電吸盤控制系統,其進一步包含一驅動器,該驅動器以通信方式耦接至該控制器且經組態以將控制信號施加至該複數個組件以使得能夠調整該複數個組件。
  3. 如請求項1之靜電吸盤控制系統,其中該載物台經組態以在該檢查製程期間在該載物台被解除銜接之後移動至一預定位置。
  4. 如請求項3之靜電吸盤控制系統,其中該載物台經組態以在該檢查製程期間移動至該預定位置以使得一帶電粒子系統能夠掃描該晶圓。
  5. 如請求項2之靜電吸盤控制系統,其進一步包含一電力供應器,該電力供應器將電力提供至該驅動器及該控制器。
  6. 如請求項2之靜電吸盤控制系統,其進一步包含一光纖,該光纖連接該驅動器與該控制器且經組態以在該驅動器與該控制器之間傳送資料。
  7. 如請求項1之靜電吸盤控制系統,其中該控制器包含一即時控制器。
  8. 如請求項1之靜電吸盤控制系統,其中該複數個組件包括複數個電極,該複數個電極經組態以藉由產生一電場而影響該晶圓與該靜電吸盤之間的該相互作用。
  9. 如請求項8之靜電吸盤控制系統,其中由該第一感測器產生之該量測資料包含該複數個電極與該晶圓之間的一電容量測資料。
  10. 如請求項8之靜電吸盤控制系統,其中該複數個電極包含一第一電極集合及一第二電極集合,其中該第一電極集合及該第二電極集合經組態以提供資料以判定該晶圓在該檢查製程期間是否弓曲。
  11. 如請求項1之靜電吸盤控制系統,其中該複數個組件包括複數個接腳,該複數個接腳經組態以藉由將脈衝傳輸至該晶圓而影響該晶圓與該靜電吸盤之間的該相互作用。
  12. 如請求項11之靜電吸盤控制系統,其進一步包含: 一第二感測器,其經組態以產生對應於該複數個接腳與該晶圓之間的連接件之電阻的電阻量測資料,其中該控制器經進一步組態以接收該電阻量測資料以判定是否產生用於調整該等連接件之該等電阻的調整資料。
  13. 如請求項11之靜電吸盤控制系統,其進一步包含一接地脈衝產生器,該接地脈衝產生器經組態以產生脈衝至該晶圓且自該控制器接收調整資料以使得能夠基於該判定來調整該複數個接腳中之至少一些, 其中該等脈衝係高電壓脈衝。
  14. 如請求項1之靜電吸盤控制系統,其中該控制器經進一步組態以基於該等所接收量測而將一誤差報告給一外部系統。
  15. 一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一控制器執行以使得該裝置執行用以在耦接至一靜電吸盤之一載物台被解除銜接時動態調整一靜電吸盤控制系統之參數的一方法,該方法包含: 在該載物台被解除銜接用於晶圓檢查時接收量測資料,以判定該晶圓相對於該靜電吸盤之特性,其中該量測資料係基於實施於該靜電吸盤中之複數個第一組件與該晶圓之間的相互作用而產生;及 在該載物台被解除銜接時,基於該等經判定特性調整該複數個第一組件中之至少一些。
TW110109688A 2020-03-20 2021-03-18 靜電吸盤控制系統及其相關非暫時性電腦可讀媒體 TWI811650B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062992718P 2020-03-20 2020-03-20
US62/992,718 2020-03-20

Publications (2)

Publication Number Publication Date
TW202201449A true TW202201449A (zh) 2022-01-01
TWI811650B TWI811650B (zh) 2023-08-11

Family

ID=75203261

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109688A TWI811650B (zh) 2020-03-20 2021-03-18 靜電吸盤控制系統及其相關非暫時性電腦可讀媒體

Country Status (5)

Country Link
US (1) US20230178406A1 (zh)
KR (1) KR20220143742A (zh)
CN (1) CN115298793A (zh)
TW (1) TWI811650B (zh)
WO (1) WO2021185939A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023110244A1 (en) 2021-12-15 2023-06-22 Asml Netherlands B.V. Charged particle assessment system
EP4213176A1 (en) 2022-01-13 2023-07-19 ASML Netherlands B.V. Charged particle assessment system
WO2023180168A1 (en) * 2022-03-25 2023-09-28 Asml Netherlands B.V. Method of wafer grounding utilizing wafer edge backside coating exclusion area
CN117723850B (zh) * 2024-02-07 2024-04-26 泓浒(苏州)半导体科技有限公司 超真空环境下晶圆转运机械臂的静电检测系统及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
JP4927506B2 (ja) * 2006-11-21 2012-05-09 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線装置の撮像方法
US7952851B2 (en) * 2008-10-31 2011-05-31 Axcelis Technologies, Inc. Wafer grounding method for electrostatic clamps
KR101039584B1 (ko) * 2009-09-02 2011-06-09 주식회사 싸이맥스 스테이지 이동 장치
JP6100672B2 (ja) * 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
WO2017159693A1 (ja) * 2016-03-14 2017-09-21 株式会社ニコン 露光装置及び露光方法、リソグラフィ方法、並びにデバイス製造方法
JP6712939B2 (ja) * 2016-06-20 2020-06-24 東京エレクトロン株式会社 静電容量測定用の測定器、及び、測定器を用いて処理システムにおける搬送位置データを較正する方法
US11842914B2 (en) * 2017-02-23 2023-12-12 Sumitomo Osaka Cement Co., Ltd. Composite sintered body, electrostatic chuck member, and electrostatic chuck device
US10460916B2 (en) * 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
WO2019042905A1 (en) * 2017-08-31 2019-03-07 Asml Netherlands B.V. ELECTRON BEAM INSPECTION TOOL
JP7065124B2 (ja) * 2018-02-06 2022-05-11 株式会社日立ハイテク 半導体装置の製造方法

Also Published As

Publication number Publication date
CN115298793A (zh) 2022-11-04
KR20220143742A (ko) 2022-10-25
WO2021185939A1 (en) 2021-09-23
US20230178406A1 (en) 2023-06-08
TWI811650B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
TWI811650B (zh) 靜電吸盤控制系統及其相關非暫時性電腦可讀媒體
US8421008B2 (en) Pattern check device and pattern check method
FR2791776A1 (fr) Procede et appareil a contraste de tension pour inspecter des semi-conducteurs en utilisant un faisceau de particules a basse tension
TWI759628B (zh) 用於偵測快速充電裝置中時間相依缺陷的設備及方法
TWI733174B (zh) 時間相依缺陷檢測設備
US20220042935A1 (en) Method and apparatus for monitoring beam profile and power
US9177757B2 (en) Charged particle beam apparatus
TW202020921A (zh) 用於裸晶圓檢測之系統及方法
JP7325606B2 (ja) ウェーハ接地のための方法、装置、及びシステム
JP5016799B2 (ja) 荷電粒子ビームを用いた検査装置
TW202410105A (zh) 靜電吸盤控制系統及其相關非暫時性電腦可讀媒體
TW202215479A (zh) 用於檢測設備中之信號電子偵測之系統及方法
TWI838822B (zh) 用於樣本邊緣偵測之方法和系統及用於影像檢測設備之樣本定位
TW202333179A (zh) 帶電粒子檢測系統之晶圓邊緣檢測
WO2023030814A1 (en) Method and system of sample edge detection and sample positioning for image inspection apparatus
JP2003066115A (ja) 半導体装置の故障解析方法および故障解析装置
TW202407741A (zh) 於檢測期間改善影像品質之系統及方法
WO2023036542A1 (en) Sem image enhancement
TW202123293A (zh) 光電演進缺陷檢測
WO2024008309A1 (en) Method for testing a packaging substrate, and apparatus for testing a packaging substrate
CN118176561A (zh) 带电粒子检查系统的晶片边缘检测