KR20220143742A - 웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템 - Google Patents

웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템 Download PDF

Info

Publication number
KR20220143742A
KR20220143742A KR1020227032518A KR20227032518A KR20220143742A KR 20220143742 A KR20220143742 A KR 20220143742A KR 1020227032518 A KR1020227032518 A KR 1020227032518A KR 20227032518 A KR20227032518 A KR 20227032518A KR 20220143742 A KR20220143742 A KR 20220143742A
Authority
KR
South Korea
Prior art keywords
wafer
electrostatic chuck
controller
control system
chuck
Prior art date
Application number
KR1020227032518A
Other languages
English (en)
Inventor
이시앙 왕
쉬빙 리우
잉 루오
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220143742A publication Critical patent/KR20220143742A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20278Motorised movement
    • H01J2237/20285Motorised movement computer-controlled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

웨이퍼의 검사 공정 동안에 이용되도록 구성된 정전 척 제어 시스템이 개시되며, 정전 척 제어 시스템은 검사 공정 동안에 도킹 해제되도록 구성된 스테이지의 정전 척-정전 척은 검사 공정 동안 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 구성 요소를 포함함-, 복수의 구성 요소 중 적어도 일부와 웨이퍼 사이의 측정 데이터를 생성하도록 구성된 제1 센서, 및 정전 척에 대한 웨이퍼의 특성을 결정하기 위해 그리고 스테이지가 도킹 해제되는 동안, 결정된 특성을 기반으로 복수의 구성 요소 중 적어도 일부를 조정하는 것을 가능하게 하도록 조정 데이터를 생성하기 위해 측정 데이터를 수신하도록 구성된 회로를 포함하는 컨트롤러를 포함한다.

Description

웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템
관련 출원에 대한 상호 참조
본 출원은 2020년 3월 20일에 출원된 미국 출원 제62/992,718호의 우선권을 주장하며, 이의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 명세서 내의 설명은 하전 입자 빔 장치의 분야에 관한 것으로, 특히 동적으로 제어되는 정전 척에 관한 것이다.
하전 입자 빔 장치는, 하전 입자 빔 장치에 의하여 생성된 하전 입자 빔에 의한 충돌 시 웨이퍼 기판의 표면으로부터의 이차 전자, 후방 산란 전자, 미러 전자 또는 다른 종류의 전자를 검출함으로써 웨이퍼 기판의 2-차원 이미지를 생성할 수 있다. 다양한 하전 입자 빔 장치는 반도체 산업의 반도체 웨이퍼에, 웨이퍼 처리 (예를 들어, e-빔 다이렉트 라이트(direct write) 리소그래피 시스템), 공정 모니터링 (예를 들어, 임계 치수 주사 전자 현미경(CD-SEM)), 웨이퍼 검사 (예를 들어, e-빔 검사 시스템), 결함 분석 (예를 들어, 결함 검토 SEM 또는 DR-SEM 및 집속 이온 빔 시스템 또는 FIB) 등과 같은 다양한 목적을 위하여 사용된다. 웨이퍼 검사 동안에, 웨이퍼는 정전 척(e-척) 상에 배치된다. e-척 상에 웨이퍼를 배치하는 것은 e-척에 구현된 복수의 전극과 웨이퍼 사이에 인력을 생성할 수 있다. e-척과 웨이퍼 사이의 끌어당김은 복수의 전극에 고전압을 인가하여 대전된 웨이퍼를 끌어당기고 고정함으로써 달성될 수 있다. 더욱이, e-척은 e-척에 구현된 핀을 사용함으로써 웨이퍼를 접지할 수 있으며, 이는 웨이퍼를 미리 규정된 전압 레벨로 바이어스시킬 수 있다. 다만, 위의 기능을 수행하는 e-척의 능력은 e-척의 위치에 의하여 제한될 수 있다.
본 발명의 실시예는 다중-빔 검사 장치, 보다 특히 개선된 정전 척 제어 시스템을 포함하는 단일-빔 또는 다중-빔 검사 시스템을 제공한다. 일부 실시예에서, 검사 시스템은 복수의 전극-복수의 전극은 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성됨-을 포함하는 정전 척, 복수의 전극과 웨이퍼 사이를 측정하도록 구성된 제1 센서, 제1 센서로부터의 측정치를 캡처하고 복수의 전극에 전압을 인가하도록 구성된 드라이버, 및 웨이퍼의 검사 동안 웨이퍼가 뒤틀려졌는지 여부를 결정하기 위하여 그리고 결정을 기반으로 복수의 전극에 인가되는 전압을 조정하기 위하여 드라이버로부터의 캡처된 측정치를 수신하도록 구성된 컨트롤러를 포함한다.
일부 실시예에서, 웨이퍼 검사를 위한 정전 척 제어 시스템의 매개변수를 동적으로 조정하기 위한 방법이 제공된다. 본 방법은 웨이퍼의 휨을 결정하기 위해 제1 센서로부터 정전 척의 복수의 전극 -복수의 전극은 고전압이 공급될 때 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성됨-과 웨이퍼 사이의 측정된 커패시턴스를 수신하는 것, 및 웨이퍼의 검사 동안 결정을 기반으로, 복수의 전극에 공급되는 고전압을 조정하기 위해 정전 척을 제어하도록 구성된 정전 척 제어 시스템에 제1 제어 신호를 제공하는 것을 포함한다.
일부 실시예에서, 장치가 웨이퍼 검사 동안 매개변수를 동적으로 조정하기 위한 방법을 수행하게 하도록 프로세서에 의해 방법을 실행하기 위한 명령을 저장하는 비일시적 컴퓨터 판독 가능한 매체가 제공된다. 본 방법은 웨이퍼의 휨을 결정하기 위해 제1 센서로부터 정전 척의 복수의 전극 -복수의 전극은 고전압이 공급될 때 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성됨-과 웨이퍼 사이의 측정된 커패시턴스를 수신하는 것, 및 웨이퍼의 검사 동안 결정을 기반으로, 복수의 전극에 공급되는 고전압을 조정하기 위해 정전 척을 제어하도록 구성된 정전 척 제어 시스템에 제1 제어 신호를 제공하는 것을 포함한다.
도 1은 본 발명의 실시예와 일치하는, 예시적인 전자 빔 검사(EBI) 시스템을 도시하는 개략도이다.
도 2는 도 1의 예시적인 전자 빔 검사 시스템의 일부일 수 있는, 본 발명의 실시예와 일치하는 예시적인 전자 빔 툴을 예시하는 개략도이다.
도 3은 본 발명의 실시예와 일치하는, 웨이퍼와 정전 척 사이의 인터페이스와 연관된 잠재적인 문제를 검출하고 웨이퍼 검사 동안 실시간으로 조정을 수행하기 위한 예시적인 시스템의 도면이다.
도 4a는 본 발명의 실시예와 일치하는, 도 3의 예시적인 시스템의 실시예를 도시하는 개략도이다.
도 4b는 본 발명의 실시예와 일치하는, 도 3의 예시적인 시스템의 또 다른 실시예를 도시하는 개략도이다.
도 5는 본 발명의 실시예와 일치하는, 웨이퍼 검사를 위한 정전 척 제어 시스템의 매개변수를 동적으로 조정하기 위한 예시적인 방법을 도시하는 흐름도이다.
이제 예시적인 실시예들에 대한 참조가 상세하게 이루어질 것이며, 이들의 예가 첨부 도면에 도시되어 있다. 다음 설명은, 달리 표현되지 않는 한 다른 도면에서 동일한 번호가 동일하거나 유사한 요소를 나타내는 첨부 도면을 참조한다. 예시적인 실시예의 다음 설명에서 제시된 구현 형태는 본 발명과 일치하는 모든 구현 형태를 나타내지는 않는다. 대신, 이들은 첨부된 청구범위에 인용된 주제와 관련된 양태와 일치하는 장치 및 방법의 예일 뿐이다. 예를 들어, 전자 빔을 이용하는 것의 문맥에서 일부 실시예가 설명되지만, 본 발명은 이렇게 제한되지 않는다. 다른 유형의 하전 입자 빔이 유사하게 적용될 수 있다. 더욱이, 광학 이미징, 광자 검출, x-선 검출, 등과 같은 다른 이미징 시스템이 사용될 수 있다.
전자 디바이스는 기판으로 불리는 실리콘 한 장에 형성된 회로로 구성된다. 많은 회로가 동일한 실리콘 조각에 함께 형성될 수 있으며 집적 회로 또는 IC로 불린다. 더 많은 회로가 기판에 맞을 수 있도록 이 회로들의 크기는 극적으로 감소되었다. 예를 들어, 스마트폰 내의 IC 칩은 엄지손톱만큼 적을 수 있지만 20억 개 이상의 트랜지스터를 포함할 수 있으며, 각 트랜지스터의 크기는 사람의 머리카락의 크기의 1/1,000보다 작다.
이 매우 작은 IC를 만드는 것은 흔히 수백 개의 개별 단계를 포함하는, 복잡하고 시간 소모적이며 값비싼 공정이다. 한 단계에서라도의 오류는 완성된 IC에 결함을 야기하는 가능성을 갖고 있으며, 이는 IC를 쓸모없게 만든다. 따라서 제조 공정의 하나의 목표는 이러한 결함을 방지하여 공정에서 만들어지는 기능적인 IC의 수를 최대화하는 것, 즉 공정의 전체 수율을 개선하는 것이다.
수율 향상의 한 요소는 칩 제조 공정을 모니터링하여 공정이 충분한 수의 기능적 집적 회로를 생산하고 있는 것을 보장하는 것이다. 공정을 모니터링하는 한 가지 방법은 다양한 형성 단계에서 칩 회로 구조체를 검사하는 것이다. 검사는 주사 전자 현미경(SEM)을 이용하여 수행될 수 있다. 이 극도로 작은 구조체를 이미지화하기 위하여 SEM이 사용될 수 있어, 사실상 구조체의 "사진(picture)"을 촬영한다. 이미지는 구조체가 적절하게 형성되었는지를 그리고 또한 적절한 위치에 형성되었는지를 결정하기 위해 사용될 수 있다. 구조체에 결함이 있다면, 그 후 공정은 조정될 수 있으며, 따라서 결함은 다시 발생할 가능성이 적다.
SEM의 작용 원리는 카메라와 유사하다. 카메라는 사람 또는 대상물에서 반사 또는 방출되는 광의 밝기 및 색상을 받아들이고 기록함으로써 사진을 촬영한다. SEM은 구조체에서 반사 또는 방출되는 전자의 에너지를 받아들이고 기록함으로써 "사진"을 촬영한다. 이러한 "사진"을 촬영하기 전에, 전자 빔이 구조체 상으로 제공될 수 있으며, 전자가 구조체에서 반사 또는 방출될 때 ("빠져나갈 때"), SEM의 검출기는 이 전자의 에너지 또는 양을 받아들이고 기록하여 이미지를 생성할 수 있다. 이러한 "사진"을 촬영하기 위하여, 일부 SEM은 단일 전자 빔을 이용 ("단일-빔 SEM"으로 지칭됨)하는 반면, 일부 SEM은 웨이퍼의 다수의 "사진"을 촬영하기 위해 다수의 전자 빔을 이용 ("다중-빔 SEM"으로 지칭됨)한다. 다수의 전자 빔을 이용함으로써, SEM은 이 다수의 "사진"을 얻기 위하여 더 많은 전자 빔을 구조체 상으로 제공할 수 있으며, 결과적으로 구조체에서 더 많은 전자가 빠져나간다. 따라서, 검출기는 더 많은 빠져나가는 전자를 동시에 받아들일 수 있으며, 더 높은 효율과 더 빠른 속도로 웨이퍼의 구조체의 이미지를 생성할 수 있다.
전형적으로, 구조체는 이미징을 위하여, 스테이지로 지칭되는 플랫폼 상에 배치된 기판 (예를 들어, 실리콘 기판)에서 만들어진다. 스테이지는 웨이퍼를 유지시키고 이동시키며, 또한 웨이퍼를 스테이지에 고정시키기 위한 정전 척(e-chuck)을 더 포함한다. 일부 e-척은 세 가지 주요 기능: 웨이퍼를 스테이지에 클램핑하는, e-척과 웨이퍼 사이의 커패시턴스 측정을 통해 웨이퍼를 검출하는, 그리고 웨이퍼를 고전압에 접지시키는 기능을 갖고 있다.
이 기능을 수행하기 위해 e-척은 콘택트 타워(contact tower)에 연결될 수 있으며, 이 콘택트 타워는 e-척에 파워를 제공한다. 일부 일반적인 시스템에서 스테이지가 웨이퍼를 로딩하기 위하여 로딩 위치에 있는 동안에만 e-척은 콘택트 타워에 연결된다. 따라서 스테이지가 로딩 위치에서 이동할 때 e-척은 콘택트 타워에서 분리되며, 그에 의하여 e-척이 그의 기능을 수행하기 위하여 필요한 파워를 갖는 것을 막는다. 그 결과, SEM은 검사 동안에 e-척과 웨이퍼 간의 상호 작용으로 인해 발생하는 문제를 검출하고 대응하는 데 어려움을 가질 수 있다.
이 문제를 극복하기 위하여, 일부 일반적인 시스템은 e-척과 파워 공급부 사이에 영구적인 연결을 갖고 있다. 그러나, e-척과 웨이퍼 사이의 큰 전압차로 인해 e-척과 웨이퍼 사이의 아크(arcing)가 발생할 수 있음에 따라 이 유형의 연결은 검사 공정 중에 e-척이 손상되는 것으로 이어질 수 있다. 예를 들어 검사 동안에 웨이퍼가 고전압 (예를 들어, 30㎸)에 연결되고 e-척에 더 낮은 전압(예를 들어, 1㎸)이 공급되면 아크가 발생할 수 있다.
본 발명의 일부 실시예는 웨이퍼 검사 동안 e-척에 공급되는 파워를 제어하기 위한 개선된 회로를 제공한다. 회로는 고전압 공급기로부터의 전압(들)을 조정할 수 있고 조정된 전압(들)을 e-척에 제공할 수 있는 증폭기를 포함할 수 있다. e-척에는 고전압이 공급되기 때문에 e-척과 웨이퍼 사이의 전압 차이가 낮으며, 그에 의하여 아크의 위험이 줄어든다.
회로는 또한 컨트롤러로부터 신호를 수신하도록 구성될 수 있다. 컨트롤러는 측정치 (예를 들어, 클램핑 전압, e-척과 웨이퍼 사이의 커패시턴스, e-척에 구현된 2개의 핀 사이의 접지 저항)를 점검하도록 회로에 신호를 제공함으로써 조정을 수행하도록 구성될 수 있다. 예를 들어, 수신된 측정치를 기반으로, 컨트롤러가 클램핑 전압이 미리 규정된 임계값 아래로 떨어졌다고 판단하면, 오류를 보고할 수 있거나 신호를 회로에 제공함으로써 클램핑 전압을 높이려고 할 수 있다. 추가 예로서, 수신된 측정치를 기반으로, 컨트롤러는 웨이퍼의 휘어짐이 발생 (뒤틀린 웨이퍼를 야기)될 수 있다는 것을 결정할 수 있으며 또한 휘어짐을 최소화하기 위해 특정 클램핑 전압을 조정할 수 있다. 더욱이, 웨이퍼가 잘 접지되지 않을 수 있다는 것을 나타내는 수신된 측정치를 기반으로, 컨트롤러는 회로에 신호를 제공하여 웨이퍼가 고전압에 연결되게 할 수 있다. 회로의 매개변수를 동적으로 조정함으로써 SEM은 잠재적인 문제를 검출할 수 있고 실시간으로 조정할 수 있으며, 따라서 SEM의 신뢰성을 크게 향상시킨다.
도면에서의 구성 요소의 상대적 치수는 명확함을 위하여 과장될 수 있다. 다음의 도면의 설명에서, 동일 또는 유사한 참조 번호는 동일 또는 유사한 구성 요소 또는 개체를 나타내며, 개별 실시예들에 대한 차이점만이 설명된다.
본 명세서에서 사용된 바와 같이, 달리 구체적으로 언급되지 않는 한, 용어 "또는"은 실현 불가능한 경우를 제외하고 가능한 모든 조합을 포함하고 있다. 예를 들어, 구성 요소가 A 또는 B를 포함할 수 있다는 점이 명시되어 있는 경우, 달리 구체적으로 명시되거나 실행 불가능하지 않는 한, 구성 요소는 A 또는 B, 또는 A와 B를 포함할 수 있다. 제2 예로서, 구성 요소가 A, B 또는 C를 포함할 수 있다는 점이 명시되어 있는 경우, 달리 구체적으로 명시되거나 실행 불가능하지 않는 한, 구성 요소는 A, 또는 B, 또는 C, 또는 A와 B, 또는 A와 C, 또는 B와 C, 또는 A와 B와 C를 포함할 수 있다.
도 1은 본 발명의 일부 실시예와 일치하는 예시적인 전자 빔 검사(EBI) 시스템(100)을 도시하고 있다. EBI 시스템(100)은 이미징을 위하여 사용될 수 있다. 도 1에서 보여지는 바와 같이, EBI 시스템(100)은 주 챔버(101), 로드/록(load/lock) 챔버(120), 전자 빔 툴(104) 및 장비 프론트 엔드 모듈(equipment front end module)(EFEM)(106)을 포함하고 있다. 전자 빔 툴(100)은 주 챔버(101) 내에 위치되어 있다. EFEM(106)은 제1 로딩 포트(loading port)(106a) 및 제2 로딩 포트(30b)를 포함하고 있다. EFEM(106)은 부가적인 로딩 포트(들)를 포함할 수 있다. 제1 로딩 포트(106a)와 제2 로딩 포트(106b)는 검사될 웨이퍼 (예를 들어, 반도체 웨이퍼 또는 다른 재료(들)로 만들어진 웨이퍼) 또는 샘플 (웨이퍼와 샘플은 교체 사용될 수 있다)을 담고 있는 웨이퍼 전방 개구 통합 포드(front opening unified pod)(FOUP)를 수용할 수 있다. "로트(lot)"는 배치(batch)로 처리하기 위하여 로딩될 수 있는 복수의 웨이퍼이다.
EFEM(1060) 내의 하나 이상의 로봇 아암(robotic arm)(보이지 않음)은 웨이퍼를 로드/록 챔버(102)로 이송할 수 있다. 로드/록 챔버(102)는 대기압 아래의 제1 압력에 도달하도록 로드/록 챔버(102) 내의 가스 분자를 제거하는 로드/록 진공 펌프 시스템 (보이지 않음)에 연결되어 있다. 제1 압력에 도달한 후, 하나 이상의 로봇 아암 (보이지 않음)은 웨이퍼를 로드/록 챔버(102)로부터 주 챔버(101)로 이송할 수 있다. 주 챔버(101)는 주 챔버 진공 펌프 시스템 (보이지 않음)에 연결되어 있으며, 이 펌프 시스템은 제1 압력 아래의 제2 압력에 도달하도록 주 챔버(101) 내의 가스 분자를 제거한다. 제2 압력에 도달한 후, 웨이퍼는 전자 빔 툴(104)에 의해 검사를 받는다. 전자 빔 툴(104)은 단일-빔 시스템 또는 다중-빔 시스템일 수 있다.
컨트롤러(109)는 빔 툴(104)에 전자적으로 연결되어 있다. 컨트롤러(109)는 EBI 시스템(100)의 다양한 제어를 실행하도록 구성된 컴퓨터일 수 있다. 컨트롤러(109)는 도 1에서 주 챔버(101), 로드/록 챔버(102) 및 EFEM(106)을 포함하고 있는 구조체의 외부에 있는 것으로 보여지고 있지만, 컨트롤러(109)는 구조체의 일부일 수 있다는 점이 인식된다.
일부 실시예에서, 컨트롤러(109)는 하나 이상의 프로세서 (보이지 않음)를 포함할 수 있다. 프로세서는 정보를 다루거나 처리할 수 있는 일반적인 또는 특정의 전자 디바이스일 수 있다. 예를 들어, 프로세서는 중앙 처리 유닛 (또는 "CPU"), 그래픽 처리 유닛 (또는 "GPU"), 광학 프로세서, 프로그램 가능한 로직 컨트롤러, 마이크로컨트롤러, 마이크로프로세서, 디지털 신호 프로세서, 지적 재산(IP) 코어, 프로그램 가능한 로직 어레이(PLA), 프로그램 가능한 어레이 로직(PAL), 일반 어레이 로직(GAL), 복합 프로그램 가능한 로직 디바이스(CPLD), 필드-프로그램 가능한 게이트 어레이(FPGA), 시스템 온 칩(SoC), 주문형 집적 회로(ASIC) 및 데이터 처리가 가능한 임의 유형의 회로 중 임의의 수의 임의의 조합을 포함할 수 있다. 프로세서는 또한 네트워크를 통해 연결된 다수의 기계 또는 디바이스에 걸쳐 분산된 하나 이상의 프로세서를 포함하는 가상 프로세서일 수 있다.
일부 실시예에서, 컨트롤러(109)는 하나 이상의 메모리 (보이지 않음)를 더 포함할 수 있다. 메모리는 (예를 들어, 버스를 통해) 프로세서에 의해 액세스 가능한 코드 및 데이터를 저장할 수 있는 일반적인 또는 특정의 전자 디바이스일 수 있다. 예를 들어, 메모리는 랜덤-액세스 메모리(RAM), 독출 전용 메모리(ROM), 광학 디스크, 자기 디스크, 하드 드라이브, 솔리드-스테이트 드라이브, 플래시 드라이브, 보안 디지털(SD) 카드, 메모리 스틱, 콤팩트 플래시(CF) 카드 또는 임의 유형의 저장 디바이스 중 임의의 수의 임의의 조합을 포함할 수 있다. 코드는 운영 체제(OS) 및 특정 작업을 위한 하나 이상의 응용 프로그램 (또는 "앱(apps)")을 포함할 수 있다. 메모리는 또한 네트워크를 통해 연결된 다수의 기계 또는 디바이스에 걸쳐 분산된 하나 이상의 메모리를 포함하는 가상 메모리일 수 있다.
도 2는 본 발명의 실시예에 따른 예시적인 이미징 시스템(200)을 도시하고 있다. 도 2의 전자 빔 툴(104)은 EBI 시스템(100)에서의 사용을 위하여 구성될 수 있다. 전자 빔 툴(104)은 단일 빔 장치 또는 다중-빔 장치일 수 있다. 도 2에서 보여지는 바와 같이, 전자 빔 툴(104)은 전동 샘플 스테이지(201), 및 검사될 웨이퍼(203)를 유지하기 위해 전동 샘플 스테이지(201)에 의해 지지되는 웨이퍼 홀더(202)를 포함하고 있다. 전자 빔 툴(104)은 대물 렌즈 조립체(204), (전자 센서 표면(206a 및 206b)을 포함하는) 전자 검출기(206), 대물 애퍼처(208), 집광 렌즈(210), 빔 제한 애퍼처(212), 건(gun) 애퍼처(214), 애노드(216) 및 캐소드(218)을 더 포함하고 있다. 일부 실시예에서, 대물 렌즈 조립체(204)는 변형된 스윙 대물 지연 침지 렌즈(swing objective retarding immersion lens)(SORIL)를 포함할 수 있으며, 이는 극편(pole piece)(204a), 제어 전극(204b), 편향기(204c) 및 여기 코일(204d)을 포함할 수 있다. 전자 빔 툴(104)은 부가적으로 웨이퍼(203) 상의 재료를 특성화하기 위해 에너지 분산형 X-선 스펙트로미터(EDS) 검출기(보이지 않음)를 포함할 수 있다.
일차 전자 빔(220)은 애노드(216)와 캐소드(218) 사이에 가속 전압을 인가함으로써 캐소드(218)로부터 방출된다. 일차 전자 빔(220)은 건 애퍼처(214) 및 빔 제한 애퍼처(212)를 통과하며, 이 둘 모두는 빔 제한 애퍼처(212) 아래에 있는 집광 렌즈(210)에 들어가는 전자 빔의 크기를 결정할 수 있다. 집광 렌즈(210)는 빔이 대물 애퍼처(208)에 들어가기 전에 일차 전자 빔(200)을 집속하여 대물 렌즈 조립체(204)에 들어가기 전에 전자 빔의 크기를 설정한다. 편향기(204c)는 일차 전자 빔(220)을 편향시켜 웨이퍼 상에서의 빔 스캐닝을 용이하게 한다. 예를 들어, 스캐닝 공정에서, 편향기(204c)는 상이한 시점에서 웨이퍼(203)의 최상부 표면의 상이한 위치들로 일차 전자 빔(220)을 순차적으로 편향시키도록 제어될 수 있어, 웨이퍼(203)의 상이한 부분들에 대한 이미지 재구성을 위하여 데이터를 제공한다. 더욱이, 편향기(204c)는 또한 일차 전자 빔(220)을 특정 위치, 상이한 시점에서 웨이퍼(203)의 상이한 측면 상으로 편향시키도록 제어될 수 있어, 그 위치에서의 웨이퍼 구조체의 스테레오 이미지 재구성을 위하여 데이터를 제공한다. 또한, 일부 실시예에서, 애노드(216)와 캐소드(218)는 다수의 일차 전자 빔(220)을 생성할 수 있으며, 전자 빔 툴(104)은 다수의 편향기(204c)를 포함하여 다수의 일차 전자 빔(220)을 웨이퍼의 상이한 부분들/측면들에 동시에 투영시킬 수 있어, 웨이퍼(203)의 상이한 부분들에 대한 이미지 재구성을 위하여 데이터를 제공한다.
여기 코일(204d) 및 극편(204a)은 극편(204a)의 한 종단에서 시작하여 극편(204a)의 다른 종단에서 끝나는 자기장을 생성한다. 일차 전자 빔(220)에 의해 스캔되는 웨이퍼(203)의 일부는 자기장에 잠길 수 있으며 또한 전기적으로 대전될 수 있으며, 이는 결과적으로 전기장을 생성한다. 전기장은 웨이퍼(203)와 충돌하기 전에 웨이퍼(203)의 표면 근처에서의 충돌하는 일차 전자 빔(220)의 에너지를 감소시킨다. 극편(204a)으로부터 전기적으로 격리된 제어 전극(204b)은 웨이퍼(203) 상의 전기장을 제어하여 웨이퍼(203)의 마이크로-아칭(micro-arching)을 방지하고 적절한 빔 집속을 보장한다.
일차 전자 빔(220)을 받아들이면, 이차 전자 빔(222)이 웨이퍼(203)의 일부로부터 방출될 수 있다. 이차 전자 빔(222)은 전자 검출기(206)의 센서 표면(206a 및 206b) 상에 빔 스폿을 형성할 수 있다. 전자 검출기(206)는 빔 스폿의 세기를 나타내는 신호 (예를 들어, 전압, 전류 등)를 생성할 수 있으며 또한 이 신호를 이미지 처리 시스템(250)에 제공할 수 있다. 이차 전자 빔(222)의 세기 및 결과적인 빔 스폿은 웨이퍼(203)의 외부 또는 내부 구조에 따라 달라질 수 있다. 더욱이, 위에서 논의된 바와 같이, 일차 전자 빔(220)은 특정 위치에서 웨이퍼의 최상부 표면의 상이한 위치들 또는 웨이퍼의 상이한 측면들 상으로 투영되어 상이한 세기의 이차 전자 빔(222) (및 결과적인 빔 스폿)을 생성할 수 있다. 따라서, 빔 스폿의 세기를 웨이퍼(203)의 위치와 매핑(mapping)함으로써, 처리 시스템은 웨이퍼(203)의 내부 또는 표면 구조를 반영하는 이미지를 재구성할 수 있다.
이미징 시스템(200)은 전동 샘플 스테이지(201) 상의 웨이퍼(203)를 검사하기 위해 사용될 수 있으며, 전술한 바와 같이 전자 빔 툴(104)을 포함하고 있다. 이미징 시스템(200)은 또한 이미지 획득기(260), 스토리지(270), 및 컨트롤러(109)를 포함하는 이미지 처리 시스템(250)을 포함할 수 있다. 이미지 획득기(260)는 하나 이상의 프로세서를 포함할 수 있다. 예를 들어, 이미지 획득기(260)는 컴퓨터, 서버, 메인프레임 호스트, 터미널, 개인용 컴퓨터, 임의의 종류의 모바일 컴퓨팅 디바이스 등, 또는 이들의 조합을 포함할 수 있다. 이미지 획득기(260)는 전기 전도체, 광섬유 케이블, 휴대용 저장 매체, IR, 블루투스, 인터넷, 무선 네트워크, 무선 라디오, 또는 이들의 조합과 같은 매체를 통하여 전자 빔 툴(104)의 검출기(244)와 연결될 수 있다. 이미지 획득기(260)는 검출기(206)로부터 신호를 수신할 수 있고 이미지를 구성할 수 있다. 이미지 획득기(260)는 따라서 웨이퍼(203)의 이미지를 획득할 수 있다. 이미지 획득기(260)는 또한 윤곽을 생성하는 것, 획득한 이미지 상에 표시자들을 중첩시키는 것 등과 같은 다양한 후처리 기능을 수행할 수 있다. 이미지 획득기(2260)는 획득된 이미지의 휘도 및 콘트라스트 등의 조정을 수행할 수 있다. 저장부(270)는 하드 디스크, 클라우드 스토리지, 랜덤 액세스 메모리(RAM), 다른 유형의 컴퓨터 판독 가능한 메모리 등과 같은 저장 매체일 수 있다. 저장부(270)는 이미지 획득기와 결합될 수 있으며 스캔된 미가공 이미지 데이터를 원본 이미지로서, 그리고 후처리 이미지를 저장하기 위하여 사용될 수 있다. 이미지 획득기(260) 및 저장부(270)는 컨트롤러(109)에 연결될 수 있다. 일부 실시예에서, 이미지 획득기(260), 저장부(270), 및 컨트롤러(109)는 하나의 제어 유닛으로서 함께 통합될 수 있다.
일부 실시예에서, 이미지 획득기(260)는 검출기(206)로부터 수신된 이미징 신호를 기반으로 샘플의 하나 이상의 이미지를 획득할 수 있다. 이미징 신호는 하전 입자 이미징을 수행하기 위한 스캐닝 작동에 대응할 수 있다. 획득된 이미지는 복수의 이미징 구역을 포함하는 단일 이미지일 수 있다. 단일 이미지는 저장부(270)에 저장될 수 있다. 단일 이미지는 복수의 영역으로 분할될 수 있는 원본 이미지일 수 있다. 영역들의 각각은 웨이퍼(203)의 피처를 포함하는 하나의 이미징 구역을 포함할 수 있다.
웨이퍼 홀더(202)와 웨이퍼(203) 사이의 상호작용은 몇 가지 문제를 야기할 수 있다. 예를 들어, 전하가 웨이퍼(203)와 웨이퍼 홀더(202) 사이에 축적될 수 있으며, 그에 의하여 웨이퍼 홀더(202)로부터 웨이퍼(203)를 제거하는 것을 더 어렵게 만들고 처리량을 지연시킨다. 추가 예로서, 뒤틀린 웨이퍼(203)는 정확한 이미지를 생성하는 것뿐만 아니라 웨이퍼 홀더(202)로부터 웨이퍼(203)를 추출하는 것에 문제를 야기할 수 있으며, 이에 의하여 EBI 시스템의 처리량을 둔화시킨다. 도 3에 도시된 시스템은 이러한 문제를 검출할 수 있고 웨이퍼(203)의 검사 동안 실시간으로 조정할 수 있다.
도 3은 본 발명의 실시예와 일치하는, 검사 공정 동안 고전압에서 작동하는 정전 척(e-척)을 제어하기 위한 예시적인 시스템(300)의 도면이다. 시스템(300)은 e-척 제어 시스템(302), 웨이퍼(304)를 유지시키기 위한 e-척(306), 및 컨트롤러(322)를 포함할 수 있다.
일부 실시예에서, 웨이퍼(304)는 도 2의 웨이퍼(203)일 수 있으며, e-척(306)은 도 2의 웨이퍼 홀더(202)일 수 있다. 웨이퍼(304)는 후면 필름을 포함할 수 있다. 후면 필름은 유전체, 또는 실리콘 산화물 또는 질화물과 같은 다른 보호 재료의 얇은 층을 포함할 수 있다.
웨이퍼 클램핑, 웨이퍼 검출 및 웨이퍼 접지의 기능을 수행하기 위해, e-척 제어 시스템(302)은 신호(들)를 생성하기 위한 e-척 드라이버(330)를 포함하여 전극(310A, 310B 및 311A, 311B)에 공급되는 고전압(들)을 조정할 수 있다. 전극(310A, 310B 및 311A, 311B)은 대전될 때 정전기장을 통해 웨이퍼(304)를 e-척(306)으로 끌어당기고 고정할 수 있다. 정전기장은 e-척(306)과 웨이퍼(304) 사이의 용량성 결합을 가능하게 하여 e-척(306)과 웨이퍼(304)를 전기적으로 연결할 수 있다. 예를 들어, 양으로 대전된 e-척(306)은 음으로 대전된 웨이퍼(304)를 끌어당길 수 있으며, 음으로 대전된 e-척(306)은 양으로 대전된 웨이퍼(304)를 끌어당길 수 있다. 고전압 공급기는 전압(들)을 e-척 제어 시스템(302)에 제공할 수 있으며, e-척 제어 시스템에서 e-척 드라이버(330)는 공급된 전압(들)을 조정하여 e-척(306)에서 구현된 복수의 전극(310A, 310B 및 311A, 311B)에 공급할 수 있다. 예를 들어, e-척 드라이버(330)는, 고전압 공급기에 의해 공급되는 전압을 조정할 수 있고 컨트롤러(322)로부터 조정 구성을 수신하면 조정된 전압(들)을 전극(310A, 310B 및 311A, 311B)에 전달할 수 있는 고전압 증폭기로서의 기능을 할 수 있다.
일부 실시예에서, 웨이퍼(304)와 접촉하기 위해 사용되는 전극(310A, 310B 및 311A, 311B)들의 면적들은 동일할 수 있다. 전극(310A, 310B)과 전극(311A, 311B)에 대한 전압의 극성은 동일하거나 반대일 수 있으며, 여기서 예를 들어 웨이퍼(304)가 접지될 때, 전극(310A, 310B)과 전극(311A, 311B)의 전압 극성은 동일할 수 있다. 전극(310A, 310B 및 311A, 311B)은 또한 웨이퍼(306)의 커패시턴스에 대한 정보를 제공하는 커패시터로서의 기능을 할 수 있다.
e-척 드라이버(330)는 또한 접지 핀(313)을 구성하기 위한 신호를 생성하여 핀(312A, 312B, 또는 313)을 통해 웨이퍼(304)를 접지시킬 수 있으며, 여기서 e-척 드라이버(330)는 핀(313)에 전기적으로 연결된다 (연결은 도시되지 않음). 핀(312A, 312B, 313)은 접지 펄스 생성기(360)와 웨이퍼(304) 사이에서 전기적으로 연결될 수 있다. 일부 실시예에서, 접지 핀(313) (또는 고전압 핀)은 웨이퍼(304)를 미리 규정된 레벨로 바이어스시키기 위해 후면 필름을 완전히 관통하지 않고 웨이퍼(304)의 후면 필름에 대해 가압될 수 있으며, 핀(312A, 312B)은 후면 필름에 접촉할 수 있다.
도 3에서 보여지는 바와 같이, e-척 제어 시스템(302)은 커패시턴스 측정 센서(340) 및 접지 저항 측정 센서(350)를 포함할 수 있다. 도 3은 센서(340 및 350)가 e-척 제어 시스템(302)의 내부에 있다는 것을 보여주고 있지만, 센서(340 및 350)는 e-척 제어 시스템(302)의 외부에 있을 수 있다는 것이 인식된다. 커패시턴스 측정 센서(340)와 접지 저항 측정 센서(350)는 웨이퍼(304)와 e-척(306) 사이의 인터페이스와 연관된 전기적 특성을 검출 또는 측정할 수 있으며 또한 측정된 특성을 컨트롤러(322)에 제공할 수 있다. 예를 들어, 전기적 특성은 임피던스, 저항, 용량성 리액턴스, 어드미턴스(admittance), 컨덕턴스 또는 용량성 서셉턴스(capacitive susceptance) 중 적어도 하나를 포함할 수 있다. 커패시턴스 측정 센서(340)는 e-척(306)과 웨이퍼(304) 사이의 커패시턴스를 측정할 수 있고 또한 (측정된 특성/값 또는 측정된 특성/값과 연관된 표시를 나타내는) 측정 신호를 컨트롤러(322)에 제공할 수 있으며, 컨트롤러는 웨이퍼(304)가 e-척(306) 상에 제대로 안착되었는지 여부를 이 측정 신호로부터 결정할 수 있다. 전극(310A, 310B 및 311A, 311B)은 웨이퍼(306)의 커패시턴스에 대한 정보를 제공하는 커패시터로서의 기능을 할 수 있다. 전극(310A, 310B 및 311A, 311B)들 사이의 측정된 커패시턴스가 미리 규정된 범위 밖에 있다면, 컨트롤러(322)는 웨이퍼가 e-척(306) 상에서 잘 배치되지 않았다는 것을 결정할 수 있다. 예를 들어, 이 전극들 또는 핀들 중 하나 이상에 인가된 A/C 전압에 대한 응답으로써 전극(310A, 310B 또는 311A, 311B)들 또는 핀(312A, 312B 또는 313)들 중 임의의 것 사이에 흐르는 전류를 모니터링함으로써, e-척(306)과 웨이퍼(304) 사이의 커패시턴스는 모니터링될 수 있다. 미리 규정된 임계값을 초과하는 측정된 전류의 변화는 웨이퍼(304)가 휘어졌다는 것을 나타낼 수 있다.
접지 저항 측정 센서(350)는 핀(312A 또는 312B)에 전기적으로 연결될 수 있다. 접지 펄스 생성기(360)로부터 전송된, 예를 들어 웨이퍼(304)의 보호 코팅부를 펀치 스루(punch through)하도록 의도된 전압 펄스 또는 펄스 세트일 수 있는 접지 신호는 핀(313)을 통해 웨이퍼(304)에 들어가고 핀(312A 또는 312B)을 통해 나갈 수 있다. 접지 저항 측정 센서(350)는 제1 전기 절연 파괴 및 제2 전기 절연 파괴의 전기적 특성을 측정할 수 있고 또한 측정치를 컨트롤러(322)에 제공할 수 있으며, 제1 전기 절연 파괴는 웨이퍼(304)의 후면 필름을 통하여 핀(313)과 웨이퍼(304) 사이에 있고, 제2 전기 절연 파괴는 후면 필름을 하여 웨이퍼(304)와 핀(312A 또는 312B) 사이에 있다. 일부 실시예에서, 용량성 결합은 웨이퍼(304)와 웨이퍼 스테이지 상의 전도체 (예를 들어, e-척(306) 또는 전극(310A, 310B 또는 311A, 311B)) 사이에 형성될 수 있다. 예를 들어, 웨이퍼(304)와 웨이퍼 스테이지 상의 전도체는 커패시터를 형성할 수 있다. 용량성 결합은 접지 신호에 대한 전기 경로 ("복귀 경로")로 사용될 수 있다. 용량성 결합의 전기적 연결성은 핀(313)을 통해 들어오는 교류(AC) 신호를 사용하여 점검될 수 있다. AC 신호가 전류 루프를 통해 흐르고 전류 루프를 형성할 때, 센서(350)는 전류 루프를 나타내는 신호를 컨트롤러(322)에 전송할 수 있으며 컨트롤러(322)는 용량성 결합이 구축되었다는 것을 결정할 수 있다. 일부 실시예에서, 핀(313)과 웨이퍼(304) 사이의 전기적 연결의 품질은 AC 신호를 측정함으로써 검증될 수 있다. 일부 실시예에서, 접지 저항 측정 센서(350)는 접지의 레벨을 평가하기 위해 사용될 수 있는 핀(313)과 핀(312A 또는 312B) 사이의 전압을 측정할 수 있다. 핀(313)과 핀(312A 또는 312B) 사이의 저항이 예정된 임계 레벨 미만인 경우 웨이퍼는 적절하게 접지된 것으로 간주될 수 있다. 예를 들어, 이 전극들 또는 핀들 중 하나 이상에 인가된 A/C 전압에 대한 응답으로써 전극(310A, 310B 또는 311A, 311B)들 또는 핀(312A, 312B 또는 313)들 중 임의의 것 사이에 흐르는 전류를 모니터링함으로써, e-척(306)과 웨이퍼(304) 사이의 전압은 모니터링될 수 있다. 미리 규정된 임계값 미만의 측정된 전류의 변화는 웨이퍼(304)가 충분히 접지되었다는 것을 나타낼 수 있다.
일부 실시예에서, e-척 드라이버(330)는 커패시턴스 측정 센서(340) 및 접지 저항 센서(350)로부터 측정치를 수신하고, 수신된 측정치를 컨트롤러(322)로 전송하고, 컨트롤러(322)로부터 데이터(통신)를 수신하도록 구성될 수 있다. 예를 들어, e-척 제어 시스템(302)이 커패시턴스 측정 센서(340) 및 접지 저항 측정 센서(350)를 포함하고 있다면, e-척 드라이버(330)는 센서(340 및 350)로부터 측정 신호를 수신할 수 있으며 또한 (측정된 값 또는 측정된 값과 연관된 표시를 나타내는) 측정 신호를 컨트롤러(322)에 제공할 수 있다. 이것은 구성의 하나의 예일 뿐이라는 점 그리고 임의의 수의 다른 구성이 가능하다는 점이 인식된다.
컨트롤러(322)는 e-척 제어 시스템(302)에 전기적으로 연결될 수 있으며 또한 e-척 제어 시스템(302)을 제어하여 신호를 생성할 수 있다. 일부 실시예에서, 컨트롤러(322)는 도 1 및 도 2의 컨트롤러(109)의 일부로서 구현될 수 있다. 일부 실시예에서, 컨트롤러(322)는 소프트웨어 모듈 또는 하드웨어 모듈과 같은, 컨트롤러(109)로부터 독립된 컨트롤러로서 구현될 수 있다.
컨트롤러(322)는 명령 (또는 제어 매개변수)을 제공하여 e-척 드라이버(330)에 의해 제공되는 신호를 구성할 수 있다. 신호는 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 기간 중 적어도 하나를 포함할 수 있다. 구성된 신호는 e-척 제어 시스템(302)이 전극(310A, 310B 및 311A, 311B)에 제공된 전압(들)을 조정하는 것을 그리고 웨이퍼(304)의 검사 동안 핀(313)을 이용하여 웨이퍼(304)를 접지시키는 것을 가능하게 할 수 있다. 컨트롤러(322)는 센서(340 및 350)로부터 수신된 측정치 (예를 들어, 클램핑 전압, e-척(306)과 웨이퍼(304) 사이의 커패시턴스, 2개의 접지 핀(313과 312A, 312B 중 하나) 사이의 접지 저항)를 판독할 수 있고 적절한 조정을 수행할 수 있는 실시간 컨트롤러일 수 있다. 예를 들어, 컨트롤러(322)가 센서(340)에 의해 제공된 측정치를 기반으로 웨이퍼 클램핑 전압이 미리 규정된 임계값 아래로 강하되었다는 것을 검출하면, 컨트롤러(322)는 제어 신호를 e-척 제어 시스템(302) (또는 e-척 드라이버(330))에 제공하여 클램핑을 돕기 위해 전극(310A, 310B 및 311A, 311B)에 인가된 전압(들)을 조정할 수 있다. 웨이퍼 클램핑 전압의 강하는 웨이퍼(304)의 검사 동안에 심각한 문제를 야기할 수 있다. 예를 들어, 전동 스테이지 (예를 들어, e-척(306)을 포함하는 전동 샘플 스테이지(201))가 이동하고 있을 때 웨이퍼(304)는 e-척(306)으로부터 분리될 수 있으며, 이에 의하여 웨이퍼를 손상시키고 검사 환경에 문제가 있는 파편을 추가할 수 있다. 또 다른 예에서, 컨트롤러(322)가 센서(340)로부터의 커패시턴스 측정치를 기반으로 웨이퍼(302)와 e-척(306) 사이의 인력이 약해진다는 것을 검출하면, 컨트롤러(322)는 e-척 제어 시스템(302) (또는 e-척 드라이버(330))에 제어 신호를 제공하여 전극(310A, 310B 및 311A, 311B)에 전압(들)을 인가할 수 있어 클램핑 전압을 증가시킨다. 센서(340)는 웨이퍼(304)의 일부분이 웨이퍼(304)와 e-척(306)과 적절하게 접촉하지 않고 있다는 것을 검출할 수 있다.
컨트롤러(322)는 또한 용량성 값 변화를 통해 (예를 들어, 전극(310A, 310B 및 311A, 310B)를 통해) 웨이퍼(304)가 휘어졌는지 또는 뒤틀렸는지 여부를 검출할 수 있다. 예를 들어, 컨트롤러(322)는 전극(310A, 310B)을 통한 용량성 측정치가 전극(311A, 311B)을 통한 용량성 측정치와 동일하지 않다는 것을 결정할 수 있으며, 이는 웨이퍼(304)가 어떤 방식으로 휘어지거나 뒤틀렸다는 것을 나타낼 수 있다. 용량성 측정치가 웨이퍼 휨 또는 뒤틀림을 나타낸다는 것이 결정되면, 컨트롤러(322)는 e-척 제어 시스템(302)에 전극(310A, 310B 및 311A, 310B)에 대한 인가된 전압(들)을 조정할 것을 지시하여 제어 신호를 통해 클램핑 전압을 증가시킬 수 있다.
컨트롤러(322)가 센서(350)로부터의 접지 저항 측정치를 기반으로 웨이퍼(304)가 고전압에 잘 연결되지 않는다는 것 (예를 들어, 접지 저항의 감소)을 찾아내면, 컨트롤러(322)는 또한 신호를 제공하여 웨이퍼(304)를 접지시킬 수 있다. 컨트롤러(322)는 접지 신호를 제공할 것을 결정할 수 있다. 접지 결정은; 1) 웨이퍼(304)의 후면 필름에서 발생하는 절연 파괴가 핀(313)과 같은 다른 핀과 후면 필름을 통해 전류 경로를 형성하도록 핀(313), 핀(312A) 또는 핀(312B)에 일련의 고전압 펄스를 제공하도록 구성된 접지 펄스 생성기(360)를 활성화하는 것, 2) 센서(350)에 의하여 핀(313, 312A, 또는 312B) 중 임의의 것 사이의 전압을 측정하는 것, 및 3) 컨트롤러(322)에 의하여, 측정된 전압을 미리 규정된 전압과 비교하는 것을 포함할 수 있다. 따라서, 컨트롤러(322)는 검사 동안 웨이퍼(304)와 e-척(306) 사이의 인터페이스에서 발생하는 문제를 검출할 수 있으며 실시간으로 문제를 해결하도록 조정을 수행할 수 있다. 컨트롤러(322)는 검사 동안 발생된 임의의 오차를 외부 시스템에 추가로 보고할 수 있다.
도 4a는 본 발명의 실시예와 일치하는, 도 3의 예시적인 시스템의 실시예를 도시하는 개략도이다. 도 4a의 시스템(400A)은 e-척 제어 시스템(402A), e-척(406A), 컨트롤러(422A), 및 e-척 제어 시스템(402A)과 컨트롤러(422A)를 연결하는 버스(426A)를 포함할 수 있다. e-척 제어 시스템(402A), e-척(406A) 및 컨트롤러(422A)는 도 3의 e-척 제어 시스템(302), e-척(306) 및 컨트롤러(322)와 각각 유사하게 기능할 수 있다. 도 4a에서 보여지는 바와 같이, 고전압 공급기는 e-척 제어 시스템(402A) 및 e-척(406A)에 전압(들)을 제공할 수 있는 반면 컨트롤러(422A)는 고전압 공급기로부터 이러한 전압(들)을 받지 않을 수 있다. 일부 경우에, 버스(426A)는 광섬유일 수 있으며 또한 e-척 제어 시스템(402A)과 컨트롤러(422A) 사이에서 데이터를 전송하도록 구성될 수 있다. 컨트롤러(422A)가 저전압인 반면에 e-척 제어 시스템(402A)이 고전압일 때, 광섬유가 사용되어 e-척 제어 시스템(402A)과 컨트롤러(422A)에서 구현된 양 회로를 분리할 수 있다. e-척 제어 시스템(402A)과 e-척(406A)에 제공되는 전압은 웨이퍼 (예를 들어, 도 3의 웨이퍼(304))에 인가된 전압과 동일하거나 상이할 수 있다. e-척 제어 시스템(402A)은 컨트롤러(422A)로부터 수신된 통신을 기반으로 e-척에 제공되는 전압(들)을 조정할 수 있다. 위에서 설명된 바와 같이, 컨트롤러(422A)는 e-척 제어 시스템(402A)으로 신호를 전송함으로써 (예를 들어, 도 3의 드라이버(330)를 통해) e-척 제어 시스템(402A)에 센서 (예를 들어, 도 3의 센서(340) 또는 센서(350))로부터의 수신된 측정치를 기반으로 클램핑 전압을 증가시킬 것을 지시하여 e-척 제어 시스템(402A)이 조정을 수행하는 것을 가능하게 할 수 있다. 예를 들어, 제공된 전압(들)은 0㎸ 내지 30㎸ 범위일 수 있다. 더욱이, e-척(406A)에 제공되는 고전압(들)은 웨이퍼와 e-척(406A) 사이의 전압 차이를 낮게 (예를 들어, 1㎸) 유지할 수 있으며, 이는 웨이퍼 휨의 방지를 용이하게 할 수 있으며, 일부 경우에는 휨의 방지를 가능하게 할 수 있다.
도 4b는 본 발명의 실시예와 일치하는, 도 3의 예시적인 시스템의 또 다른 실시예를 도시하는 개략도이다. 도 4b의 시스템(400B)은 e-척 제어 시스템(402B), e-척(406B), 컨트롤러(422B), 및 e-척 제어 시스템(402B)과 컨트롤러(422B)를 연결하는 버스(426B)를 포함할 수 있다. E-척 제어 시스템(402B), e-척(406B), 및 컨트롤러(422B)는 도 4a의 e-척 제어 시스템(402A), e-척(406A) 및 컨트롤러(422A)와 각각 유사하게 기능할 수 있다. 도 4b에서 보여지는 바와 같이, 고전압 공급기는 e-척 제어 시스템(402A), e-척(406A), 및 컨트롤러(422A)에 전압(들)을 제공할 수 있다. 일부 실시예에서, 버스(426A)는 광섬유일 수 있으며 e-척 제어 시스템(402A)과 컨트롤러(422A) 사이에 데이터를 전송하도록 구성될 수 있다. 일부 실시예에서, 버스(426A)는 광섬유 이외의 통신 구성 요소일 수 있으며 e-척 제어 시스템(402A)과 컨트롤러(422A) 사이에 데이터를 전송하도록 구성될 수 있다.
도 5는 본 발명의 실시예와 일치하는, 웨이퍼 검사를 위한 정전 척 (e-척) 제어 시스템의 매개변수를 동적으로 조정하기 위한 예시적인 방법(500)을 도시하는 흐름도이다. 방법 500은 하전 입자 빔 장치 (예를 들어, EBI 시스템(100))와 결합될 수 있는 컨트롤러에 의해 수행될 수 있다. 예를 들어, 컨트롤러는 도 2의 컨트롤러(109), 도 3의 컨트롤러(322), 도 4a의 컨트롤러(422A), 또는 도 4b의 컨트롤러(422B)일 수 있다. 컨트롤러는 방법 500을 구현하기 위해 프로그램될 수 있다.
단계 505에서, 컨트롤러 (예를 들어, 도 2의 컨트롤러(109))는 웨이퍼 검사 동안 전동 샘플 스테이지 (예를 들어, 도 2의 전동 샘플 스테이지(201))를 도킹 해제(undock)시키기 위해 제어 신호(들)를 제공할 수 있다. 전동 샘플 스테이지는 웨이퍼를 유지시키기는 e-척을 포함할 수 있으며, e-척은 웨이퍼 검사 동안 웨이퍼와 e-척 사이의 상호작용에 영향을 미치도록 구성된 복수의 구성 요소를 포함할 수 있다. 예를 들어, 제어 신호(들)는 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 기간 중 적어도 하나를 포함할 수 있으며, 또한 전동 샘플 스테이지를 도킹 해제하여 웨이퍼를 주 챔버 (예를 들어, 도 1의 주 챔버(101))로 이송시킬 수 있어 전자 빔 툴 (예를 들어, 도 1의 전자 빔 툴(104))에 의한 웨이퍼 검사 공정을 처리한다. 예를 들어, 전동 샘플 스테이지는 예정된 좌표(coordination)로 이동되도록 구성되어 전자 빔 (예를 들어, 도 2의 전자 빔(220))을 이용하여 웨이퍼를 검사할 수 있다. 웨이퍼 검사 공정은 웨이퍼를 스캔하기 위하여 전자 빔을 이용하는 것을 포함하여 웨이퍼가 예정된 좌표에 배치된 후 웨이퍼를 검사할 수 있다. 일부 선행 기술의 시스템에서, 스테이지를 도킹 해제하는 것은 고전압 파워 공급부에서 스테이지를 분리시킨다. 유리하게는, 현재 개시된 실시예에 대하여, 스테이지를 도킹 해제하는 것은 스테이지를 고전압 파워 공급부에서 분리시키지 않는다.
단계 510에서, 컨트롤러는 커패시턴스, 예를 들어 정전 척(e-척) 내에 구현된 복수의 전극 중 하나 이상과 웨이퍼 사이의 커패시턴스를 나타내는 제1 데이터를 제1 센서(예를 들어, 도 3의 센서(340))로부터 수신할 수 있다. 제1 데이터는 웨이퍼의 휨(bow)을 결정하기 위해 분석될 수 있다. 예를 들어, 컨트롤러는 수신된 커패시턴스 측정치를 미리 규정된 커패시턴스 측정치와 비교함으로써 커패시턴스 측정 센서 (예를 들어, 도 3의 센서(340))로부터의 수신된 커패시턴스 측정치를 기반으로 웨이퍼는 e-척으로부터 해제되는 경향이 있다는 것을 결정할 수 있다. 또 다른 예로서, 컨트롤러는 하나 이상의 핀 또는 전극 (예를 들어, 전극(310A, 310B, 311A, 311B), 핀(312A, 312B, 313))을 통해 흐르는 전류를 나타내는 수신된 데이터를 기반으로 웨이퍼가 e-척으로부터 해제되는 경향이 있다는 것을 결정할 수 있으며, 또한 수신된 데이터를 기반으로 웨이퍼가 e-척으로부터 해제되는 경향이 있다는 것을 결정할 수 있다.
단계 520에서, 컨트롤러는 제어 신호를 e-척 제어 시스템 (예를 들어, 도 3의 e-척 제어 시스템(302))에 제공하여 e-척 제어 시스템이 웨이퍼가 휘어진 것을 나타낼 수 있는 제1 데이터를 기반으로, e-척에 구현된 전극에 인가된 전압(들)을 조정하는 것을 가능하게 할 수 있다. 예를 들어, 제어 신호는 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 기간 중 적어도 하나를 포함할 수 있으며 또한 e-척 제어 시스템이 전극에 제공되는 전압(들)을 조정하는 것을 가능하게 할 수 있다. 조정된 전압(들)은 척 상의 웨이퍼를 끌어당겨 고정할 수 있으며, (예를 들어, 전극(310 및 311)을 통해 웨이퍼를 보상함으로써) 웨이퍼의 휨을 제거할 수 있다. 예를 들어, e-척 내의 양으로 대전된 전극은 음으로 대전된 웨이퍼를 끌어당길 수 있으며, e-척 내의 음으로 대전된 전극은 양으로 대전된 웨이퍼를 끌어당길 수 있다.
단계 530에서, 컨트롤러는 제2 센서로부터 웨이퍼와 e-척 사이의 연결부의 측정된 저항을 수신하여 웨이퍼에 대한 연결부가 충분히 낮은 저항인지 여부를 결정할 수 있으며, 이 낮은 저항은 웨이퍼가 충분히 잘 접지되는 것을 가능하게 할 수 있다. 예를 들어, 접지 저항 측정 센서 (예를 들어, 도 3의 센서(350))는 2개의 핀 (예를 들어, 도 3의 핀(312A와 312B, 313과 312A, 313과 312B 등)) 사이의 전압을 측정할 수 있으며, 측정된 전압을 컨트롤러에 전송할 수 있다. 측정된 전압을 수신한 후, 컨트롤러는 수신된 전압을 미리 규정된 전압과 비교하여 저항의 감소의 필요성을 결정할 수 있으며, 이는 웨이퍼의 개선된 접지를 가능하게 할 수 있다.
단계 540에서, 컨트롤러는 제어 신호(들)를 e-척 제어 시스템에 제공하여 e-척 제어 시스템이 웨이퍼를 미리 규정된 전압 레벨로 바이어스시키는 것을 가능하게 할 수 있다. 예를 들어, 제어 신호(들)는 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 기간 중 적어도 하나를 포함할 수 있으며, 또한 e-척 제어 시스템이 날카로운 핀 (예를 들어, 도 3의 핀(313))을 구성하는 것을 가능하게 하여 웨이퍼의 보호 코팅부를 뚫을 수 있고 웨이퍼를 미리 규정된 전압 레벨로 바이어스시킬 수 있다. 전자 빔이 웨이퍼와 상호작용할 때, 전자 빔은 웨이퍼를 대전시키고 웨이퍼를 더 이상 전기적으로 중성이 아니게 만들 수 있다. 대전된 웨이퍼는 전압을 획득할 수 있으며 기존 전자에 영향을 미칠 수 있으며, 이는 이미징 품질에 영향을 미칠 수 있다. 따라서, 이미징을 개선하기 위해, 웨이퍼가 적절하게 접지되었다는 것을 보장하는 것이 유리할 수 있다.
단계 550에서, 컨트롤러 (예를 들어, 도 2의 컨트롤러(109))는 전동 샘플 스테이지를 도킹하기 위하여 제어 신호(들)를 제공할 수 있다. 예를 들어, 제어 신호(들)는 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 기간 중 적어도 하나를 포함할 수 있으며, 또한 전동 샘플 스테이지를 도킹시켜 웨이퍼 검사 공정 후에 웨이퍼를 로드/록 챔버 (예를 들어, 도 1의 로드/록 챔버(102))로 이송시킬 수 있다.
본 발명의 양태는 다음의 번호가 부여된 조항에 제시되어 있다:
1. 웨이퍼의 검사 공정 동안에 이용되도록 구성된 정전 척 제어 시스템을 개시하며, 정전 척 제어 시스템은,
검사 공정 동안에 도킹 해제되도록 구성된 스테이지의 정전 척-정전 척은 검사 공정 동안 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 구성 요소를 포함함-;
복수의 구성 요소 중 적어도 일부와 웨이퍼 사이의 측정 데이터를 생성하도록 구성된 제1 센서; 및
정전 척에 대한 웨이퍼의 특성을 결정하기 위해, 그리고 스테이지가 도킹 해제되는 동안, 결정된 특성을 기반으로 복수의 구성 요소 중 적어도 일부를 조정하는 것을 가능하게 하도록 조정 데이터를 생성하기 위해 측정 데이터를 수신하도록 구성된 회로를 포함하는 컨트롤러를 포함한다.
2. 조항 1의 정전 척 제어 시스템은 컨트롤러에 통신적으로 연결되며 복수의 구성 요소를 조정하는 것을 가능하게 하기 위해 제어 신호를 복수의 구성 요소에 인가하도록 구성된 드라이버를 더 포함한다.
3. 조항 1 또는 2의 정전 척 제어 시스템에서, 스테이지는 스테이지가 도킹 해제된 후 검사 공정 동안 예정 위치로 이동되도록 구성된다.
4. 조항 3의 정전 척 제어 시스템에서, 스테이지는 검사 공정 동안 하전 입자 시스템이 웨이퍼를 스캔하는 것을 가능하도록 하기 위해 예정된 위치로 이동되도록 구성된다.
5. 조항 2 내지 4 중 어느 한 조항의 정전 척 제어 시스템은 드라이버 및 컨트롤러에 파워를 제공하는 파워 공급부를 더 포함한다.
6. 조항 2 내지 5 중 어느 한 조항의 정전 척 제어 시스템은 드라이버와 컨트롤러를 연결하며 드라이버와 컨트롤러 사이에 데이터를 전송하도록 구성된 광섬유를 더 포함한다.
7. 조항 1 내지 6 중 어느 한 조항의 정전 척 제어 시스템에서, 컨트롤러는 실시간 컨트롤러를 포함한다.
8. 조항 1 내지 7 중 어느 한 조항의 정전 척 제어 시스템에서, 복수의 구성 요소는 전기장을 생성함으로써 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 전극을 포함한다.
9. 조항 8의 정전 척 제어 시스템에서, 제1 센서에 의해 생성된 측정 데이터는 복수의 전극과 웨이퍼 사이의 커패시턴스 측정 데이터를 포함한다.
10. 조항 8 또는 9의 정전 척 제어 시스템에서, 복수의 전극은 제1 전극 세트 및 제2 전극 세트를 포함하며, 제1 및 제2 전극 세트는 검사 공정 동안 웨이퍼가 휘었는지 여부를 결정하기 위해 데이터를 제공하도록 구성된다.
11. 조항 1 내지 10 중 어느 한 조항의 정전 척 제어 시스템에서, 복수의 구성 요소는 펄스를 웨이퍼에 전송함으로써 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 핀을 포함한다.
12. 조항 11의 정전 척 제어 시스템은 복수의 핀과 웨이퍼 사이의 연결부의 저항에 대응하는 저항 측정 데이터를 생성하도록 구성된 제2 센서를 더 포함하며, 여기서 컨트롤러는 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하기 위해 저항 측정 데이터를 수신하도록 추가로 구성된다.
13. 조항 11 또는 12의 정전 척 제어 시스템은 웨이퍼에 대한 펄스-펄스는 고전압 펄스임-를 생성하도록 그리고 결정을 기반으로 복수의 핀의 적어도 일부분을 조정하는 것을 가능하게 하기 위해 컨트롤러로부터 조정 데이터를 수신하도록 구성된 접지 펄스 생성기를 더 포함한다.
14. 조항 1 내지 13 중 어느 한 조항의 정전 척 제어 시스템에서, 컨트롤러는 수신된 측정치를 기반으로 외부 시스템에 오차를 보고하도록 더 구성된다.
15. 웨이퍼의 검사 공정 동안 정전 척 제어 시스템의 매개변수를 동적으로 조정하는 방법을 개시하며, 본 방법은:
정전 척을 포함하는 스테이지가 검사 공정을 위하여 도킹 해제된 후, 정전 척에 구현된 복수의 구성 요소와 웨이퍼 사이의 상호작용을 기반으로 생성된 측정 데이터를 수신하는 것;
측정 데이터를 기반으로, 정전 척에 대한 웨이퍼의 특성을 결정하는 것; 및
결정을 기반으로, 스테이지가 도킹 해제되는 동안 복수의 구성 요소 중 적어도 일부를 조정하는 것이 가능하도록 신호를 전송하는 것을 포함한다.
16. 조항 15의 방법은,
연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하기 위해 저항 측정 데이터-저항 측정 데이터는 복수의 구성 요소와 웨이퍼 사이의 연결부의 저항에 대응하는 저항 측정 데이터를 생성하도록 구성된 제2 센서에 의해 생성됨-를 수신하는 것; 및
결정을 기반으로 복수의 구성 요소 중 적어도 일부를 가능하게 하는 것을 더 포함한다.
17. 본 발명은, 정전 척에 연결된 스테이지가 도킹 해제되는 동안 정전 척 제어 시스템의 매개변수를 동적으로 조정하기 위하여,
웨이퍼 검사를 위하여 스테이지가 도킹 해제되는 동안, 정전 척에 대한 웨이퍼의 특성을 결정하기 위해 측정 데이터를 수신하는 것-측정 데이터는 정전 척에 구현된 복수의 제1 구성 요소와 웨이퍼 사이의 상호작용을 기반으로 생성됨-; 및
스테이지가 도킹 해제된 동안, 결정된 특성을 기반으로 복수의 제1 구성 요소의 적어도 일부를 조정하는 것을 포함하는 방법을
장치가 수행하도록 장치의 컨트롤러에 의해 실행 가능한 명령어 세트를 저장하는 비일시적 컴퓨터 판독 가능한 매체를 개시한다.
18. 조항 17의 비일시적 컴퓨터 판독 가능한 매체에서, 명령어 세트는 장치가,
복수의 제2 구성 요소와 웨이퍼 사이의 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하기 위해 저항 측정 데이터-저항 측정 데이터는 복수의 제2 구성 요소와 웨이퍼 사이의 상호작용을 기반으로 생성됨-를 수신하는 것; 및
조정 데이터를 생성할지 여부의 결정을 기반으로 복수의 제2 구성 요소 중 적어도 일부를 조정하는 것을 더 수행하도록 하기 위해 장치의 컨트롤러에 의해 실행 가능하다.
19. 본 발명의 방법은:
웨이퍼의 검사 공정을 가능하게 하기 위해 정전 척을 포함하는 스테이지를 도킹 해제하는 것;
정전 척에서 구현된 복수의 제1 구성 요소와 웨이퍼 사이의 상호작용을 기반으로 측정 데이터를 생성하는 것;
생성된 측정 데이터를 기반으로, 정전 척에 대한 웨이퍼의 특성을 결정하는 것; 및
스테이지가 도킹 해제되는 동안 복수의 제1 구성 요소 중 적어도 일부를 조정하는 것이 가능하도록, 결정된 특성을 기반으로 그리고 컨트롤러에 의하여 제1 신호를 정전 척 제어 시스템에 제공하는 것을 포함한다.
20. 조항 19의 방법에서, 정전 척에 대한 웨이퍼의 특성은 웨이퍼의 휘어짐을 포함한다.
21. 조항 19 또는 20의 방법에서, 복수의 제1 구성 요소는 웨이퍼와 정전 척 사이의 상호작용을 조정하기 위해 전기장을 생성하는 복수의 전극을 포함한다.
22. 조항 19 내지 21 중 어느 한 조항의 방법에서, 스테이지를 도킹 해제하는 것은 전자 빔을 사용하여 웨이퍼를 검사하기 위해 스테이지를 예정된 좌표로 이동시키는 것을 포함한다.
21. 조항 19 내지 22 중 어느 한 조항의 방법은:
복수의 제2 구성 요소와 웨이퍼 사이의 연결부의 저항을 기반으로 저항 측정 데이터를 생성하는 것;
생성된 저항 측정 데이터를 기반으로 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하는 것; 및
결정을 기반으로, 스테이지가 도킹 해제되는 동안 복수의 제2 구성 요소 중 적어도 일부를 조정하는 것을 가능하게 하도록 제2 신호를 제공하는 것을 포함한다.
24. 조항 23의 방법에서, 복수의 제2 구성 요소 중 적어도 일부를 조정하는 것을 가능하도록 제2 신호를 제공하는 것은 접지 펄스 생성기가 복수의 제2 구성 요소 중 제1 구성 요소를 통해 웨이퍼에 대한 펄스를 생성하는 것을 가능하게 하는 것을 더 포함하며, 제1 구성 요소는 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 핀이다.
25. 조항 19 또는 24의 방법은 웨이퍼의 검사 공정 동안 정전 척을 제어하도록 구성된 시스템에 파워 공급부로부터 파워를 제공하는 것을 더 포함한다.
26. 조항 19 또는 25의 방법은 검사 공정 후에 스테이지를 도킹하는 것을 더 포함한다.
27. 웨이퍼를 검사하도록 구성된 시스템은:
검사 공정 동안 웨이퍼를 스캐닝하기 위해 전자 빔을 조작하도록 구성된 검사 시스템;
검사 공정 동안에 도킹 해제되도록 구성된 스테이지;
스테이지에 연결된 정전 척-정전 척은 검사 공정 동안 웨이퍼와 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 구성 요소를 포함함-;
복수의 구성 요소 중 적어도 일부와 웨이퍼 사이의 측정 데이터를 생성하도록 구성된 제1 센서; 및
정전 척에 대한 웨이퍼의 특성을 결정하기 위해 측정 데이터를 수신하도록 그리고 스테이지가 도킹 해제되는 동안, 결정된 특성에 기반으로 복수의 구성 요소 중 적어도 일부를 조정하는 것이 가능하게 하기 위해 조정 데이터를 생성하도록 구성된 회로를 포함하는 컨트롤러를 포함한다.
28. 조항 27의 시스템은 복수의 구성 요소 중 적어도 일부와 웨이퍼 사이의 연결부의 저항에 대응하는 저항 측정 데이터를 생성하도록 구성된 제2 센서를 더 포함하며, 컨트롤러는 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하기 위해 저항 측정 데이터를 수신하도록 더 구성된다.
29. 본 발명의 방법은:
정전 척을 포함하는 스테이지가 도킹 해제되는 동안, 웨이퍼의 검사 공정 중에 정전 척을 제어하기 위해 파워를 제공하는 것;
정전 척에 구현된 복수의 제1 구성 요소와 웨이퍼 사이의 상호작용을 기반으로 측정 데이터를 생성하는 것;
생성된 측정 데이터를 기반으로, 정전 척에 대한 웨이퍼의 특성을 컨트롤러에 의하여 결정하는 것;
결정된 특성을 기반으로, 복수의 제1 구성 요소 중 적어도 일부를 조정하는 것을 가능하게 하도록 제1 신호를 제공하는 것;
제공된 제1 신호를 기반으로, 복수의 제1 구성 요소 중 적어도 일부를 조정하는 것;
조정 후에 파워를 감소시키는 것; 및
스테이지가 도킹 해제되는 동안, 복수의 제1 구성 요소 중 적어도 일부를 계속 조정하는 것을 포함한다.
30. 조항 29의 방법은,
복수의 제2 구성 요소와 웨이퍼 사이의 연결부의 저항을 기반으로 저항 측정 데이터를 생성하는 것;
생성된 저항 측정 데이터를 기반으로 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하는 것;
결정된 특성을 기반으로, 복수의 제2 구성 요소 중 적어도 일부를 조정하는 것이 가능하도록 제2 신호를 제공하는 것; 및
제공된 제2 신호를 기반으로, 스테이지가 도킹 해제되는 동안 복수의 제2 구성 요소 중 적어도 일부를 조정하는 것을 더 포함한다.
31. 조항 28 또는 30의 방법에서, 스테이지가 도킹 해제된 후 스테이지는 예정된 위치로 이동되어 전자 빔을 이용한 웨이퍼의 검사를 가능하게 한다.
웨이퍼 검사를 위한 정전 척 제어 시스템의 매개변수를 동적으로 조정하는 것, 이미지 처리, 데이터 처리, 데이터베이스 관리, 그래픽 디스플레이, 하전 입자 빔 장치 또는 또 다른 이미징 디바이스의 작동, 웨이퍼 접지를 제어하는 것, 웨이퍼 접지 위치 조정을 제어하는 것 등을 수행하기 위하여 프로세서 (예를 들어, 도 1의 컨트롤러(109)의 프로세서, 도 3의 컨트롤러(322)의 프로세서, 도 4a의 컨트롤러(422A)의 프로세서, 또는 도 4b의 컨트롤러(422B)의 프로세서)에 대한 명령어를 저장하는 비일시적 컴퓨터 판독 가능한 매체가 제공될 수 있다. 비일시적 매체의 일반적인 형태는, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 솔리드 스테이트 드라이브, 자기 테이프 또는 임의의 다른 자기 데이터 저장 매체, CD-ROM, 임의의 다른 광학 데이터 저장 매체, 구멍의 패턴을 갖는 임의의 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM 또는 임의의 다른 플래시 메모리, NVRAM, 캐시, 레지스터, 임의의 다른 메모리 칩 또는 카트리지, 그리고 이들의 네트워크화된 버전을 포함할 수 있다.
도면의 블록도는 본 발명의 다양한 예시적인 실시예에 따른 시스템, 방법, 및 컴퓨터 하드웨어 또는 소프트웨어 제품의 가능한 구현 형태의 아키텍처, 기능 및 작동을 도시한다. 이와 관련하여, 흐름도 또는 블록도의 각 블록은 모듈, 세그먼트 또는 코드의 일부를 나타낼 수 있으며, 이는 특정 논리 기능을 구현하기 위한 하나 이상의 실행 가능한 명령을 포함한다. 일부 대안적인 구현 형태에서 블록에 표시된 기능은 도면에 표시된 순서와 다르게 발생할 수 있다는 점이 이해되어야 한다. 예를 들어, 연속적으로 보여지는 2개의 블록은 실질적으로 동시에 실행 또는 구현될 수 있거나, 2개의 기능은 때로는 관련된 기능에 따라 역순으로 실행될 수 있다. 일부 블록은 또한 생략될 수 있다. 블록도의 각 블록 및 블록들의 조합은 특정 기능 또는 동작을 수행하는 특수 목적 하드웨어 기반 시스템에 의하여 또는 특수 목적 하드웨어와 컴퓨터 명령의 조합에 의해 구현될 수 있다는 것이 또한 이해되어야 한다.
본 발명의 실시예는 위에서 설명되고 첨부된 도면에 도시된 정확한 구성에 제한되지 않는다는 점 그리고 본 발명의 범위를 벗어나지 않고 다양한 수정 및 변경이 이루어질 수 있다는 점이 인식될 것이다.

Claims (15)

  1. 웨이퍼의 검사 공정 동안에 이용되도록 구성된 정전 척 제어 시스템에 있어서,
    상기 검사 공정 동안에 도킹 해제되도록 구성된 스테이지의 정전 척-상기 정전 척은 상기 검사 공정 동안 상기 웨이퍼와 상기 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 구성 요소를 포함함-;
    상기 복수의 구성 요소 중 적어도 일부와 상기 웨이퍼 사이의 측정 데이터를 생성하도록 구성된 제1 센서; 및
    상기 정전 척에 대한 상기 웨이퍼의 특성을 결정하기 위해, 그리고 상기 스테이지가 도킹 해제되는 동안, 상기 결정된 특성을 기반으로 상기 복수의 구성 요소 중 적어도 일부를 조정하는 것을 가능하게 하도록 조정 데이터를 생성하기 위해 상기 측정 데이터를 수신하도록 구성된 회로를 포함하는 컨트롤러를 포함하는 정전 척 제어 시스템.
  2. 제1항에 있어서, 상기 컨트롤러에 통신적으로 연결되며 상기 복수의 구성 요소를 조정하는 것을 가능하게 하기 위해 제어 신호를 복수의 구성 요소에 인가하도록 구성된 드라이버를 더 포함하는 정전 척 제어 시스템.
  3. 제1항에 있어서, 상기 스테이지는 상기 스테이지가 도킹 해제된 후 검사 공정 동안 예정 위치로 이동되도록 구성된 정전 척 제어 시스템.
  4. 제3항에 있어서, 상기 스테이지는 검사 공정 동안 하전 입자 시스템이 상기 웨이퍼를 스캔하는 것을 가능하도록 하기 위해 예정된 위치로 이동되도록 구성된 정전 척 제어 시스템.
  5. 제2항에 있어서, 상기 드라이버 및 상기 컨트롤러에 파워를 제공하는 파워 공급부를 더 포함하는 정전 척 제어 시스템.
  6. 제2항에 있어서, 상기 드라이버와 상기 컨트롤러를 연결하며 상기 드라이버와 상기 컨트롤러 사이에 데이터를 전송하도록 구성된 광섬유를 더 포함하는 정전 척 제어 시스템.
  7. 제1항에 있어서, 상기 컨트롤러는 실시간 컨트롤러를 포함하는 정전 척 제어 시스템.
  8. 제1항에 있어서, 상기 복수의 구성 요소는 전기장을 생성함으로써 상기 웨이퍼와 상기 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 전극을 포함하는 정전 척 제어 시스템.
  9. 제8항에 있어서, 상기 제1 센서에 의해 생성된 측정 데이터는 상기 복수의 전극과 상기 웨이퍼 사이의 커패시턴스 측정 데이터를 포함하는 정전 척 제어 시스템.
  10. 제8항에 있어서, 상기 복수의 전극은 제1 전극 세트 및 제2 전극 세트를 포함하며, 상기 제1 및 제2 전극 세트는 상기 검사 공정 동안 웨이퍼가 휘어졌는지 여부를 결정하기 위해 데이터를 제공하도록 구성된 정전 척 제어 시스템.
  11. 제1항에 있어서, 상기 복수의 구성 요소는 펄스를 상기 웨이퍼에 전송함으로써 상기 웨이퍼와 상기 정전 척 사이의 상호작용에 영향을 미치도록 구성된 복수의 핀을 포함하는 정전 척 제어 시스템.
  12. 제11항에 있어서, 상기 복수의 핀과 상기 웨이퍼 사이의 연결부의 저항에 대응하는 저항 측정 데이터를 생성하도록 구성된 제2 센서를 더 포함하며, 상기 컨트롤러는 상기 연결부의 저항을 조정하기 위한 조정 데이터를 생성할지 여부를 결정하기 위해 상기 저항 측정 데이터를 수신하도록 추가로 구성된 정전 척 제어 시스템.
  13. 제11항에 있어서, 상기 웨이퍼에 대한 펄스-상기 펄스는 고전압 펄스임-를 생성하도록 그리고 상기 결정을 기반으로 상기 복수의 핀의 적어도 일부분을 조정하는 것을 가능하게 하기 위해 상기 컨트롤러로부터 조정 데이터를 수신하도록 구성된 접지 펄스 생성기를 더 포함하는 정전 척 제어 시스템.
  14. 제1항에 있어서, 상기 컨트롤러는 수신된 측정치를 기반으로 외부 시스템에 오차를 보고하도록 더 구성된 정전 척 제어 시스템.
  15. 정전 척에 연결된 스테이지가 도킹 해제되는 동안 정전 척 제어 시스템의 매개변수를 동적으로 조정하기 위하여,
    웨이퍼 검사를 위하여 상기 스테이지가 도킹 해제되는 동안, 상기 정전 척에 대한 상기 웨이퍼의 특성을 결정하기 위해 측정 데이터를 수신하는 것-상기 측정 데이터는 상기 정전 척에 구현된 복수의 제1 구성 요소와 상기 웨이퍼 사이의 상호작용을 기반으로 생성됨-; 및
    상기 스테이지가 도킹 해제된 동안, 상기 결정된 특성을 기반으로 상기 복수의 제1 구성 요소의 적어도 일부를 조정하는 것을 포함하는 방법을
    장치가 수행하게 하도록 장치의 컨트롤러에 의해 실행 가능한 명령어 세트를 저장하는 비일시적 컴퓨터 판독 가능한 매체.

KR1020227032518A 2020-03-20 2021-03-18 웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템 KR20220143742A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062992718P 2020-03-20 2020-03-20
US62/992,718 2020-03-20
PCT/EP2021/056890 WO2021185939A1 (en) 2020-03-20 2021-03-18 Method, apparatus, and system for dynamically controlling an electrostatic chuck during an inspection of wafer

Publications (1)

Publication Number Publication Date
KR20220143742A true KR20220143742A (ko) 2022-10-25

Family

ID=75203261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227032518A KR20220143742A (ko) 2020-03-20 2021-03-18 웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템

Country Status (5)

Country Link
US (1) US20230178406A1 (ko)
KR (1) KR20220143742A (ko)
CN (1) CN115298793A (ko)
TW (1) TWI811650B (ko)
WO (1) WO2021185939A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023110244A1 (en) 2021-12-15 2023-06-22 Asml Netherlands B.V. Charged particle assessment system
EP4213176A1 (en) 2022-01-13 2023-07-19 ASML Netherlands B.V. Charged particle assessment system
WO2023180168A1 (en) * 2022-03-25 2023-09-28 Asml Netherlands B.V. Method of wafer grounding utilizing wafer edge backside coating exclusion area
CN117723850B (zh) * 2024-02-07 2024-04-26 泓浒(苏州)半导体科技有限公司 超真空环境下晶圆转运机械臂的静电检测系统及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
JP4927506B2 (ja) * 2006-11-21 2012-05-09 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線装置の撮像方法
US7952851B2 (en) * 2008-10-31 2011-05-31 Axcelis Technologies, Inc. Wafer grounding method for electrostatic clamps
KR101039584B1 (ko) * 2009-09-02 2011-06-09 주식회사 싸이맥스 스테이지 이동 장치
JP6100672B2 (ja) * 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
WO2017159693A1 (ja) * 2016-03-14 2017-09-21 株式会社ニコン 露光装置及び露光方法、リソグラフィ方法、並びにデバイス製造方法
JP6712939B2 (ja) * 2016-06-20 2020-06-24 東京エレクトロン株式会社 静電容量測定用の測定器、及び、測定器を用いて処理システムにおける搬送位置データを較正する方法
US11842914B2 (en) * 2017-02-23 2023-12-12 Sumitomo Osaka Cement Co., Ltd. Composite sintered body, electrostatic chuck member, and electrostatic chuck device
US10460916B2 (en) * 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
WO2019042905A1 (en) * 2017-08-31 2019-03-07 Asml Netherlands B.V. ELECTRON BEAM INSPECTION TOOL
JP7065124B2 (ja) * 2018-02-06 2022-05-11 株式会社日立ハイテク 半導体装置の製造方法

Also Published As

Publication number Publication date
CN115298793A (zh) 2022-11-04
WO2021185939A1 (en) 2021-09-23
US20230178406A1 (en) 2023-06-08
TW202201449A (zh) 2022-01-01
TWI811650B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
KR20220143742A (ko) 웨이퍼의 검사 동안 정전 척을 동적으로 제어하기 위한 방법, 장치 및 시스템
JP2019505089A (ja) 領域適応的欠陥検出を行うシステムおよび方法
TWI759628B (zh) 用於偵測快速充電裝置中時間相依缺陷的設備及方法
US20220042935A1 (en) Method and apparatus for monitoring beam profile and power
TWI733174B (zh) 時間相依缺陷檢測設備
US9177757B2 (en) Charged particle beam apparatus
TW202020921A (zh) 用於裸晶圓檢測之系統及方法
US20220277926A1 (en) Method, apparatus, and system for wafer grounding
TW202410105A (zh) 靜電吸盤控制系統及其相關非暫時性電腦可讀媒體
US20240055221A1 (en) Dual-use read-out circuitry in charged particle detection system
TWI834015B (zh) 帶電粒子多射束系統及相關的非暫時性電腦可讀媒體
JP7488898B2 (ja) 荷電粒子検査システムのビーム電流調整
TW202333179A (zh) 帶電粒子檢測系統之晶圓邊緣檢測
EP4148765A1 (en) Sem image enhancement
WO2024061632A1 (en) System and method for image resolution characterization
WO2023208496A1 (en) System and method for improving image quality during inspection
CN118176561A (zh) 带电粒子检查系统的晶片边缘检测
WO2024008309A1 (en) Method for testing a packaging substrate, and apparatus for testing a packaging substrate
KR20240050355A (ko) 이미지 검사 장치를 위한 샘플 에지 검출 및 샘플 위치설정 방법 및 시스템
WO2023001480A1 (en) System and apparatus for stabilizing electron sources in charged particle systems
TW202414489A (zh) 使用帶電粒子檢測設備進行疊對量測的方法及系統
KR20220137991A (ko) 하전 입자 시스템에서 하이 스루풋 결함 검사를 위한 시스템 및 방법