TW202133301A - 多區靜電吸盤 - Google Patents

多區靜電吸盤 Download PDF

Info

Publication number
TW202133301A
TW202133301A TW109144604A TW109144604A TW202133301A TW 202133301 A TW202133301 A TW 202133301A TW 109144604 A TW109144604 A TW 109144604A TW 109144604 A TW109144604 A TW 109144604A TW 202133301 A TW202133301 A TW 202133301A
Authority
TW
Taiwan
Prior art keywords
conductive mesh
conductive
mesh
substrate support
platform
Prior art date
Application number
TW109144604A
Other languages
English (en)
Other versions
TWI797519B (zh
Inventor
瑪杜桑托什庫馬爾 穆迪亞拉
山傑 卡瑪斯
迪尼斯 帕奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202133301A publication Critical patent/TW202133301A/zh
Application granted granted Critical
Publication of TWI797519B publication Critical patent/TWI797519B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Pencils And Projecting And Retracting Systems Therefor, And Multi-System Writing Instruments (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

示例性的半導體處理室可包含底座,底座包含平臺,平臺被配置為在平臺表面上支撐半導體基板。腔室可包括第一導電網,第一導電網併入在平臺內並且構造成用作第一吸附網。第一導電網可以沿平臺徑向延伸。腔室可包括第二導電網,第二導電網併入在平臺內並且構造成用作第二吸附網。第二導電網的特徵可在於環形。第二導電網可設置在第一導電網和平臺表面之間。

Description

多區靜電吸盤
本發明科技係關於半導體處理與腔室部件。更特定而言,本發明科技係關於腔室部件和處理方法。
藉由在基板表面上產生具有錯綜複雜圖案的材料層,而使積體電路的製造成為可能。在基板上產生圖案化材料需要受控的形成和去除暴露材料的方法。隨著元件尺寸的不斷縮小,沉積的材料可能會在基板上施加應力,這可能會導致基板翹曲。在隨後的沉積操作中,晶圓翹曲可能會影響整個基板支座的接觸,從而影響加熱。整個基板的加熱分佈不均勻,會影響後續的沉積操作,從而導致整個基板表面的沉積不均勻。
因此需要改良的系統與方法,以產生高品質的元件與結構。本發明科技解決了這些與其他的需求。
示例性的半導體處理室可包含底座,底座包含平臺,平臺被配置為在平臺表面上支撐半導體基板。腔室可包括第一導電網,第一導電網併入在平臺內並且構造成用作第一吸附網。第一導電網可以沿平臺徑向延伸。腔室可包括第二導電網,第二導電網併入在平臺內並且構造成用作第二吸附網。第二導電網的特徵可在於環形。第二導電網可設置在第一導電網和平臺表面之間。
在一些具體實施例中,腔室可包括第三導電網,第三導電網併入在平臺內並且構造成用作第三吸附網。第三導電網可以包含在第二導電網的內環形半徑內。第三導電網可設置在第一導電網和平臺表面之間。第二導電網和第三導電網可在平臺內共面。第二導電網和第三導電網可以被環形間隙隔開。腔室可包括與第二導電網相關的第一熱電偶,以及與第三導電網相關的第二熱電偶。第一導電網和第二導電網的操作可獨立於電源。腔室可包括設置在第一導電網和第二導電網之間的雲母片。雲母片可延伸到形成在第一導電網內的孔中,並且電極連接器可延伸穿過此孔和此雲母片以與第二導電網電耦合。腔室可包含至少兩個附加導電網,至少兩個附加導電網與第一導電網和第二導電網軸向對準。
本技術的一些具體實施例可以包括基板支撐底座。底座可包含平臺,平臺被配置為在平臺表面上支撐半導體基板。底座可包括第一導電網,第一導電網併入在平臺內並且構造成用作第一吸附網。第一導電網可以沿平臺徑向延伸。底座可包括第二導電網,第二導電網併入在平臺內並且構造成用作第二吸附網。第二導電網的特徵可在於為環形,並且第二導電網可以設置在第一導電網和平臺表面之間。腔室可包括第三導電網,第三導電網併入在平臺內並且構造成用作第三吸附網。第三導電網可以包含在第二導電網的內環形半徑內。第三導電網可設置在第一導電網和平臺表面之間。
在一些具體實施例中,腔室可包括與第二導電網相關的第一熱電偶,以及與第三導電網相關的第二熱電偶。第二導電網和第三導電網可在平臺內共面。第二導電網和第三導電網可以被環形間隙隔開。第一導電網和第二導電網在基板支座內的操作可獨立於電源。底座可包括設置在第一導電網和第二導電網之間的雲母片。雲母片可以延伸到在第一導電網內形成的孔中。電極連接器可延伸穿過此孔和此雲母片以與第二導電網電耦合。底座可包含至少兩個附加導電網,至少兩個附加導電網與第一導電網和第二導電網同心對準。
本技術的一些具體實施例可以涵蓋半導體處理方法。方法可以包括透過接合基板支座的第一導電網將基板夾持在基板支座上。第一導電網可以延伸越過基板支座。方法可以包括接合基板支座的第二導電網。第二導電網可以包括覆蓋第一導電網的環形網。第一導電網可以在第一夾持電壓下接合基板。第二導電網可以以大於第一夾持電壓的第二夾持電壓接合基板。方法可以包括在基板上執行半導體處理操作。在一些具體實施例中,第二導電網的特徵可以在於環形。基板支座可以包括第三導電網,並且第二導電網和第三導電網可以是共面的。
這種科技可提供優於習知系統與技術的數個益處。例如,系統可以改善沉積輪廓以改善整個基板上的均勻性。另外,此技術可以提供對吸附電壓的原位調整,這可以允許在處理期間以及其他半導體處理期間影響沉積的調整。這些與其他的具體實施例(以及許多他們的優點與特徵),被結合下列說明與附加圖式更詳細地說明。
許多材料沉積處理可能對溫度敏感。在各種處理系統中,基板支座可以在沉積期間用作基板的熱源。當執行製造處理時,可以在基板上形成許多材料層,這可以在基板上施加許多應力。在許多情況下,這些應力可能會導致基板翹曲。靜電吸附可以抵消許多翹曲效應以保持較平坦的基板,這可以在基板支座上保持更均勻的接觸,從而可以在基板上保持更均勻的加熱。
應力的增加會導致更明顯的晶圓翹曲,許多習知技術可試圖透過增加吸附電壓來抵消晶圓翹曲,以試圖克服較高的應力,或者以其他方式改變腔室部件或處理。隨著應力的增加,增加吸附電壓可能會提供有限的改善程度,並且單純增加吸附電壓可能會產生不良影響。例如,許多單極吸盤在整個電極上接收均勻的電壓偏壓。電場線傾向於集中在基板的中心,因為電通量在基板的中心可能具有較低的損耗。儘管此抵消力可克服由於某些膜應力而引起的晶圓翹曲,但是隨著電壓的增加,此力可能導致基板的徑向邊緣最終從基板支座上拉開。因此,儘管可以在靠近與加熱的基板支座保持接觸的基板中心附近發生均勻的溫度傳遞,但是在外圍邊緣處,基板和支座之間的間隙可以減少熱傳遞,並且可能在基板上出現溫度梯度。
整個基板上的溫度梯度可能會產生多種影響。例如,儘管一些沉積操作增加了在較高溫度下的沉積,但是一些其他沉積操作可能減少了在較高溫度下的沉積。在第一種情況下,在基板上可能發生邊緣翹曲時,可能會發生中心峰(center-peak)沉積處理。在後一種情況下,可能會發生邊緣峰(edge-peak)沉積處理。習知技術可已嘗試透過調整替代的處理態樣來克服這些影響。例如,一些基板支座可試圖利用多區域加熱器來補償熱損失,多區域加熱器可以將更多的熱量傳遞到邊緣區域。但是,除了浪費能量之外,間隙還可能導致均勻的熱傳遞更加難以產生。另外,改變處理條件或透過腔室的流量以補償不均勻沉積,可能需要對部件進行更大程度的客製化,以試圖抵消每個獨特的腔室特徵。因此,許多習知技術繼續導致更大的溫度和沉積不均勻性。
本技術透過結合多區域靜電吸盤克服了這些問題。透過提供可調節橫跨基板支座的多個位置處的吸附力的底座系統,可透過在基板表面上提供更均勻的接觸來克服溫度不連續性。這可以允許整個基板上的溫度分佈更加均勻,這可以改善用於溫度敏感沉積的整個基板上的沉積厚度。
儘管其餘的揭示內容將常規地利用所揭示的技術識別特定的沉積處理,但將容易理解到,系統和方法同樣適用於其他沉積與蝕刻腔室、以及在所述腔室中可能發生的處理。因此,不應認為技術僅限於與這些特定的沉積處理或腔室一起使用。在描述根據本技術的具體實施例的對所述系統的另外的變化和調整之前,本揭示內容將討論一種可能的系統和腔室,其可以包括根據本技術的具體實施例的部件。
圖1圖示根據本技術的具體實施例的示例性處理室100的截面示意圖。該圖可以示出結合了本技術的一個或多個態樣的系統的概述,和/或可以執行根據本技術的具體實施例的一個或多個操作的系統。可在下面進一步描述腔室100的附加細節或所執行的方法。根據本技術的一些具體實施例,腔室100可用於形成膜層,儘管應當理解,該方法可類似地在其中可能發生膜形成的任何腔室中執行。處理室100可包括腔室主體102、設置在腔室主體102內部的基板支座104、以及與腔室主體102耦接並將基板支座104封閉在處理空間120中的蓋部件106。可以透過開口126將基板103提供給處理空間120,開口126可以被由習知方式密封以使用狹縫閥或門進行處理。在處理期間,基板103可以位於基板支座的表面105上。如箭頭145所示,基板支座104可以沿著軸線147旋轉,軸線147可以位於基板支座104的軸144所在的位置。或者,可以在沉積過程中根據需要將基板支座104提升以旋轉。
電漿輪廓調變器111可以設置在處理室100中,以控制在設置在基板支座104上的基板103上的電漿分佈。電漿輪廓調變器111可包括第一電極108,第一電極108可鄰近腔室主體102設置,並且可將腔室主體102與蓋部件106的其他部件分開。第一電極108可以是蓋部件106的一部分,或者可以是單獨的側壁電極。第一電極108可以是環形或環狀構件,並且可以是環形電極。第一電極108可以是圍繞處理空間120的圍繞處理室100的圓周的連續環,或者如果需要的話可以在所選位置處是不連續的。第一電極108也可以是穿孔電極,例如穿孔環或網狀電極,或者可以是平板電極,例如二次氣體分配器。
一個或多個隔離器110a、110b可以是介電材料,例如陶瓷或金屬氧化物,例如氧化鋁和/或氮化鋁,可以與第一電極108接觸並且將第一電極108與氣體分配器112和腔室主體102電熱隔離。氣體分配器112可以限定用於將處理前驅物分配到處理空間120中的孔118。氣體分配器112可以與第一電源142耦合,例如RF產生器、RF電源、DC電源、脈衝DC電源、脈衝RF電源或可以與處理室耦合的任何其他電源。在一些具體實施例中,第一電源142可以是RF電源。
氣體分配器112可以是導電氣體分配器或非導電氣體分配器。氣體分配器112也可以由導電和非導電部件形成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板可以是不導電的。氣體分配器112可以例如由圖1所示的第一電源142供電。在一些具體實施例中,氣體分配器112可以如圖1所示與氣體分配器112接地。
第一電極108可以與第一調諧電路128耦合,第一調諧電路128可以控制處理室100的接地路徑。第一調諧電路128可以包括第一電子感測器130和第一電子控制器134。第一電子控制器134可以是或包括可變電容器或其他電路元件。第一調諧電路128可以是或包括一個或多個電感器132。第一調諧電路128可以是在處理期間在存在於處理空間120中的電漿條件下實現可變或可控阻抗的任何電路。在所示的一些具體實施例中,第一調諧電路128可以包括並聯耦合在接地和第一電子感測器130之間的第一電路支路和第二電路支路。第一電路支路可以包括第一電感器132A。第二電路支路可以包括與第一電子控制器134串聯耦合的第二電感器132B。第二電感器132B可以設置在第一電子控制器134和將第一電路支路和第二電路支路兩者都連接到第一電子感測器130的節點之間。第一電子感測器130可以是電壓或電流感測器,並且可以與第一電子控制器134耦合,第一電子控制器134可以提供對處理空間120內的電漿條件的一定程度的閉環控制。
第二電極122可以與基板支座104耦合。第二電極122可以被嵌入在基板支座104內或與基板支座104的表面耦合。第二電極122可以是板、穿孔板、網、絲網或導電元件的任何其他分佈式佈置。第二電極122可以是調諧電極,並且可以藉由導管146與第二調諧電路136耦合,導管146例如是設置在基板支座104的軸144中的具有選定電阻(例如50歐姆)的電纜。第二調諧電路136可以具有第二電子感測器138和第二電子控制器140,其可以是第二可變電容器。第二電子感測器138可以是電壓或電流感測器,並且可以與第二電子控制器140耦合以提供對處理空間120中的電漿條件的進一步控制。
可以是偏壓電極和/或靜電吸盤電極的第三電極124可以與基板支座104耦合。第三電極可以透過濾波器148與第二電源150耦合,濾波器148可以是阻抗匹配電路。第二電源150可以是DC電源、脈衝DC電源、RF偏壓電源、脈衝RF電源或偏壓電源、或這些或其他電源的組合。在一些具體實施例中,第二電源150可以是RF偏壓功率。
圖1的蓋部件106和基板支座104可與用於電漿或熱處理的任何處理室一起使用。在操作中,處理室100可以提供對處理空間120中電漿狀況的即時控制。可以將基板103設置在基板支座104上,並且可以根據任何期望的流動計劃,使用入口114使處理氣體流過蓋部件106。氣體可以透過出口152離開處理室100。電力可以與氣體分配器112耦合以在處理空間120中產生電漿。在一些具體實施例中,可以使用第三電極124使基板經受電偏壓。
在激勵處理空間120中的電漿時,可以在電漿與第一電極108之間產生電位差。還可以在電漿和第二電極122之間產生電位差。然後,可以使用電子控制器134、140來調整由兩個調諧電路128和136表示的接地路徑的流動特性。設定點可以被傳遞到第一調諧電路128和第二調諧電路136,以提供從中心到邊緣的沉積速率和電漿密度均勻性的獨立控制。在電子控制器都可以是可變電容器的具體實施例中,電子感測器可以調節可變電容器以獨立地最大化沉積速率並且最小化厚度不均勻性。
調諧電路128、136中的每個可具有可變阻抗,可變阻抗可使用相應的電子控制器134、140來調節。在電子控制器134、140是可變電容器的情況下,可以選擇每個可變電容器的電容範圍以及第一電感器132A和第二電感器132B的電感來提供阻抗範圍。此範圍可以取決於電漿的頻率和電壓特性,其在每個可變電容器的電容範圍內可以具有最小值。因此,當第一電子控制器134的電容處於最小值或最大值時,第一調諧電路128的阻抗可能很高,導致電漿形狀在基板支座上具有最小的空中或橫向覆蓋率。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的空中覆蓋範圍可以增長到最大,從而有效地覆蓋基板支座104的整個工作區域。當第一電子控制器134的電容偏離最小阻抗設置時,電漿形狀可能從腔室壁收縮並且基板支座的空中覆蓋率可能下降。第二電子控制器140可以具有類似的效果,隨著可以改變第二電子控制器140的電容,增加和減少了電漿在基板支座上的空中覆蓋。
電子感測器130、138可以用於在閉環中調諧各別電路128、136。取決於所使用的感測器的類型,可以將電流或電壓的設定點安裝在每個感測器中,並且感測器可以配備有控制軟體,控制軟體確定對每個相應電子控制器134、140的調整以最小化與設定點的偏差。因此,可以在處理期間選擇電漿形狀並對其進行動態控制。應該理解,儘管前面的討論是基於可以是可變電容器的電子控制器134、140,但是具有可調特性的任何電子元件都可以用來為調諧電路128和136提供可調的阻抗。
圖2圖示根據本技術的具體實施例的示例性基板支座200的截面示意圖。基板支座200可以被包括在上述腔室100中,或者在可以採用靜電吸盤的任何其他處理室中。基板支座200可以包括上述基板支座104的附加細節,並且可以包括如前所述的任何材料、部件或特性。
如圖所示,基板支座200可以是如圖所示的底座,包括平臺205和桿210,桿210可以與平臺耦合。在一些具體實施例中,平臺可以是(或包括)陶瓷材料或任何其他介電材料,並且可以配置為在平臺的表面上支撐半導體基板。如所指出的,基板支座200可以包括先前討論的任何部件,包括加熱元件或其他部件,並且基板支座200可以包括一個或多個導電網,導電網用作協調的吸附機構,並且可以在整個基板支座上提供受個別控制的吸附區域。
如圖所示,基板支座200可包括結合在平臺205內的第一導電網215。第一導電網215可以被配置為用作第一靜電吸附網,以將基板夾持到基板支座上。第一導電網可以徑向地或橫向地延伸穿過平臺,並且可以實質上或完全地覆蓋整個基板支座上的區域,當向第一導電網215施加電壓時,可以在整個基板上提供夾持力或靜電力。第一導電網215可以包括如圖所示的孔或間隙,孔或間隙可以促進一個或多個部件通過第一導電網,如將在下面進一步描述的。
在本技術的一些具體實施例中,基板支座200還可以包括一個或多個附加的導電網,附加的導電網可以與第一導電網215協同操作,以沿著基板支座的一個或多個區域提供可調諧的吸附控制。例如,第二導電網220可以被結合在平臺205內,並且可以被配置為用作第二吸附網。如下面進一步圖示和說明的,第二導電網220的特徵可在於具有環形形狀,並且可以被設置在基板支座200內在第一導電網215和可以放置基板的平臺表面之間。在其他具體實施例中,第二導電網220可以是圓形網,例如,圓形網的特徵可在於直徑小於第一導電網215的直徑。
在一些具體實施例中,第二導電網220的特徵可在於外環形半徑,此外環形半徑等於或類似於第一導電網215的外徑。第二導電網220的特徵可在於內環形半徑,此內環形半徑可以是朝向穿過底座的中心軸線的任何距離,這可以考慮被併入底座支座內的多個附加的吸附網。例如,如圖所示,基板支座200可以包括多個附加的吸附網,以提供用於控制靜電吸附的附加區域。如前所述,由於基板翹曲可以是拉伸的也可以是壓縮的,因此在基板的不同區域上增強的吸附可以提供在幾乎任何處理過程中都適用的優點,以適應被處理的基板。因此,在本技術的一些具體實施例中,除了基底吸附網之外,基板支座可以包括大於或大約一個、大於或大約兩個、大於或大約三個、大於或大約四個、大於或大約五個、大於或大約六個、或更多的附加吸附網。
如圖所示,基板支座200可包括四個附加吸附孔,四個附加吸附孔分佈在基板支座的個別區域內並覆蓋在基底吸附網上。例如,除了第二導電網220之外,第三導電網225可以被併入在平臺205內,並且可以被構造成用作第三吸附網。如圖所示,第三導電網225可以包含在第二導電網220的內環形半徑內。第三導電網225的特徵也可在於具有環形形狀,儘管在一些具體實施例中,網的特徵可在於具有圓形形狀或類似於第一導電網215的形狀,雖然其特徵在於直徑小於第一導電網215的直徑。第四導電網230可以被結合在平臺205內,並且可以被構造成用作第四吸附網。第四導電網230可以被包含在第三導電網的內環形半徑內,並且也可以取決於任何附加網而如上所述地為環形或圓形。可以在平臺內併入第五導電網235,第五導電網235被包含在第四導電網230的內環形半徑之內。如圖所示,此網也可以是環形或圓形的,並且當作為最內側的網被包括在內時,此網可以沿著中心軸線同軸地延伸穿過基板支座。應當理解,根據本技術的具體實施例,基板支座內可包含任何數量或尺寸的網,並且基板支座可包括或不包括任何所示的附加網。
在所示的一些具體實施例中,每個附加的吸附網可以在基板支座內共面,並且可以繞穿過基板支座的中心軸為同心。附加的吸附網也可以與第一導電網215同軸。如圖所示,在每個附加的網之間可以保持間隙,例如環形間隙,以允許單獨的操作。在一些具體實施例中,基板支座可以是介電質或陶瓷材料,此材料可以保持各個網的電絕緣以進行操作。
併入底座中的每個導電網可以與電源240耦合。在一些具體實施例中,每個導電網可以與單個電源獨立地操作,儘管在一些具體實施例中,每個導電網可以與單獨的電源耦合。每個電源可以被配置為向導電網提供電壓以用於靜電吸附。靜電吸附可以施加標稱上約200 V或更低的電壓,以在半導體處理過程中保持基板。根據本技術的具體實施例,當將多個網併入基板支座中時,可以利用較少的電壓來保持與第一導電網215的夾持效果,而可以將額外功率施加在每個導電網上以在整個基板上的多個位置提供可調夾持。因為可以使用附加的吸附網在每個單獨的區域提供特定的吸附電壓,所以可以減小向主吸附網或基底吸附網(例如第一導電網215)施加的電壓,以提供最小程度的吸附而可保持基板位置以進行處理。因此,在一些具體實施例中,並且取決於導電網的構造,施加到第一導電網的電壓可以小於或約400V,並且可以小於或約350V、小於或約300V、小於或大約250 V、小於或大約200 V、小於或大約150 V、小於或大約100 V、小於或大約80 V、小於或大約60 V、小於或大約50 V或更小。應當理解,在本技術的具體實施例中,在本揭示內容全文中討論的任何電壓可以處於任何極性,並且所討論的任何網可以以任何極性進行操作。例如,在本技術的具體實施例中,所有網中的任何一個網都可以以相同的極性或不同的極性進行操作。
當將電壓施加到任何其他導電網上時,此電壓可以與第一導電網所施加的電壓一起累積地操作,並且可以在基板上在與附加導電網相關聯的區域內提供附加的吸附。每個附加網格可以在大於或大約50 V的任何電壓下操作,並且可以在大於或大約100 V、大於或大約150 V、大於或大約200 V、大於或大約250 V、大於或大約300 V、大於或大約350 V、大於或大約400 V、大於或大約450 V、大於或大約500 V、或更大的電壓下操作。
因此,當施加每個網的累積效應時,電壓的範圍可以從約50 V或更小(取決於施加到第一導電網的電壓)到大於或約為50 V的特定區域中的組合電壓,並且可以增加到大於或等於的任何所述電壓的組合,或在所述範圍內包含的任何電壓或電壓範圍內。儘管施加的電壓增加與增加基板區域接觸的能力之間可能存在相關性,但是如前所述,根據基板的特性,將電壓增加到某個閾值以上可能會導致所施加的夾持力讓基板翹曲、變形甚至斷裂。因此,在一些具體實施例中,第二電壓可以被維持為小於或大約1100V,並且可以被維持為小於或大約1000V、小於或大約900V、小於或大約800V或更小。
在一些具體實施例中,可在系統內併入一個或多個熱電偶,以確定或估計沿基板或基板支座的區域內的溫度分佈。基於基板支座內的溫度差異,例如較高或較低的溫度,可以進行估計以確定與基板的接觸問題。因此,溫度測量可以用於確定在任何特定區域中要增加還是減少吸附,以補償可能導致不均勻沉積的溫度影響。例如,熱電偶引線可以延伸穿過基板支撐桿210,並且可以將熱電偶250定位或關聯在基板支座的每個區域內以進行溫度測量。如圖所示,在包括四個附加的吸附網的情況下,對於每個相關聯的吸附網,可以包括四個熱電偶,而每個單獨的區域相關聯於單個熱電偶。在具體實施例中,可以在基板支座內併入任何數量的附加吸附網和/或熱電偶,以在任何數量的區域處提供增加的吸附或測量。
因為第一導電網可以一直運行,並且附加的導電網可以根據處理需要而運行,所以在一些具體實施例中,部件之間可能發生損失或洩漏。因此,在一些具體實施例中,可以將材料245設置在第一吸附網以及彼此重疊的吸附網之間。材料可以是任何電絕緣材料,並且在一些具體實施例中,材料也可以是導熱的,以維持從下層的一個或多個加熱器元件到基板的足夠的熱傳遞。例如,可以將雲母片或其他電絕緣和/或導熱材料設置在第一導電網和其他導電網之間,這些其他導電網被包括在第一導電網和基板支座的表面之間。另外,雲母片還可垂直延伸穿過在第一導電網內形成的間隙或孔,電極連接器或耦合器和/或熱電偶可延伸透過間隙或孔,以與上層電極連接或定位在基板支座內。在一些具體實施例中,這可以進一步在部件之間提供絕緣。
在操作中,可以以多種方式施加電壓。例如,可以將用於靜電耦合的基底電壓施加到第一導電網215,在一些具體實施例中,此基底電壓可以是最小電壓。取決於晶圓的翹曲或輪廓,可以接合附加的導電網,以增加基板的定位性夾持。例如,在基板的徑向邊緣可能會翹曲偏離基板支座的一些具體實施例中,此時可接合第二導電網220以增加施加到此區域的電壓。類似地,取決於沉積輪廓,可以透過在任何導電網處調變吸附,來在特定區域中增加或減少吸附。例如,除了透過接合特定的導電網來增加定位性吸附之外,在一些具體實施例中,可以透過增加除此特定區域之外的所有其他區域的吸附力,來等效地降低特定區域內的吸附力。本技術類似地涵蓋任何數量的其他調整,並且應當理解,所討論的示例並不旨在限制本技術。
圖3示出了根據本技術的一些具體實施例的示例性基板支座200的示意性平面圖,並且可示出上述基板支座200的俯視圖。應該理解的是,基板支座可以包括在別處討論的任何其他基板支座的任何特徵、部件或特性。如圖所示,在此圖中可以看到數個附加的吸附網的環形本質。例如,可以看到第二導電網220、第三導電網225、第四導電網230和第五導電網235中的每一個導電網,圖示出相應的涵蓋區域。另外,在每個單獨的吸附網之間示出了間隙,這可以限制導電網之間的相互作用。在每個間隙內可以看到第一導電網215,第一導電網215可以延伸穿過基板支座以夾持整個基板,如前所述。
圖4示出了根據本技術的一些具體實施例的半導體處理的方法400中的示例性操作。方法可以在一個或多個腔室中執行,腔室包括先前描述的任何腔室,並且可以包括先前討論的任何基板支座,以及先前描述的任何系統或腔室的任何其他態樣。方法400可以包括多個可選操作,這些可選操作可以與或可以不與根據本技術的方法的一些具體實施例具體相關。例如,描述了許多操作以提供更大範圍的結構形式,但是對技術不是關鍵的,或者可以透過容易理解的替代方法來執行。例如,並且如前所述,可以在將基板輸送到處理室(例如上述處理室100)中之前執行操作,其中可以在有或沒有前述基板支座200的某些或全部態樣的情況下執行方法400 。
方法400可以包括在操作405處將半導體基板夾持在半導體處理室的處理區域內的基板支座上。可以透過接合基板支座的第一導電網(例如上述的第一導電網215)來夾持基板,第一導電網可以延伸穿過基板支座。在操作410,可以接合基板支座內的一個或多個附加導電網。一個或多個附加導電網可以包括覆蓋第一導電網的至少一個環形網或圓形網,或任何其他幾何形狀的網。第一導電網可以在第一夾持電壓(例如先前提到的任何電壓)下接合基板。然後,一個或多個附加的導電網可以以大於第一夾持電壓的第二夾持電壓接合基板的區域。由於在一些具體實施例中操作次級導電網的累積效應,一個或多個附加導電網可以在比第一導電網更低的電壓下操作,而累積效應可以進一步夾持基板。例如,在第一導電網在100V下操作的情況下,第二導電網在基板支座的特定區域中可以在50V下操作。因此,例如,當基板的其他區域可以以100V接合時,對應於第二導電網的區域可以例如以150V接合。如先前描述的,類似地涵蓋任何其他組合或吸附方案,並且應理解為被本技術類似地涵蓋。
然後可以在操作415處執行半導體處理操作,此操作可以涉及沉積、蝕刻或可以受益於所述靜電吸附的任何其他處理,如前述。在一些具體實施例中,可以在可選操作420處跨基板或基板支座監視一個或多個溫度。溫度可以用於確定是否可以執行均勻的處理,或者用於確定是否可能發生溫度影響。在一些具體實施例中,這些讀數或測量值可用於調整基板支座的一個或多個區域中的吸附電壓。例如,在一個非限制性具體實施例中,基板溫度可以較低,這可能是由於沒有完全接觸所引起的。例如,這可以反應為基板或基板支座處的溫度降低,或者由於降低的熱傳遞,基板支座的溫度可以更高。作為回應,可以在此區域中增加用於相關聯的吸附網的吸附電壓,或者在可選操作425處以其他方式對其進行調整,這可以向基板的區域提供更均勻的熱傳遞。另外,在隨後的處理(例如沉積處理)中,整個基板上的厚度測量值可能與基板上接觸減少的區域相關。因此,後續處理可增加或減少一個或多個相關區域中的吸附以適應厚度變化,並改善整個基板的均勻性。
透過利用根據本技術的具體實施例的方法和部件,可以改善材料的沉積或形成。透過提供對跨基板支座的吸附的更多控制,可以改善溫度分佈的均勻性,這可以改善所執行的處理。
在上文說明中,為了解釋的目的,闡述了多種細節,以期通透瞭解本科技的各種具體實施例。然而在本發明技術領域中具有通常知識者將顯然瞭解到,特定具體實施例的實作可能並不需要這些特定細節的一些(或是需要額外的細節)。
在已揭示了數種具體實施例之後,在本發明技術領域中具有通常知識者將理解到,可使用各種修改、替代性結構與均等範圍,而不脫離所揭示具體實施例的精神。此外,並未說明一些為人熟知的處理與要素,以避免不必要地遮蔽本科技。因此,上文的說明不應被視為限制科技的範圍。另外,方法或處理可以被描述為順序的或分步的,但是應當理解,操作可以同時執行,或者以與所列順序不同的順序執行。
在提供值的範圍的情況下,應當理解,除非上下文另有明確規定,否則還具體揭露了此範圍的上限和下限之間的每個中間值,精確到下限單位的最小部分。在所述範圍內的任何陳述值或未陳述的介入值與所述範圍內的任何其他陳述或介入值之間的任何較窄範圍都包括在內。這些較小範圍的上限和下限可以獨立地包括在此範圍內或排除在此範圍內,且包含上下限之一者、兩者、或皆不包含的較小範圍中的每一範圍也被包含在本技術內,且受制於所陳述範圍中任何特別排除的限制。在所陳述的範圍包含上下限之一者或兩者時,也包含了排除了這些上下限之任一者或兩者的範圍。
說明書與附加申請專利範圍中所使用的單數形式「一(a)」、「一(an)」以及「該」,包含複數的參照物,除非背景內容清楚表示並非如此。因此,例如,對「一前驅物」的參照,包含複數個此種材料,且對於「此氣體」的參照,包含對於一或更多種氣體的參照以及在本發明技術領域中具有通常知識者所能知的均等範圍,諸如此類。
此外,本說明書和下列申請專利範圍中使用的詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「具有(including)」,意為指明所陳述的特徵、整數、部件、或作業的存在,但他們不排除存在或添加一個或多個其他特徵、整數、部件、作業、步驟、或組。
100:處理室 102:腔室主體 103:基板 104:基板支座 105:基板支座的表面 106:蓋部件 108:第一電極 110a:隔離器 110b:隔離器 111:電漿輪廓調變器 112:氣體分配器 114:入口 118:孔 120:處理空間 122:第二電極 124:第三電極 126:開口 128:第一調諧電路 130:第一電子感測器 132A:第一電感器 132B:第二電感器 134:第一電子控制器 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 142:第一電源 144:軸 145:旋轉 146:導管 147:軸線 148:濾波器 150:第二電源 200:基板支座 205:平臺 210:桿 215:第一導電網 220:第二導電網 225:第三導電網 230:第四導電網 235:第五導電網 240:電源 245:材料 250:熱電偶 400:方法 405-425:操作
參照說明書的其餘部分與圖式,可進一步理解所揭示技術的本質與優點。
圖1圖示根據本技術的具體實施例的示例性處理室的截面示意圖。
圖2圖示根據本技術的具體實施例的示例性基板支座的截面示意圖。
圖3圖示根據本技術的一些具體實施例的示例性基板支座的截面示意圖。
圖4示出了根據本技術的一些具體實施例的半導體處理的方法中的示例性操作。
包含數個圖式以作為示意圖。應瞭解到圖示係用於說明,且不應被視為按比例,除非特定說明其為按比例繪製。此外,作為示意圖,圖式被提供以幫助理解,且可不包含相較於實際呈現的所有態樣或資訊,並可包含誇大的內容以供說明。
在附加圖式中,類似的部件及(或)特徵可具有相同的元件符號。再者,相同類型的各個部件,可由元件符號之後的字母來分辨,此字母分辨類似的部件。若說明書中僅使用了首個元件符號,則其說明可適用於具有相同的首個元件符號的類似部件之任意者,不論其字尾字母為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:基板支座
205:平臺
210:桿
215:第一導電網
220:第二導電網
225:第三導電網
230:第四導電網
235:第五導電網
240:電源
245:材料
250:熱電偶

Claims (20)

  1. 一種半導體處理室,包含: 一底座,該底座包含一平臺,該平臺被配置為在該平臺的一表面上支撐一半導體基板; 一第一導電網,該第一導電網併入在該平臺內,且該第一導電網經配置成用作一第一吸附網,其中該第一導電網沿該平臺徑向延伸:以及 一第二導電網,該第二導電網併入在該平臺內,且該第二導電網經配置成用作一第二吸附網,其中該第二導電網的特徵在於為一環形,並且其中該第二導電網設置在該第一導電網和該平臺的該表面之間。
  2. 如請求項1所述之半導體處理室,該半導體處理室進一步包含一第三導電網,該第三導電網併入在該平臺內並且經配置成用作一第三吸附網,其中該第三導電網被包含在該第二導電網的一內環形半徑內,並且其中該第三導電網被設置在該第一導電網和該平臺的該表面之間。
  3. 如請求項2所述之半導體處理室,其中該第二導電網和該第三導電網在該平臺內共面。
  4. 如請求項3所述之半導體處理室,其中該第二導電網和該第三導電網由一環形間隙隔開。
  5. 如請求項4所述之半導體處理室,該半導體處理室進一步包含: 一第一熱電偶,該第一熱電偶與該第二導電網相關,以及 一第二熱電偶,該第二熱電偶與該第三導電網相關。
  6. 如請求項1所述之半導體處理室,其中該第一導電網和該第二導電網可與一電源獨立地操作。
  7. 如請求項1所述之半導體處理室,該半導體處理室進一步包含設置在該第一導電網和該第二導電網之間的一雲母片。
  8. 如請求項7所述之半導體處理室,其中該雲母片延伸到形成在該第一導電網內的一孔中,並且其中一電極連接器延伸穿過該孔和該雲母片以與該第二導電網電耦合。
  9. 如請求項1所述之半導體處理室,該半導體處理室進一步包含至少兩個附加導電網,該至少兩個附加導電網與該第一導電網和該第二導電網軸向對準。
  10. 一種基板支撐底座,包括: 一平臺,該平臺被配置為在該平臺的一表面上支撐一半導體基板; 一第一導電網,該第一導電網併入在該平臺內,且該第一導電網經配置成用作一第一吸附網,其中該第一導電網沿該平臺徑向延伸:以及 一第二導電網,該第二導電網併入在該平臺內,且該第二導電網經配置成用作一第二吸附網,其中該第二導電網的特徵在於為一環形,並且其中該第二導電網設置在該第一導電網和該平臺的該表面之間。
  11. 如請求項10所述之基板支撐底座,該基板支撐底座進一步包含一第三導電網,該第三導電網併入在該平臺內並且經配置成用作一第三吸附網,其中該第三導電網被包含在該第二導電網的一內環形半徑內,並且其中該第三導電網被設置在該第一導電網和該平臺的該表面之間。
  12. 如請求項11所述之基板支撐底座,該基板支撐底座進一步包含: 一第一熱電偶,該第一熱電偶與該第二導電網相關,以及 一第二熱電偶,該第二熱電偶與該第三導電網相關。
  13. 如請求項11所述之基板支撐底座,其中該第二導電網和該第三導電網在該平臺內共面。
  14. 如請求項13所述之基板支撐底座,其中該第二導電網和該第三導電網由一環形間隙隔開。
  15. 如請求項10所述之基板支撐底座,其中該第一導電網和該第二導電網可在該基板支座內與一電源獨立地操作。
  16. 如請求項10所述之基板支撐底座,該基板支撐底座進一步包含設置在該第一導電網和該第二導電網之間的一雲母片。
  17. 如請求項16所述之基板支撐底座,其中該雲母片延伸到形成在該第一導電網內的一孔中,並且其中一電極連接器延伸穿過該孔和該雲母片以與該第二導電網電耦合。
  18. 如請求項10所述之基板支撐底座,該基板支撐底座進一步包含至少兩個附加導電網,該至少兩個附加導電網與該第一導電網和該第二導電網同心對準。
  19. 一種半導體處理方法,包含以下步驟: 吸附步驟,透過接合該基板支座的一第一導電網將一基板夾持在一基板支座上,其中該第一導電網延伸穿過該基板支座; 接合步驟,接合該基板支座的一第二導電網,其中該第二導電網包括覆蓋該第一導電網的一環形網,其中該第一導電網以一第一夾持電壓接合該基板,並且其中該第二導電網以一第二夾持電壓接合該基板,該第二夾持電壓大於該第一夾持電壓;和 執行步驟,在該基板上執行一半導體處理操作。
  20. 如請求項19所述之半導體處理方法,其中該第二導電網的特徵在於為一環形,其中該基板支座進一步包括一第三導電網,並且其中該第二導電網和該第三導電網是共面的。
TW109144604A 2019-12-17 2020-12-17 多區靜電吸盤 TWI797519B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/717,245 2019-12-17
US16/717,245 US11270903B2 (en) 2019-12-17 2019-12-17 Multi zone electrostatic chuck

Publications (2)

Publication Number Publication Date
TW202133301A true TW202133301A (zh) 2021-09-01
TWI797519B TWI797519B (zh) 2023-04-01

Family

ID=76320627

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109144604A TWI797519B (zh) 2019-12-17 2020-12-17 多區靜電吸盤

Country Status (6)

Country Link
US (1) US11270903B2 (zh)
JP (1) JP2023507106A (zh)
KR (1) KR20220113471A (zh)
CN (1) CN114830322A (zh)
TW (1) TWI797519B (zh)
WO (1) WO2021126857A1 (zh)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104164A (ja) 1992-09-18 1994-04-15 Hitachi Ltd 電子線描画装置
US6488820B1 (en) 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
JP4684222B2 (ja) 2004-03-19 2011-05-18 株式会社クリエイティブ テクノロジー 双極型静電チャック
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US10153139B2 (en) * 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
KR102225236B1 (ko) 2017-03-06 2021-03-10 엔지케이 인슐레이터 엘티디 웨이퍼 지지대

Also Published As

Publication number Publication date
CN114830322A (zh) 2022-07-29
TWI797519B (zh) 2023-04-01
WO2021126857A1 (en) 2021-06-24
JP2023507106A (ja) 2023-02-21
KR20220113471A (ko) 2022-08-12
US11270903B2 (en) 2022-03-08
US20210183678A1 (en) 2021-06-17

Similar Documents

Publication Publication Date Title
CN108281342B (zh) 等离子体处理装置
JP6953133B2 (ja) 容量結合型プラズマ処理装置のエッジリングのrf振幅の制御
JP6144263B2 (ja) 半導体処理のための平面熱ゾーンを伴う熱板
KR20210129732A (ko) 반도체 프로세싱에서 사용하기 위한 정전 척
TWI762551B (zh) 電漿處理裝置
US11756807B2 (en) Power feeding mechanism and method for controlling temperature of a stage
TWI407530B (zh) 靜電卡盤及用於處理包含靜電卡盤之基板之裝置
TW201534754A (zh) 像素化溫度控制的基板支撐組件
JP2010157559A (ja) プラズマ処置装置
CN107393847A (zh) 具有不同加热器迹线材料的层压加热器
JP2022511063A (ja) 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック
TW202224092A (zh) 高溫雙極靜電卡盤
TW202224504A (zh) 電漿處理裝置
TWI797519B (zh) 多區靜電吸盤
TWI828223B (zh) 用於厚度校正的檯面高度調制
JP2019110312A (ja) プラズマ処理方法
JP2023067767A (ja) 基板支持器、プラズマ処理装置及びプラズマ処理方法
TW202134460A (zh) 減少缺陷之沉積製程
WO2021113184A1 (en) Gas distribution ceramic heater for deposition chamber
TWI767393B (zh) 用於基板支座溫度控制的系統和方法
TWI824512B (zh) 用於邊緣非均勻調諧的低阻抗電流路徑
TW202226444A (zh) 用於電漿處理設備的靜電卡盤組件
JP2001196318A (ja) 半導体処理方法および装置
KR101070200B1 (ko) 플라즈마 처리장치 및 처리방법
TW202326928A (zh) 基板支撐器、電漿處理裝置及電漿處理方法