TW202131394A - 處理半導體晶圓的方法 - Google Patents

處理半導體晶圓的方法 Download PDF

Info

Publication number
TW202131394A
TW202131394A TW110100393A TW110100393A TW202131394A TW 202131394 A TW202131394 A TW 202131394A TW 110100393 A TW110100393 A TW 110100393A TW 110100393 A TW110100393 A TW 110100393A TW 202131394 A TW202131394 A TW 202131394A
Authority
TW
Taiwan
Prior art keywords
gas
chamber
processing
exhaust
semiconductor wafer
Prior art date
Application number
TW110100393A
Other languages
English (en)
Inventor
朱瑞霖
陳志明
喻中一
杜友倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202131394A publication Critical patent/TW202131394A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/14Working by laser beam, e.g. welding, cutting or boring using a fluid stream, e.g. a jet of gas, in conjunction with the laser beam; Nozzles therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

根據一些實施例,提供一種用於處理半導體晶圓的方法。該方法包括將一半導體晶圓裝載至一腔室中。該方法亦包括自該腔室產生一廢氣流。該方法進一步包括藉由將一處理氣體供應至該腔室中而在該半導體晶圓上沉積一膜。另外,該方法包括利用一氣體感測器來偵測該廢氣流中的該處理氣體的一濃度且根據該偵測的一結果產生一偵測信號。該方法進一步包括在該膜形成於該半導體晶圓上之後,將一清洗氣體供應至該腔室中持續一時間段。該時間段係基於該偵測信號來判定。

Description

半導體製造中薄膜沉積工藝的優化方法
半導體積體電路(integrated circuit;IC)產業已經歷指數增長。IC材料及設計的技術進步已產生多個世代的IC,其中每一世代具有比先前世代更小且更複雜的電路。在IC進化的過程中,儘管幾何大小(即,使用製程可產生的最小組件(或線))已減小,但功能密度(即,每單位晶片面積的互連裝置的數目)已大體上增大。此尺度縮小程序通常藉由提高生產效率及降低相關聯成本來提供益處。此尺度縮小亦已增大處理及製造IC的複雜度。
製造半導體時所使用的一些製程步驟包括氧化、擴散、摻雜、退火、蝕刻及膜沉積。此等製程通常在加熱受控環境內在高溫下執行。膜沉積係用於在半導體晶圓上生產或沉積材料薄膜的反應程序,該些材料薄膜包括,但不限於,金屬、二氧化矽、鎢、氮化矽、氧氮化矽及各種介電質。藉由膜沉積在半導體晶圓上沉積的膜的均勻性不令人滿意可不利地影響半導體裝置的功能。
儘管用於在晶圓上生產或沉積材料薄膜的現有裝置及方法大體上足夠適合該些裝置及方法的預期目的,但該些裝置及方法在各個方面尚未令人完全滿意。因此,將需要提供用於形成供晶圓處理設備中使用的薄膜的解決方法。
以下揭示內容提供用於實施所提供的標的的許多不同特徵的許多不同實施例或實例。在下文描述組件及配置的特定實例以簡化本揭露。當然,此等特定實例僅為實例且不欲為限制性的。舉例而言,在隨後的描述中的在第二特徵上方或上形成第一特徵可包括第一及第二特徵係直接接觸地形成的實施例,且亦可包括額外特徵可在第一特徵與第二特徵之間形成,以使得第一及第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複參考數字及/或字母。此重複僅用於簡化及清楚的目的,且本身並不決定所論述的各種實施例及/或組態之間的關係。
此外,為了便於描述,在本文中可使用諸如「在……下方」、「在……之下」、「下部」、「在……之上」、「上部」及類似者的空間相關術語,以描述如諸圖中所說明的一個元件或特徵與另外(多個)元件或特徵的關係。該些空間相關術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。設備可另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相關描述符可類似地加以相應解釋。
半導體裝置製造包括許多不同製程。一個此製程係原子層沉積(Atomic Layer Deposition;ALD)製程。ALD製程涉及順序地及交替地施加不同材料以在定位於沉積腔室內的基板上形成薄膜層。特別地,ALD製程涉及許多循環。每一循環涉及沉積製程及沖洗製程。然而,在ALD製程之後的半導體晶圓上的殘留氣體可在溫度下降發生時自氣態轉變至固態或液態,該轉變可不利地影響後續製程步驟。為了解決此問題,本揭露係關於減少半導體晶圓上的殘留氣體的ALD方法。在一個實例中,偵測腔室中的殘留氣體,且藉由該偵測的結果來判定供應用於吸收殘留氣體的清洗氣體持續時間或流動速率。
第1圖根據一些實施例展示用於對半導體晶圓5執行ALD製程的晶圓製造系統10的一個實施例的示意圖。然而,晶圓製造系統10不限於執行ALD製程且可在處理氣體經供應至腔室且穿過晶圓5的表面的情況下使用。舉例而言,晶圓製造系統10可係用於在半導體製造中執行正常CVD製程、蝕刻或退火製程的系統。
半導體晶圓5可由矽或其他半導體材料製成,另外或替代地,半導體晶圓5可包括諸如鍺(Ge)的其他基本半導體材料。在一些實施例中,半導體晶圓5係由化合物半導體製成,化合物半導體諸如碳化矽(SiC)、砷化鎵(GaAs)、砷化銦(InAs)或磷化銦(InP)。在一些實施例中,半導體晶圓5係由合金半導體製成,合金半導體諸如矽鍺(SiGe)、碳化矽鍺(SiGeC)、磷化鎵砷(GaAsP)或磷化鎵銦(GaInP)。在一些實施例中,半導體晶圓5包括磊晶層。舉例而言,半導體晶圓5具有上覆於體半導體的磊晶層。在一些其他實施例中,半導體晶圓5可為絕緣體上矽(silicon-on-insulator;SOI)或絕緣體上鍺(germanium-on-insulator;GOI)基板。
半導體晶圓5可具有各種裝置元件。形成於半導體晶圓5中的裝置元件的實例包括電晶體(例如,金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)電晶體、雙極接面電晶體(bipolar junction transistor;BJT)、高電壓電晶體、高頻率電晶體、p通道及/或n通道場效電晶體(p-channel and/or n-channel field-effect transistor;PFET/NFET)等)、二極體及/或其他適用元件。執行各種製程以形成裝置元件,該些製程諸如沉積、蝕刻、植入、光微影、退火及/或其他合適的製程。
在一些實施例中,晶圓製造系統10包括腔室30、絕緣罩40、晶舟50、氣體供應模組60、氣體排出模組70及加熱組件80。額外特徵可添加至晶圓製造系統10。對於晶圓製造系統10的額外實施例,可替換或消除下文所描述的特徵中的一些。
根據一些實施例,腔室30包括管31及密封蓋32。管31在該管的縱向軸線Z上延伸一高度且具有頂側33、側壁34及底側35。頂側33係封閉的,且底側35打開以允許晶舟50插入至腔室30中及自腔室30移除以用於對半導體晶圓5的批處理。頂側33及底側35位於管31的兩個相對側且沿著縱向軸線Z配置。側壁34將頂側33連接至底側35。在一個實施例中,底側35可具備如第1圖所示的凸緣351以用於收納密封蓋32。
腔室30在一個實施例中可具有圓柱形形狀且可由石英或任何其他合適的材料製成,舉例而言,任何其他合適的材料如SiC,但不限於此。腔室30可包括一塗層,該塗層諸如多晶矽或另一塗佈材料,通常視在該腔室中進行的製程的類型而使用。腔室30可視在每一批中待處理的晶圓的數目而具有任何合適的高度或長度。在一些例示性實施例中,腔室30可具有100至150 cm的代表性垂直高度或長度。
絕緣罩40用以將經由密封蓋32損失的熱減至最少。在一些實施例中,絕緣罩40定位在腔室30的接近底側35的下部位點中且包括一石英底座。在一些實施例中,絕緣罩40放置在旋轉台45上。旋轉台45定位在密封蓋32上。另外,諸如馬達的驅動構件47連接至旋轉台45。驅動構件47經配置以使得,在操作中,旋轉台45可繞平行於腔室30的縱向軸線的一旋轉軸線旋轉,且使定位在旋轉台45上的晶舟50旋轉。因此,膜沉積製程中的薄膜的均勻度得到改良。
晶舟50經調適用於支撐且固持許多垂直地堆疊的半導體晶圓5且允許反應物氣體在半導體晶圓5的面上方水平地流動以在該等半導體晶圓上建立所要的膜厚度。在一些實施例中,晶舟50定位在絕緣罩40上方且包括底板51、頂板52及許多柱53。
底板51及頂板52彼此面對地配置。柱53將底板51連接至頂板52。柱53中的每一者具有槽(未示出),該些槽用於在多個階段中直接固持待置放的半導體晶圓5或用於在多個階段中固持環狀支撐板,半導體晶圓5將置放在該些環狀支撐板上。
在一些實施例中,晶舟50中的半導體晶圓5的垂直間隔係分開約6至10 mm。在一些實施例中,晶舟50可經大小設定以固持50至125個半導體晶圓5或更多的半導體晶圓;然而,視提供的腔室30的高度而定,任何合適數目個晶圓可由晶舟固持。晶舟50可由石英、SiC、Si或此項技術中通常使用的任何其他合適的材料製成。
晶舟50可藉由任何合適的方法固定在絕緣罩40上。舉例而言,晶舟50可經由諸如螺釘的扣接構件固定在絕緣罩40上。扣接構件穿過底板51及絕緣罩40的頂表面以將底板51固定至絕緣罩40的頂表面;然而,可使用任何合適的扣接方法。
氣體供應模組60用以將處理氣體供應至腔室30中。在一些實施例中,氣體供應模組60包括許多氣管,諸如氣管62a、62b及62c。氣管62a、62b及62c中的每一者包括一垂直部分,該垂直部分自底側35沿著平行於縱向軸線Z的方向延伸至鄰近於管31的頂側33的一位置。
在一些實施例中,氣管62a、62b及62c中的每一者包括許多注入孔(在圖中未指示),該些注入孔穿過氣管62a、62b及62c中的每一者的壁以用於將氣管62a、62b及62c中的氣體排放至腔室30的內部。注入孔的數目可對應於形成於晶舟50的柱53中的狹縫的數目。舉例而言,晶舟50的柱53中的每一者具有180個狹縫以用於支撐半導體晶圓5,且氣管62a、62b及62c各自包括形成於氣管上的180個注入孔。
在一些實施例中,諸如流量控制構件64a、64b及64c的許多流量控制構件連接至氣管62a、62b及62c且用以控制處理氣體或沖洗氣體至腔室30的連接及輸送速率。流量控制構件64a、64b及64c可由自控制模組90發出的控制信號來控制。流量控制構件64a、64b及64c可包括諸如閥、流量計、感測器及類似物的裝置。
在一些實施例中,氣管62a、62b及62c連接至諸如氣源66a、66b及66c的氣源,用以儲存至少一種處理氣體或沖洗氣體以用於促進在腔室30中執行的膜沉積製程。在一些實施例中,儲存在氣源66a、66b及66c中的每一者中的處理氣體不相同。舉例而言,氣源66a用以儲存具有化學式M1LT或M1EU的含金屬(M1)的氣體,其中L係鹵素(F、Cl、Br、I)且T係大於0的整數,或其中E係含碳(C)及氫(H)或C、H及氮(N)或C、H及氧(O)的有機部分且其中U係大於0的整數。在一些實施例中,金屬M1係Ta、Ti或W。Ti的代表性源氣體係TiCl4 (四氯化鈦)或Ti{OCH(CH3)2}4。Ta源氣體係亦被稱為PDMAT的Ta{N(CH3)2}5,且W的源氣體係WF6。氣源66b用以儲存惰性氣體,諸如Ar、He或N2。氣源66c用以儲存氮源氣體,諸如NH3或N2H4。
氣體排出模組70用以移除腔室30中的氣態材料或電漿。在一些實施例中,氣體排出模組70包括出口埠71、排氣管道73、氣體偵測模組72及泵74。
在一些實施例中,出口埠71連接至側壁34的鄰近於底側35的下部部分以用於引導來自腔室30的廢氣流。出口埠71可定位在相對於縱向軸線Z的氣管62a、62b及62c的相對側出。出口埠71可與管31一體地形成且由石英、SiC、Si或此項技術中通常使用的任何其他合適的材料製成。排氣管道73連接至出口埠71以用於將廢氣流自出口埠71引導至泵74。排氣管道73可由諸如不銹鋼的防腐蝕材料製成。在一些實施例中,自管31移除的管31中的所有氣態材料或電漿係在膜沉積製程期間經由出口埠71及排氣管道73抽出。泵74連接至排氣管道73且用以自腔室30產生廢氣流。排氣管道73中的廢氣流的流動速率可藉由根據自控制模組90發出的控制信號控制泵74的輸出功率來調整。泵74可包括,但不限於,渦輪分子泵。
氣體偵測模組72用以偵測與來自腔室30的廢氣流有關的至少一個參數。在一個實施例中,氣體偵測模組72包括氣體管線75、氣體感測器76及閥77。氣體管線75在泵74的上游連接至排氣管道73。在一些實施例中,氣體管線75具有兩個末端751及752。氣體管線75係經由兩個末端751及752連接至排氣管道73。因此,廢氣流的一部分可經由氣體管線75的末端751流至氣體管線75中且經由氣體管線75的末端752返回至排氣管道73。然而,應瞭解,可對本揭露的實施例作出許多變化及修改。在一些其他實施例中,氣體管線75係一個末端經封閉的管道,且氣體管線75係經由另一開口末端連接至排氣管道73。
氣體感測器76用以偵測與廢氣流有關的參數,該些參數包括廢氣流的濃度、廢氣流的流動速率、廢氣流的氣體壓力、廢氣流的組成及/或廢氣流的種類,且基於偵測到的結果產生偵測信號。閥77用以控制至氣體管線75中的廢氣流的連接。閥77可藉由自控制模組90發出的控制信號來控制。在一些實施例中,氣體感測器76及閥77連接至氣體管線75,且閥77比氣體感測器76更接近氣體管線75連接至排氣管道73所在的點(即,氣體管線75的末端751)而定位。即,當閥77關閉時,不允許廢氣流自末端751流至氣體感測器76,且當閥77打開時,廢氣流在到達氣體感測器76之前流經閥77。在一些實施例中,氣體感測器76係殘留氣體分析器(residual gas analyzer;RGA),且監測廢氣流中的諸如TiCl4的特定氣態材料的濃度。
在一些實施例中,加熱組件80係沿著腔室30的側壁34配置。在一些實施例中,加熱組件80包括許多側壁加熱器,諸如側壁加熱器81及82,該些側壁加熱器自底側35至頂側33沿著腔室30的側壁34設置。在一些實施例中,側壁加熱器81及82係電阻型加熱器,該些加熱器具有可藉由經由控制模組90調整至每一加熱器的能量輸入來調節的可控熱輸出。來自側壁加熱器81及82的熱輸出可經微調以調整各個加熱器區域中的溫度。在一些實施例中,側壁加熱器81及82圍繞腔室30的整個圓周延伸。電阻線圈經由導體電耦接至電力電源,該電力電源可經由如工業中通常使用的合適的可變電阻電力控制件來選路,以允許調整來自側壁加熱器81及82的熱輸出(例如,Btu/h)。
控制模組90控制且引導製造工具,諸如腔室30、氣體供應模組60、氣體排出模組70及加熱組件80,以開始及停止膜沉積製程中所涉及的各種製程。
在一些實施例中,控制模組90包括處理器91及記憶體92。處理器91經配置以執行及/或解譯儲存在記憶體92中的指令的一或多個集合。在一些實施例中,處理器91係中央處理單元(central processing unit;CPU)、多處理器、分散式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或合適的處理單元。
記憶體92包括隨機存取記憶體或其他動態儲存裝置以用於儲存供處理器91執行的資料及/或指令。在一些實施例中,記憶體92係用於在將由處理器91執行的指令的執行期間儲存暫時變數或其他中間資訊。在一些實施例中,記憶體92亦包括唯讀記憶體或其他靜態儲存裝置以用於儲存用於處理器91的靜態資訊及指令。在一些實施例中,記憶體92係電子、磁性、光學、電磁、紅外線及/或半導體的系統(或設備或裝置)。舉例而言,記憶體92包括半導體或固態記憶體、磁帶、抽取式電腦磁片、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬質磁碟及/或光碟。在使用光碟的一些實施例中,記憶體92包括唯讀光碟記憶體(compact disk-read only memory;CD-ROM)、讀寫光碟(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
第2圖係根據一些實施例的說明用於在晶圓製造系統10中處理半導體晶圓5的方法S100的流程圖。為了說明,將與第1圖第3圖至第5圖中所示的圖式一起描述該流程圖。可在方法S100之前、期間及之後提供額外操作,且對於該方法的其他實施例,可替換或消除所描述的操作中的一些。
方法S100以操作S101開始,在該操作中,將半導體晶圓5裝載至晶圓製造系統10的腔室30中。在一些實施例中,為了將半導體晶圓5裝載至晶舟50中,藉助於提升機構(在圖中未示出)將密封蓋32及絕緣罩40與管31分開,且使晶舟50移動至由管31界定的空腔外。可藉由具有刀刃的機械臂(在圖中未示出)將半導體晶圓5移動至晶舟50中。
在將半導體晶圓5裝載至晶舟50之後,將晶舟50插入至腔室30中。可藉由藉助於提升機構(在圖中未示出)將密封蓋32及絕緣罩40抬起來將晶舟50裝載於管31中。當密封蓋32及絕緣罩40連接至管31的底側35時,晶舟50位於氣密的腔室30中。在一些實施例中,如第3圖所示,在半導體晶圓5的裝載之前,藉由側壁加熱器81及82將管31加熱至溫度T1。在半導體晶圓5的裝載之後,由於氣密腔室30建立,腔室30中的溫度自溫度T1升高至溫度T2。
方法S100以操作S102繼續,在該操作中,在管31中執行環境調整。在一些實施例中,如第3圖所示,在裝載半導體晶圓之後執行階段前調整(pre-stage adjustment)程序以調整腔室30的管31中的至少一個環境因素。舉例而言,階段前調整程序包括連續不斷地加熱管31以便允許熱在半導體晶圓5中的每一者均勻地分佈(例如,所有半導體晶圓5經加熱至具有溫度T2)。可藉由控制來自側壁加熱器81及82的熱輸出而將腔室30加熱至該腔室的預定溫度。來自側壁加熱器81及82中的每一者的熱輸出可獨立於其他側壁加熱器加以調整。每一側壁加熱器的熱輸出設定可由使用者手動地調整,或經由控制模組90結合控制信號來自動地控制,該些控制信號由安置於晶圓製造系統10中的溫度感測器產生及/或基於根據與在處理中的晶圓的大小相關的經驗及實驗資料導出的溫度輸出設定產生。另外或替代地,階段前調整程序包括將腔室30中的壓力調整至進行沉積製程所處的處理壓力。腔室30中的真空可藉由啟動泵74而產生。
在一些實施例中,在操作S102之後在腔室30中執行膜沉積製程以用於在半導體晶圓5上形成膜。在一些實施例中,如第3圖所示,在將腔室30中的環境調諧至預定條件之後,例如將溫度加熱至具有溫度T2,膜沉積製程開始執行。在當前實施例中,藉由將ALD循環重複多次以便在半導體晶圓5上形成多個單層來執行膜沉積製程。在一個例示性實施例中,每一ALD循環包括操作S103至S106,且在第4A圖至第4E圖中圖示藉由此方法形成的一或多個半導體配置。舉例說明而非限制,在第4A圖至第4E圖所示的製程中製造出MIM電容器。
在一些實施例中,如第4A圖所示,在執行ALD製程之前,藉由蝕刻形成許多溝槽55。蝕刻製程經設計以在半導體晶圓5上產生具有任何合適的高度及寬度的溝槽55。此可藉由控制蝕刻時間或藉由控制其他蝕刻參數來達成。在溝槽55形成之後,介電層57以保形剖面沉積在半導體晶圓5上,從而覆蓋溝槽55。介電層57可包括單一的介電材料層或多個介電材料層。介電層57的合適介電材料包括氧化矽、氮化矽、碳化矽、氟矽酸鹽玻璃(fluoro-silicate glass;FSG)、低k介電材料、其他合適的介電材料或其組合。介電層57可藉由包括以下各者的任何合適技術來沉積:熱生長、CVD、HDP-CVD、PVD、ALD及/或旋塗技術。在所圖示的實施例中,ALD製程係用作保形沉積技術。
在操作S103中,將第一處理氣體G1注入至腔室30中以在半導體晶圓5上形成一單層。在一些實施例中,為了產生第一處理氣體G1的脈衝,基於自控制模組90發出的控制信號將流量控制構件64a打開持續約0.1秒至10秒的預定持續時間,且接著,經由氣管62a將第一處理氣體G1自氣源66a供應至腔室30中。在一些實施例中,如第4B圖所示,在供應第一處理氣體G1之後,含金屬的薄層58a在介電層57上方。
在一些實施例中,第一處理氣體G1可為具有化學式M1LT或M1EU的含金屬(M1)的氣體,其中L係鹵素(F、Cl、Br、I)且T係大於0的整數,或其中E係含碳(C)及氫(H)或C、H及氮(N)或C、H及氧(O)的有機部分且其中U係大於0的整數。在一些實施例中,金屬M1係Ta、Ti或W。Ta的代表性源氣體係亦被稱為PDMAT的Ta{N(CH3)2}5,且W的源氣體係WF6。Ti源氣體係TiCl4,或若關心氯化物污染,則可使用Ti{OCH(CH3)2}4。在一些實施例中,第一處理氣體G1係以約10至1000標準立方公分每分鐘(standard cubic centimeters per minute;sccm)的流動速率注入至腔室30中持續0.1秒至10秒的時段。可選地,第一處理氣體G1可隨惰性載體氣體注入,惰性載體氣體係Ar、He或N2。在當前實施例中,第一處理氣體係TiCl4。
在操作S104中,將第一沖洗氣體G2注入至腔室30中以沖洗未反應的第一處理氣體G1。在一些實施例中,為了產生第一沖洗氣體G2的脈衝,流量控制構件64b將基於自控制模組90發出的控制信號打開持續約0.1秒至10秒的預定持續時間,且接著,經由氣管62b將第一沖洗氣體G2經由氣管62b自氣源66b供應至腔室30中。第一沖洗氣體G2可包括惰性氣體,諸如Ar、He或N2。第一處理氣體G1的單層58a保留在半導體晶圓5上。
在操作S105中,將第二處理氣體G3注入至腔室30中以在半導體晶圓5上形成一單層。在一些實施例中,為了產生第二處理氣體G3的脈衝,基於自控制模組90發出的控制信號將流量控制構件64c打開持續約0.1秒至10秒的預定持續時間,且接著,經由氣管62c將第二處理氣體G3自氣源66c供應至腔室30中。在一些實施例中,第二處理氣體G3係諸如NH3或N2H4的氮源氣體,且以在10 sccm與1000 sccm之間的速率流動0.1秒至10秒的時段。在反應以形成係金屬氮化物(M1N)的單層58b之前,氮源氣體可以或不可在第一處理氣體單層上形成單層。在當前實施例中,金屬氮化物(M1N)係TiN。
在操作S106中,將第二沖洗氣體G4注入至腔室30中以沖洗未反應的第二處理氣體G3及諸如HCl的副產物。在一些實施例中,第二沖洗氣體G4與第一沖洗氣體G1係自同一源66供應。在此情況下,為了產生第二沖洗氣體G4的脈衝,基於自控制模組90發出的控制信號將流量控制構件64b打開持續約0.1秒至10秒的預定持續時間,且接著,經由氣管62b將第二沖洗氣體G4自氣源66b供應至腔室30中。第二沖洗氣體G4可包括惰性氣體,諸如Ar、He或N2。金屬氮化物(M1N)的一單層保留在半導體晶圓5上。
在一些實施例中,在上文提及的操作S103至S106及隨後的操作S107至S110期間,藉由泵74連續地施加真空。在此情況下,未反應的氣態材料、沖洗氣體、副產物氣態材料及/或自腔室30的內壁刮下的粒子可自腔室30移除。
在操作S107中,判定可接受的膜厚度。在一些實施例中,藉由在控制模組90中的製程控製程式的幫助下記錄且監測直至可接受的點已沉積的單層的數目來判定膜厚度。由於每一單層具有已知厚度(例如1埃至35埃),因此可計算沉積在半導體晶圓5上的膜的厚度。在膜的厚度小於可接受的膜厚度的情況下,該方法以重複操作S103至S107繼續;否則,膜沉積製程完成,且半導體晶圓5準備好由例如摻雜的另外製程步驟進行處理。
然而,由於半導體晶圓5的表面上的殘留第一處理氣體G1自處理溫度(例如,第3圖的溫度T2)下的氣相轉換至室溫(例如,第3圖的溫度T1)下的固相/液相,金屬污染物可在膜沉積製程之後凝聚在半導體晶圓5的表面上。半導體晶圓5的表面上的金屬污染物可不利地影響隨後的製程步驟且導致生產良率降低。另外,金屬污染物可積聚在晶舟或腔室30的內壁上且污染下一批待處理的半導體晶圓。為了防止此污染發生,執行操作S108以移除半導體晶圓5上的殘留物。
在操作S108中,將清洗氣體G5注入至腔室30中以移除半導體晶圓5上及/或腔室30中的殘留氣態材料。在一些實施例中,清洗氣體G5與第一沖洗氣體G2係自同一源66c供應。在此情況下,如第5圖所示,為了產生清洗氣體G5的流,基於自控制模組90發出的控制信號將流量控制構件64c打開持續預定持續時間P1 (參見第3圖),且接著,經由氣管62c將清洗氣體G5自氣源66c供應至腔室30中。接著經由排氣管73將包括清洗氣體G5、殘留氣態材料(例如,第一處理氣體G1)、副產物氣態材料(例如,HCl)及/或粒子的廢氣流抽出腔室30。
在一些實施例中,用於供應清洗氣體G5的預定持續時間P1係由控制模組90控制,且預定持續時間P1係根據由操作S109產生的偵測信號判定。確切地說,在操作S109中,藉由氣體感測器76偵測廢氣流G6中的第一處理氣體G1的殘留物,然後氣體感測器76根據該偵測的結果產生偵測信號。在當前實施例中,偵測廢氣流G6中的處理氣體G1的濃度,且基於處理氣體G1的偵測到的濃度產生偵測信號。然而,應瞭解,可對本揭露的實施例作出許多變化及修改。與廢氣流G6有關的參數可包括廢氣流G6的組成(即,種類)、廢氣流G6的流動速率、第二處理氣體的濃度、副產物(例如,HCl)的濃度及粒子的濃度。
在一些實施例中,為了允許排氣管道73中的廢氣流G6流至氣體管線75中,在操作S109的初期將閥77打開。當閥77打開時,廢氣流G6的一部分流至氣體管線75中且穿過氣體感測器76。在一些實施例中,閥77在操作S109結束之前不關閉。藉由在膜沉積製程期間控制閥77關閉,可避免處理氣體在氣體偵測模組72中的沉積,且氣體偵測模組72的壽命得以延長。
在一些實施例中,在操作S110中藉由控制模組90對來自氣體感測器76的偵測信號進行評估以判定用於操作S108的預定持續時間P1。
在一些實施例中,第3圖中的預定持續時間P1係根據處理氣體G1的即時氣體濃度判定,且操作S109的對第一處理氣體G1的殘留物的偵測在操作S108結束之前不會停止。舉例而言,在操作S108期間,藉由氣體感測器76連續不斷地產生與處理氣體G1的濃度有關的偵測信號,且藉由控制模組90將該偵測信號與一預設臨限值進行比較。一旦該偵測信號低於該預設臨限值,控制模組90即觸發一控制信號以關閉流量控制構件64c,使得操作S108終止且該方法繼續至操作S111;否則,方法S100重複操作S108至S110。操作S109可與操作S108同時結束或在操作S108結束之後結束。在一些實施例中,氣體濃度的臨限值係例如能夠充分地防止金屬污染物在溫度下降之後凝聚在半導體晶圓5的表面上的氣體濃度的預期最大值。該最大值可根據與在處理中的半導體晶圓相關的經驗及實驗資料來判定。
替代地,預定持續時間P1係根據在操作S108的早期階段收集到的處理氣體G1的氣體濃度判定,且操作S109的對第一處理氣體G1的殘留物的偵測將在操作S108結束之前停止。舉例而言,在操作S108的初期,與處理氣體G1的濃度有關的偵測信號係由氣體感測器76產生且由控制模組90計算以計算預定持續時間P1。一旦預定持續時間P1的計算完成,操作S109即終止,且操作S108繼續持續計算出的預定持續時間P1。
在一些實施例中,預定持續時間P1係根據與在處理中的半導體晶圓5的圖案密度相關的經驗及實驗資料來判定。舉例而言,用於具有較高圖案密度的半導體晶圓5的預定持續時間P1比用於具有較低圖案密度的半導體晶圓5的預定持續時間長。與半導體晶圓5的圖案密度有關的預定持續時間P1的查找表可儲存在記憶體92中。處理器91將半導體晶圓5的圖案密度與預定持續時間P1匹配且根據匹配結果將控制信號傳輸至流量控制構件64c。
在預定持續時間P1係根據經驗及實驗資料直接判定的情況下,可省略操作S109及操作S110,且將檢查由腔室30處理的半導體晶圓5以核查是否存在由金屬污染物造成的任何污染。只要在半導體晶圓5上發現污染物,此意味著查找表中的資料與實際製程條件有偏差,將進行對查找表的修改。舉例而言,污染物出現在半導體晶圓5上可指示供應清洗氣體G5的時間不足,且因此,將修改查找表以延長預定持續時間P1。因此,下一批的半導體晶圓5可藉由利用經修改的查找表來處理,製程的可靠性因此得到改良。替代地,無論預定持續時間P1判定如何都執行操作S109,且查找表可基於在操作S109中產生的偵測信號以及半導體晶圓5的檢查結果加以修改。
在一些實施例中,在操作S109中根據對與第一處理氣體G1有關的參數的評估來調整清洗氣體G5的流動速率。舉例而言,當第一處理氣體G1或副產物氣態材料的濃度超過臨限值時,控制模組90可向流量控制構件64c發出控制信號以提高清洗氣體G5的流動速率,而非增加用於供應清洗氣體的持續時間。由於清洗氣體G5係以較高流動速率供應至腔室30中,因此腔室30中的殘留第一處理氣體G1可被快速地消耗或沖洗。
在操作S108完成之後,方法S100以操作S111繼續,在該操作中,執行階段後調整以藉由將諸如第一沖洗氣體G2的沖洗氣體供應至腔室30中而使腔室30中的壓力自真空迴歸至環境壓力。在一些實施例中,如第3圖所示,在操作S111期間,由於大量的沖洗氣體G2注入至腔室30中,腔室30的溫度自高溫度T2稍微下降至較低溫度T3。在一些實施例中,在操作S111開始之前,操作S109及操作S110完成,未偵測到排氣管道73中的廢氣流G6。
在一些實施例中,用於操作S108的預定持續時間P1可小於用於在操作S111中供應沖洗氣體的持續時間。然而,應瞭解,可對本揭露的實施例作出許多變化及修改。預定持續時間P1可等於用於供應第二沖洗氣體的持續時間或比該持續時間長。
方法S100以操作S112繼續,在該操作中,卸載半導體晶圓5。在一些實施例中,如第6圖所示,為了自晶舟50卸載半導體晶圓5,將密封蓋32自管31分開且將絕緣罩40及晶舟50移至由管31界定的空腔外。可藉由具有刀刃的機械臂(在圖中未示出)將半導體晶圓5自晶舟50移除。
第7圖係根據一些實施例的說明用於在晶圓製造系統10中處理半導體晶圓5的方法S200的流程圖。第8圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖。第9圖根據一些實施例展示說明在半導體晶圓上形成膜的方法的一循環程序的示意圖。為了說明,將與第1圖、第8圖及第9圖所示的圖式一起描述該流程圖。可在方法S200之前、期間及之後提供額外操作,且對於該方法的其他實施例,可替換或消除所描述的操作中的一些。
在一些實施例中,方法S200包括操作S201至S212。操作S201至S204與上述方法S100中的操作S101至S104實質上相同,操作S207至S209與操作S105至S107實質上相同,操作S210、S211及S212與操作S108、S111及S112實質上相同,且因此,為簡潔起見,不重複該些操作。
在一些實施例中,在方法S200的膜沉積製程(即,操作S203至S209)期間,如第8圖所示,廢氣流G7藉由經由排氣管73抽出氣態材料而形成,該氣態材料例如包括第一及第二處理氣體及第一及第二沖洗氣體。
在一些實施例中,每一ALD循環中的單層的均勻性出現,此係因為第二處理氣體G3與漂浮在腔室30中的殘留第一處理氣體G1反應,而非與半導體晶圓5上的單層反應。單層的此均勻性可不利地影響隨後的製程步驟且導致生產良率降低。為了防止此均勻性出現,執行操作S205及操作S206以判定用於供應第一沖洗氣體G2的預定持續時間。
在操作S205中,藉由氣體感測器76偵測廢氣流G7中的第一處理氣體G1的殘留物,然後氣體感測器76根據該偵測的結果產生偵測信號。在當前實施例中,偵測廢氣流G7中的處理氣體G1的濃度,且基於處理氣體G1的偵測到的濃度產生偵測信號。然而,應瞭解,可對本揭露的實施例作出許多變化及修改。與廢氣流G7有關的參數可包括廢氣流G7的組成(即,種類)、廢氣流G7的流動速率及粒子的濃度。
在一些實施例中,為了允許排氣管道73中的廢氣流G7流至氣體管線75中,閥77由控制模組90控制以打開。當閥77打開時,廢氣流G7的一部分流至氣體管線75中且穿過氣體感測器76。藉由在供應第二處理氣體G2 (即,操作S207)期間控制閥77關閉,可避免處理氣體在氣體偵測模組72中的沉積,且氣體偵測模組72的壽命得以延長。在一些實施例中,閥77在每一ALD循環中打開持續持續時間P3。在一些實施例中,如第9圖所示,持續時間P3可自ALD循環開始時延續至供應第二處理氣體的時刻。替代地,持續時間P3可自ALD循環開始時延續至第一沖洗氣體G2的供應完成的時刻。
在一些實施例中,在操作S206中藉由控制模組90對來自氣體感測器76的偵測信號進行評估以判定用於操作S204的預定持續時間P2。
在一些實施例中,預定持續時間P2係根據處理氣體G1的即時氣體濃度判定,且操作S205的對第一處理氣體G1的殘留物的偵測在操作S204結束之前不會停止。舉例而言,在操作S204期間,藉由氣體感測器76連續不斷地產生與處理氣體G1的濃度有關的偵測信號,且藉由控制模組90將該偵測信號與一預設臨限值進行比較。一旦該偵測信號低於該預設臨限值,控制模組90即觸發一控制信號以關閉流量控制構件64b,使得操作S204終止且該方法繼續至操作S207。操作S205可與操作S204同時結束或在操作S204結束之後結束。在一些實施例中,氣體濃度的臨限值係例如能夠充分地防止形成於半導體晶圓5的表面上的單層的均勻性的氣體濃度的預期最大值。該最大值可根據與在處理中的半導體晶圓相關的經驗及實驗資料來判定。
替代地,預定持續時間P2係根據在操作S204的早期階段收集到的處理氣體G1的氣體濃度判定,且操作S205的對第一處理氣體G1的殘留物的偵測將在操作S204結束之前停止。舉例而言,在操作S204的初期,與處理氣體G1的濃度有關的偵測信號係由氣體感測器76產生且由控制模組90計算以計算預定持續時間P2。一旦預定持續時間P2的計算完成,操作S205即終止,且操作S204繼續持續計算出的預定持續時間P2。
在一些實施例中,預定持續時間P2係根據與在處理中的半導體晶圓5的圖案密度相關的經驗及實驗資料來判定。舉例而言,用於具有較高圖案密度的半導體晶圓5的預定持續時間P2比用於具有較低圖案密度的半導體晶圓5的預定持續時間長。與半導體晶圓5的圖案密度有關的預定持續時間P2的查找表可儲存在記憶體92中。處理器91將半導體晶圓5的圖案密度與預定持續時間P2匹配且根據匹配結果將控制信號傳輸至流量控制構件64b。
在預定持續時間P2係根據經驗及實驗資料直接判定的情況下,可省略操作S205及操作S206,且將檢查由腔室30處理的半導體晶圓5以核查均勻性或膜厚度是否可接受。只要均勻性或膜厚度在製程窗口外,此意味著查找表中的資料與實際製程條件有偏差,將進行對查找表的修改。舉例而言,出現小於所要值的膜厚度可指示供應第一沖洗氣體G2的時間不足,且因此,將修改查找表以延長預定持續時間P2。因此,下一批的半導體晶圓5可藉由利用經修改的查找表來處理,製程的可靠性因此得到改良。替代地,無論預定持續時間P2判定如何都執行操作S205,且查找表可基於在操作S205中產生的偵測信號以及半導體晶圓5的檢查結果加以修改。
在一些實施例中,在操作S206中根據對與第一處理氣體G1有關的參數的評估來調整第一沖洗氣體G2的流動速率。舉例而言,當第一處理氣體G1的濃度超過臨限值時,控制模組90可向流量控制構件64b發出控制信號以提高第一沖洗氣體G2的流動速率,而非增加用於供應第一沖洗氣體G2的持續時間。由於第一沖洗氣體G2係以較高流動速率供應至腔室30中,因此腔室30中的殘留第一處理氣體G1可被快速地消耗或沖洗。
在操作S204完成之後,方法S200以操作S207至S212繼續。在一些實施例中,在操作S210中供應清洗氣體的持續時間可藉由執行操作S109及操作S110來判定。結果,膜均勻性及生產良率可得到顯著地改良。
然而,晶圓製造系統10不限於執行ALD製程且可在處理氣體經供應至腔室且穿過晶圓5的表面的情況下使用。舉例而言,晶圓製造系統10可係用於在半導體製造中執行正常CVD製程、蝕刻或退火製程的系統。在方法應用於蝕刻製程的情況下,可監測與在工具乾燥清洗程序之的蝕刻氣體有關的參數。
本揭露亦可併入至半導體製造的其他處理步驟中。舉例而言,可在蝕刻製程中執行即時地監測在排氣管道中氣態材料。在此情況下,可監測與在工具乾燥清洗程序之的蝕刻氣體有關的參數,以判定用於清洗腔室的殘留氣體是否被沖洗且將不污染待藉由腔室處理的下一個晶圓。
晶圓製造系統的實施例具有安裝在排氣管道處以對在處理期間產生的殘留氣體或副產物的濃度進行即時監測的氣體感測器。氣體感測器的安裝提供關於殘留氣體或副產物即時資訊。結果,處理參數可得到恰當控制。另外,可根據偵測結果來微調不同產品或製程的關於圖案密度或膜方案的規格,且因此,半導體晶圓的生產良率得到改良。
根據一些實施例,提供一種用於處理半導體晶圓的方法。該方法包括將一半導體晶圓裝載至一腔室中。該方法亦包括自該腔室產生一廢氣流。該方法進一步包括藉由將一處理氣體供應至該腔室中而在該半導體晶圓上沉積一膜。另外,該方法包括利用一氣體感測器來偵測該廢氣流中的該處理氣體的一濃度且根據該偵測的一結果產生一偵測信號。該方法進一步包括在該膜形成於該半導體晶圓上之後,將一清洗氣體供應至該腔室中持續一時間段。該時間段係基於該偵測信號來判定。
根據一些實施例,提供一種用於處理半導體晶圓的方法。該方法包括將一半導體晶圓裝載至一腔室中。該方法亦包括自該腔室產生一廢氣流。該方法進一步包括藉由將一第一處理氣體及一第二處理氣體多次交替地供應至該腔室中而在該半導體晶圓上沉積一膜。另外,該方法包括利用一氣體感測器來偵測該廢氣流中的該第一處理氣體的一濃度且根據該偵測的一結果產生一偵測信號。該方法進一步包括在該第一處理氣體的該供應與該第二處理氣體的該供應之間將一第一沖洗氣體供應至該處理腔室中持續一時間段。該時間段係基於該偵測信號來判定。
根據一些實施例,提供一種用於處理半導體晶圓的晶圓製造系統。該系統包括一腔室及一晶舟。該船定位在該腔室中,用以支撐至少一個半導體晶圓。該晶圓製造系統亦包括氣體供應模組,該氣體供應模組與該腔室氣體連通且用以將一處理氣體供應至該腔室中。該晶圓製造系統進一步包括一排氣管道、一泵及一氣體感測器。該排氣管道連接至該腔室。該泵連接至該排氣管道且用以自該腔室產生一廢氣流。該氣體感測器連接至該排氣管道且用以基於與該處理氣體有關的一參數來產生一偵測信號。另外,該晶圓製造系統包括一控制模組。該控制模組電連接至該氣體感測器及該氣體供應模組且用以基於該偵測信號來控制用於在該處理氣體的該供應之後將供應自該氣體供應模組的一清洗氣體供應至該腔室中的一持續時間。
先前內容概述幾個實施例的特徵,使得熟習此項技術者可更好地理解本揭露的態樣。熟習此項技術者應瞭解,該些熟習此項技術者可容易使用本揭露作為設計或修改用於實現相同目的及/或達成本文中介紹的實施例的相同優點的其他製程及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不背離本揭露的精神及範疇,且該些等效構造可在不背離本揭露的精神及範疇的情況下作出本文中的各種變化、替代及更改。
5:半導體晶圓 10:晶圓製造系統 30:腔室 31:管 32:密封蓋 33:頂側 34:側壁 35:底側 351:凸緣 40:絕緣罩 45:旋轉台 47:驅動構件 50:晶舟 51:底板 52:頂板 53:柱 55:溝槽 57:介電層 58a:含金屬的薄層 58b:單層 60:氣體供應模組 62a,62b,62c:氣管 64a,64b,64c:流量控制構件 66a,66b,66c:氣源 70:氣體排出模組 71:出口埠 72:偵測模組 73:排氣管道 74:泵 75:氣體管線 751,752:末端 76:氣體感測器 77:閥 80:加熱組件 81,82:側壁加熱器 90:控制模組 91:處理器 92:記憶體 G1:第一處理氣體 G2:第一沖洗氣體 G3:第二處理氣體 G4:第二沖洗氣體 G5:清洗氣體 G6,G7:廢氣流 Z:縱向軸線 S100,S200:方法 S101,S102,S103,S104,S105,S106,S107,S108,S109,S110,S111,S112,S201,S202,S203,S204,S205,S206,S207,S208,S209,S210,S211,S212:操作 T1,T2,T3:溫度
在結合附圖閱讀時自以下詳細描述最佳地理解本揭露的態樣。請注意,根據產業中的標準規程,各種特徵未按比例繪製。實際上,為了論述清楚,可任意地增大或減小各種特徵的尺寸。 第1圖根據一些實施例展示晶圓製造系統的一個實施例的示意圖。 第2圖根據一些實施例展示說明用於在晶圓製造系統中處理半導體晶圓的方法的流程圖。 第3圖根據一些實施例展示在用於處理半導體晶圓的製程中的爐中溫度對時間的圖表。 第4A圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,在半導體晶圓上形成溝槽。 第4B圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,在半導體晶圓上方供應第一處理氣體。 第4C圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,在半導體晶圓上方供應第一沖洗氣體。 第4D圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,在半導體晶圓上方供應第二處理氣體。 第4E圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,在半導體晶圓上方供應第二沖洗氣體。 第5圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,監測排氣管道中的廢氣流。 第6圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,將裝載有多個半導體晶圓的船自爐降低。 第7圖根據一些實施例展示說明用於在晶圓製造系統中處理半導體晶圓的方法的流程圖。 第8圖根據一些實施例展示在半導體晶圓上形成膜的方法的一階段的示意圖,在該階段中,監測排氣管道中的廢氣流。 第9圖根據一些實施例展示說明在半導體晶圓上形成膜的方法的循環程序的示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
S100:方法
S101,S102,S103,S104,S105,S106,S107,S108,S109,S110,S111,S112:操作

Claims (20)

  1. 一種用於處理半導體晶圓的方法,包含: 將一半導體晶圓裝載至一腔室中; 自該腔室產生一廢氣流; 藉由將一原子層沉積循環重複多次而在該半導體晶圓上沉積一膜,其中該原子層沉積循環中的每一者包含將一第一處理氣體及一第二處理氣體順序地供應至該腔室中; 利用一氣體感測器來偵測該廢氣流中的該第二處理氣體的一濃度且根據該偵測的一結果產生一偵測信號;及 在該膜形成於該半導體晶圓上之後,將一清洗氣體供應至該腔室中持續一時間段,其中該時間段係基於該偵測信號來判定。
  2. 如請求項1所述之方法,其中在最後一個ALD循環中的該第二處理氣體的該供應終止之後,起始該清洗氣體的該供應,且在該第二處理氣體的該供應終止之後,在該廢氣流中偵測該第二處理氣體的該濃度。
  3. 如請求項1所述之方法,其中在最後一個ALD循環中的該第二處理氣體的該供應終止之後,起始該清洗氣體的該供應,且在該第二處理氣體的供應結束之前,在該廢氣流中偵測該第二處理氣體的該濃度。
  4. 如請求項1所述之方法,進一步包含:將該廢氣流自該腔室引導傳遞至連接至該腔室的一排氣管道,其中藉由該氣體感測器來偵測該排氣管道中的該廢氣流。
  5. 如請求項4所述之方法,進一步包含: 控制一氣體管線上的一閥以允許該排氣管道中的該廢氣流的一部分流至該氣體管線中,該氣體感測器安裝在該氣體管線處;及 在藉由該氣體感測器偵測該廢氣流的該部分之前,引導該廢氣流的該部分穿過該閥。
  6. 如請求項1所述之方法,進一步包含:基於該偵測信號來判定供應至該腔室中的該清洗氣體的一流動速率。
  7. 如請求項1所述之方法,進一步包含: 將該偵測信號與一臨限值進行比較,其中該臨限值與該半導體晶圓的一圖案密度有關;及 基於該偵測信號與該臨限值的比較來判定該時間段。
  8. 如請求項1所述之方法,其中當該第二處理氣體的該濃度高於一臨限值時,將用於供應該清洗氣體的該時間段延長。
  9. 一種用於處理一半導體晶圓的方法,包含: 將一半導體晶圓裝載至一腔室中; 自該腔室產生一廢氣流; 藉由將一第一處理氣體及一第二處理氣體多次交替地供應至該腔室中而在該半導體晶圓上沉積一膜; 利用一氣體感測器來偵測該廢氣流中的該第一處理氣體的一濃度且根據該偵測的一結果產生一偵測信號;及 該第一處理氣體的該供應與該第二處理氣體的該供應之間,將一第一沖洗氣體供應至該腔室中持續一時間段,其中該時間段係基於該偵測信號來判定。
  10. 如請求項9所述之方法,其中在該第一沖洗氣體的該供應期間偵測該第一處理氣體的該濃度。
  11. 如請求項9所述之方法,其中在該第一沖洗氣體的供應結束之前偵測該第一處理氣體的該濃度。
  12. 如請求項9所述之方法,進一步包含:將該廢氣流自該腔室引導傳遞至連接至該腔室的一排氣管道,其中藉由該氣體感測器來偵測該排氣管道中的該廢氣流。
  13. 如請求項12所述之方法,進一步包含: 控制一氣體管線上的一閥以允許該排氣管道中的該廢氣流的一部分流至該氣體管線中,該氣體感測器安裝在該氣體管線處;及 在藉由該氣體感測器偵測該廢氣流的該部分之前,引導該廢氣流的該部分穿過該閥。
  14. 如請求項13所述之方法,進一步包含:在該第二處理氣體的該供應期間關閉該閥。
  15. 如請求項9所述之方法,進一步包含:基於該偵測信號來判定供應至該腔室中的該第一沖洗氣體的一流動速率。
  16. 如請求項9所述之方法,其中當該第一處理氣體的該濃度高於一臨限值時,將用於供應該第一沖洗氣體的該時間段延長。
  17. 一種晶圓製造系統,包含: 一腔室; 一晶舟,該晶舟定位在該腔室中、用以支撐至少一個半導體晶圓; 一氣體供應模組,該氣體供應模組與該腔室氣體連通且用以將一第一處理氣體及一第二處理氣體交替地供應至該腔室中; 一排氣管道,該排氣管道連接至該腔室; 一泵,該泵連接至該排氣管道且用以自該腔室產生一廢氣流; 一氣體感測器,該氣體感測器連接至該排氣管道且用以基於與該第二處理氣體有關的一參數來產生一偵測信號; 一控制模組,該控制模組電連接至該氣體感測器及該氣體供應模組且用以基於該偵測信號來控制用於在該第二處理氣體的該供應之後將供應自該氣體供應模組的一清洗氣體供應至該腔室中的一持續時間。
  18. 如請求項17所述之晶圓製造系統,進一步包含: 一氣體管線,該氣體管線連接至該排氣管道; 一閥,該閥連接至該氣體管線且用以控制進入該氣體管線的該廢氣流,其中該氣體感測器安裝在該氣體管線上。
  19. 如請求項18所述之晶圓製造系統,其中該閥比該氣體感測器更接近於該氣體管線連接至該排氣管道所在的一點而定位。
  20. 如請求項17所述之晶圓製造系統,其中該控制模組進一步用以控制該清洗氣體自該氣體供應模組至該腔室中的一流動速率。
TW110100393A 2020-02-10 2021-01-06 處理半導體晶圓的方法 TW202131394A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/786,870 2020-02-10
US16/786,870 US11232946B2 (en) 2020-02-10 2020-02-10 Method of optimizing film deposition process in semiconductor fabrication by using gas sensor

Publications (1)

Publication Number Publication Date
TW202131394A true TW202131394A (zh) 2021-08-16

Family

ID=77177835

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100393A TW202131394A (zh) 2020-02-10 2021-01-06 處理半導體晶圓的方法

Country Status (3)

Country Link
US (2) US11232946B2 (zh)
CN (1) CN113249710A (zh)
TW (1) TW202131394A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797009B (zh) * 2021-05-10 2023-03-21 環球晶圓股份有限公司 晶圓接合設備

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113871282B (zh) * 2021-09-26 2023-01-20 长鑫存储技术有限公司 气体清除设备、方法、装置、控制系统及存储介质
CN116083886B (zh) * 2021-11-05 2024-08-27 隆基绿能科技股份有限公司 一种进气量控制系统、方法和气相沉积炉及其操作工艺

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6624078B1 (en) * 2001-07-13 2003-09-23 Lam Research Corporation Methods for analyzing the effectiveness of wafer backside cleaning
KR20100106608A (ko) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797009B (zh) * 2021-05-10 2023-03-21 環球晶圓股份有限公司 晶圓接合設備

Also Published As

Publication number Publication date
US20220139695A1 (en) 2022-05-05
US20210249255A1 (en) 2021-08-12
CN113249710A (zh) 2021-08-13
US11232946B2 (en) 2022-01-25

Similar Documents

Publication Publication Date Title
TW202131394A (zh) 處理半導體晶圓的方法
TWI830277B (zh) 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
US9970112B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US7960293B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
US8158535B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
US20070134821A1 (en) Cluster tool for advanced front-end processing
JP2024102093A (ja) 間隙充填堆積プロセス
US8247331B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7524769B2 (en) Method and system for removing an oxide from a substrate
US8728935B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
TWI687999B (zh) 基板處理裝置、半導體裝置的製造方法及程式
WO2008005773A2 (en) Cluster tool for advanced front-end processing
TWI694518B (zh) 基板處理裝置、石英反應管、清潔方法暨記錄媒體
US20110206590A1 (en) Silicon oxide film, method for forming silicon oxide film, and plasma cvd apparatus
US7968470B2 (en) Plasma nitriding method, method for manufacturing semiconductor device and plasma processing apparatus
US20050142885A1 (en) Method of etching and etching apparatus
JP4503095B2 (ja) 半導体素子の製造方法
KR20210036969A (ko) 클리닝 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
JP5374749B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP5374748B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
TWI788771B (zh) 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置
JP2004172409A (ja) 反応容器のクリーニング方法及び成膜装置
TW201916130A (zh) 在鍋爐內加工半導體晶圓的方法
US20230390810A1 (en) Cleaning method and processing apparatus