TW202129718A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TW202129718A
TW202129718A TW109102927A TW109102927A TW202129718A TW 202129718 A TW202129718 A TW 202129718A TW 109102927 A TW109102927 A TW 109102927A TW 109102927 A TW109102927 A TW 109102927A TW 202129718 A TW202129718 A TW 202129718A
Authority
TW
Taiwan
Prior art keywords
region
isolation structure
substrate
conductivity type
well
Prior art date
Application number
TW109102927A
Other languages
Chinese (zh)
Other versions
TWI738198B (en
Inventor
蕭逸璿
Original Assignee
旺宏電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旺宏電子股份有限公司 filed Critical 旺宏電子股份有限公司
Priority to TW109102927A priority Critical patent/TWI738198B/en
Publication of TW202129718A publication Critical patent/TW202129718A/en
Application granted granted Critical
Publication of TWI738198B publication Critical patent/TWI738198B/en

Links

Images

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Provided is a semiconductor device including a substrate of a first conductive type; a first well region of a second conductive type in the substrate; a second well of a first conductive type in the substrate; a source region and a drain region of the second conductive type in the second well and the first well, respectively; an isolation structure disposed between the source region and the drain region; a gate structure on the substrate between the source region and the drain region, and covering a portion of the isolation structure; a first top doped region below the source region in the second well; and a second top doped region below the isolation structure and in the first well. A method of manufacturing the semiconductor device is also provided.

Description

半導體元件及其製造方法Semiconductor element and its manufacturing method

本發明是有關於一種半導體元件及其製造方法,且特別是有關於一種超高壓半導體元件及其製造方法。The present invention relates to a semiconductor element and a manufacturing method thereof, and more particularly to an ultra-high voltage semiconductor element and a manufacturing method thereof.

超高壓半導體元件在操作時必須具備較高的崩潰電壓(breakdown voltage)以及較低的導通電阻(on-state resistance)。目前的超高壓半導體元件由於基底的阻值較高,以致崩潰電壓無法有效提升。Ultra-high voltage semiconductor components must have a higher breakdown voltage and lower on-state resistance during operation. The current ultra-high voltage semiconductor device has a relatively high resistance value of the substrate, so that the breakdown voltage cannot be effectively increased.

本發明提供一種半導體元件及其製造方法,可以降低電流路徑的阻值,提升半導體元件的崩潰電壓。The present invention provides a semiconductor element and a manufacturing method thereof, which can reduce the resistance of a current path and increase the breakdown voltage of the semiconductor element.

本發明的半導體元件包括:基底,具有第一導電型;第一井區,設置於所述基底中且具有第二導電型;第二井區,設置於所述基底中且具有所述第一導電型;源極區與汲極區,設置於所述基底中且具有所述第二導電型,所述汲極區位於所述第一井區中,所述源極區位於所述第二井區中;隔離結構,設置於所述源極區與所述汲極區之間;閘極結構,設置於所述源極區與所述汲極區之間的所述基底上,其中所述閘極結構覆蓋部分的所述隔離結構;第一頂摻雜區,設置於所述源極區下方的所述第二井區中且具有所述第一導電型;以及第二頂摻雜區,設置於所述隔離結構下方的所述第一井區中且具有所述第一導電型。The semiconductor element of the present invention includes: a substrate having a first conductivity type; a first well region provided in the substrate and having a second conductivity type; a second well region provided in the substrate and having the first conductivity type; Conductivity type; a source region and a drain region are disposed in the substrate and have the second conductivity type, the drain region is located in the first well region, and the source region is located in the second In the well region; the isolation structure is disposed between the source region and the drain region; the gate structure is disposed on the substrate between the source region and the drain region, wherein The gate structure covers a portion of the isolation structure; a first top doped region is disposed in the second well region below the source region and has the first conductivity type; and a second top doped region The region is disposed in the first well region under the isolation structure and has the first conductivity type.

本發明的半導體元件的製造方法包括以下步驟。於具有第一導電型的基底中形成第一井區,所述第一井區具有第二導電型;於所述基底中形成第二井區,所述第二井區具有第一導電型;於所述第二井區中形成第一頂摻雜區,並於所述第一井區中形成第二頂摻雜區,所述第一頂摻雜區與所述第二頂摻雜區具有所述第一導電型;於所述基底上形成隔離結構,其中所述第二頂摻雜區位於所述隔離結構下方;於所述基底上形成閘極結構,其中所述閘極結構覆蓋部分的所述隔離結構;以及在所述閘極結構的一側與所述隔離結構的一側的所述基底中分別形成具有所述第二導電型的源極區與汲極區,其中所述源極區位於所述第一頂摻雜區上且與所述閘極結構相鄰,所述汲極區與所述隔離結構相鄰。The manufacturing method of the semiconductor element of the present invention includes the following steps. Forming a first well region in a substrate having a first conductivity type, the first well region having a second conductivity type; forming a second well region in the substrate, the second well region having a first conductivity type; A first top doped region is formed in the second well region, and a second top doped region is formed in the first well region, the first top doped region and the second top doped region Having the first conductivity type; forming an isolation structure on the substrate, wherein the second top doped region is located under the isolation structure; forming a gate structure on the substrate, wherein the gate structure covers Part of the isolation structure; and a source region and a drain region having the second conductivity type are formed in the substrate on one side of the gate structure and one side of the isolation structure, wherein The source region is located on the first top doped region and is adjacent to the gate structure, and the drain region is adjacent to the isolation structure.

基於上述,由於本發明的半導體元件在源極區下方增加頂摻雜區可降低電流路徑的阻值,提升半導體元件的崩潰電壓。Based on the above, since the semiconductor device of the present invention adds the top doped region below the source region, the resistance of the current path can be reduced, and the breakdown voltage of the semiconductor device can be increased.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。In order to make the above-mentioned features and advantages of the present invention more comprehensible, the following specific embodiments are described in detail in conjunction with the accompanying drawings.

在以下的實施例中,第一導電型為P型,而第二導電型為N型;然而,本發明並不以此為限。在其他實施例中,第一導電型可以為P型,且第二導電型可以為N型。P型摻雜例如是硼,且N型摻雜例如是磷或砷。In the following embodiments, the first conductivity type is P type, and the second conductivity type is N type; however, the present invention is not limited to this. In other embodiments, the first conductivity type may be P-type, and the second conductivity type may be N-type. The P-type dopant is, for example, boron, and the N-type dopant is, for example, phosphorus or arsenic.

本文的示意圖僅是用以示意本發明部分的實施例。因此,示意圖中所示之各個元件的形狀、數量及比例大小不應被用來限制本發明。The schematic diagrams herein are only used to illustrate some embodiments of the present invention. Therefore, the shape, number, and ratio of each element shown in the schematic diagram should not be used to limit the present invention.

圖1A為本發明的實施例的半導體元件的俯視示意圖。圖1B為本發明的實施例的半導體元件之頂摻雜區與其他構件的俯視示意圖。圖2H為依據圖1A的半導體元件的剖面示意圖。在此需說明的是,圖2H是對應於圖1A的剖線A-A’的剖面示意圖。FIG. 1A is a schematic top view of a semiconductor device according to an embodiment of the present invention. FIG. 1B is a schematic top view of the top doped region and other components of a semiconductor device according to an embodiment of the present invention. FIG. 2H is a schematic cross-sectional view of the semiconductor device according to FIG. 1A. It should be noted that FIG. 2H is a schematic cross-sectional view corresponding to the section line A-A' of FIG. 1A.

請同時參照圖1A、圖1B以及圖2H,本實施例的半導體元件10例如是一種超高壓元件,其操作電壓例如是300V至1000V。在一實施例中,半導體元件10包括基底100、第一井區110、頂摻雜區120、隔離結構200、閘極結構300、源極區130以及汲極區140。在本實施例中,於源極區130與汲極區140之間形成多個指狀區域MF,因此,本實施例的半導體元件10也可稱為指狀超高壓元件。詳細地說,源極區130與汲極區140之間例如包括多個直線區域L以及多個轉彎區域R。兩個彼此平行的直線區域L與將所述兩個直線區域L相連的一個轉彎區域R可構成一個指狀區域,因此,多個直線區域L與多個轉彎區域R彼此相連而形成多個指狀區域MF。各個轉彎區域R例如為呈C字型、U字型或是跑道型轉彎區域。Please refer to FIG. 1A, FIG. 1B and FIG. 2H at the same time. The semiconductor device 10 of this embodiment is, for example, an ultra-high voltage device, and its operating voltage is, for example, 300V to 1000V. In an embodiment, the semiconductor device 10 includes a substrate 100, a first well region 110, a top doped region 120, an isolation structure 200, a gate structure 300, a source region 130 and a drain region 140. In this embodiment, a plurality of finger regions MF are formed between the source region 130 and the drain region 140. Therefore, the semiconductor device 10 of this embodiment can also be referred to as a finger ultra-high voltage device. In detail, the source region 130 and the drain region 140 include, for example, a plurality of linear regions L and a plurality of turning regions R. Two linear regions L parallel to each other and a turning region R connecting the two linear regions L can form a finger-like region. Therefore, multiple linear regions L and multiple turning regions R are connected to each other to form multiple fingers.状area MF. Each turning area R is, for example, a C-shaped, U-shaped, or track-shaped turning area.

基底100例如為具有第一導電型的半導體基底。舉例來說,在本實施例中,基底100為P型基底,且基底100的材料可例如是選自於由Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs與InP所組成的群組中的至少一種材料。在另一實施例中,基底100也可為覆矽絕緣(SOI)基底。在又一實施例中,基底100可為P型磊晶(P-epi)晶圓。The substrate 100 is, for example, a semiconductor substrate having a first conductivity type. For example, in this embodiment, the substrate 100 is a P-type substrate, and the material of the substrate 100 may be selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs, and InP, for example. At least one material in the group. In another embodiment, the substrate 100 may also be a silicon-on-insulator (SOI) substrate. In another embodiment, the substrate 100 may be a P-epi wafer.

第一井區110設置於基底100中且具有第二導電型。第一井區110例如為N型井區,且例如為高壓N型井區(HVNW)。The first well region 110 is disposed in the substrate 100 and has a second conductivity type. The first well area 110 is, for example, an N-type well area, and is, for example, a high-pressure N-type well area (HVNW).

在本實施例中,半導體元件10可更包括第二井區112。第二井區112具有第一導電型。第二井區112例如為P型井區。在本實施例中,第二井區112形成於基底100中,且其側壁延伸至第一井區110中。第二井區112例如做為半導體元件10的源極井區。In this embodiment, the semiconductor device 10 may further include a second well region 112. The second well region 112 has the first conductivity type. The second well area 112 is, for example, a P-type well area. In this embodiment, the second well region 112 is formed in the substrate 100 and its sidewall extends into the first well region 110. The second well region 112 is, for example, a source well region of the semiconductor device 10.

源極區130以及汲極區140例如設置於基底100中且具有第二導電型。源極區130以及汲極區140例如為N型摻雜區。在本實施例中,源極區130位於第二井區112中,而汲極區140位於第一井區110中。在圖1A中,源極區130位於多個指狀區域MF的外圍區域OR之中,而汲極區140位於多個指狀區域MF所圍的內圍區域IR之中。The source region 130 and the drain region 140 are, for example, disposed in the substrate 100 and have the second conductivity type. The source region 130 and the drain region 140 are, for example, N-type doped regions. In this embodiment, the source region 130 is located in the second well region 112, and the drain region 140 is located in the first well region 110. In FIG. 1A, the source region 130 is located in the outer region OR of the plurality of finger regions MF, and the drain region 140 is located in the inner region IR surrounded by the plurality of finger regions MF.

在本實施例中,半導體元件10可更包括摻雜區132以及134。摻雜區132以及134具有第一導電型,例如為P型摻雜區。摻雜區132又稱為塊狀摻雜區,其位於第二井區112中且與源極區130相鄰。摻雜區134位於基底100中。In this embodiment, the semiconductor device 10 may further include doped regions 132 and 134. The doped regions 132 and 134 have the first conductivity type, for example, are P-type doped regions. The doped region 132 is also called a bulk doped region, which is located in the second well region 112 and adjacent to the source region 130. The doped region 134 is located in the substrate 100.

隔離結構200位於基底100上且位於源極區130以及汲極區140之間。在本實施例中,隔離結構200包括第一隔離結構200a、第二隔離結構200b、第三隔離結構200c以及第四隔離結構200d。第一隔離結構200a位於基底100上,且與摻雜區134相鄰。第二隔離結構200b位於摻雜區134與摻雜區132之間,且覆蓋部分的第二井區112。第三隔離結構200c位於第一井區110上,且位於源極區130與汲極區140之間。在圖1A中,第三隔離結構200c設置於源極區130與汲極區140之間的多個指狀區域MF上。第四隔離結構200d位於第一井區110上,與汲極區140相鄰。換言之,源極區130位於第二隔離結構200b與第三隔離結構200c之間,而汲極區140位於第三隔離結構200c與第四隔離結構200d之間。在本實施例中,隔離結構200例如是場氧化物(field oxide)層。亦即,隔離結構200的材料例如為絕緣材料,且例如為未摻雜的氧化矽、氮化矽或其組合。The isolation structure 200 is located on the substrate 100 and between the source region 130 and the drain region 140. In this embodiment, the isolation structure 200 includes a first isolation structure 200a, a second isolation structure 200b, a third isolation structure 200c, and a fourth isolation structure 200d. The first isolation structure 200 a is located on the substrate 100 and adjacent to the doped region 134. The second isolation structure 200 b is located between the doped region 134 and the doped region 132 and covers a part of the second well region 112. The third isolation structure 200 c is located on the first well region 110 and between the source region 130 and the drain region 140. In FIG. 1A, the third isolation structure 200c is disposed on a plurality of finger regions MF between the source region 130 and the drain region 140. The fourth isolation structure 200 d is located on the first well region 110 and adjacent to the drain region 140. In other words, the source region 130 is located between the second isolation structure 200b and the third isolation structure 200c, and the drain region 140 is located between the third isolation structure 200c and the fourth isolation structure 200d. In this embodiment, the isolation structure 200 is, for example, a field oxide layer. That is, the material of the isolation structure 200 is, for example, an insulating material, and is, for example, undoped silicon oxide, silicon nitride, or a combination thereof.

閘極結構300例如設置於源極區130與汲極區140之間的基底100以及第三隔離結構200c上。從另一個角度來看,閘極結構300覆蓋部分的第一井區110以及第二井區112,與源極區130相鄰,並且覆蓋部分的第三隔離結構200c。在本實施例中,閘極結構300包括閘氧化層302、閘極304以及間隙壁306。閘氧化層302例如設置於基底100上,且位於源極區130與第三隔離結構200c之間。閘極304例如設置於閘氧化層302與第三隔離結構200c上。間隙壁306例如設置於閘極304的側壁上。閘氧化層302與間隙壁306的材料例如是氧化矽、氮化矽或其組合。閘極304的材料例如是金屬或其合金、多晶矽或其組合。The gate structure 300 is, for example, disposed on the substrate 100 between the source region 130 and the drain region 140 and the third isolation structure 200c. From another perspective, the gate structure 300 covers a part of the first well region 110 and the second well region 112, is adjacent to the source region 130, and covers a part of the third isolation structure 200c. In this embodiment, the gate structure 300 includes a gate oxide layer 302, a gate 304, and a spacer 306. The gate oxide layer 302 is, for example, disposed on the substrate 100 and located between the source region 130 and the third isolation structure 200c. The gate electrode 304 is, for example, disposed on the gate oxide layer 302 and the third isolation structure 200c. The spacer 306 is, for example, provided on the side wall of the gate electrode 304. The material of the gate oxide layer 302 and the spacer 306 is, for example, silicon oxide, silicon nitride, or a combination thereof. The material of the gate electrode 304 is, for example, metal or its alloy, polysilicon or a combination thereof.

在本發明的實施例中,頂摻雜區120包括頂摻雜區120a以及頂摻雜區120b。頂摻雜區120具有第一導電型,例如為P型。頂摻雜區120a設置於源極區130以及摻雜區134下方。在一些實施例中,頂摻雜區120a在第二井區112之中,且向下延伸至基底100中,使得頂摻雜區120a的底表面與基底100的頂表面之間的距離D1大於第二井區112的底表面與基底100的頂表面之間的距離D2。頂摻雜區120b設置於第三隔離結構200c下方的第一井區110中(如圖2H所述)。在另一些實施例中,頂摻雜區120a設置於第二井區112之中,且頂摻雜區120a的底表面與基底100的頂表面之間的距離D1小於第二井區112的底表面與基底100的頂表面之間的距離D2(未示出)。In an embodiment of the present invention, the top doped region 120 includes a top doped region 120a and a top doped region 120b. The top doped region 120 has a first conductivity type, for example, a P type. The top doped region 120a is disposed under the source region 130 and the doped region 134. In some embodiments, the top doped region 120a is in the second well region 112 and extends downward into the substrate 100, so that the distance D1 between the bottom surface of the top doped region 120a and the top surface of the substrate 100 is greater than The distance D2 between the bottom surface of the second well region 112 and the top surface of the substrate 100. The top doped region 120b is disposed in the first well region 110 under the third isolation structure 200c (as described in FIG. 2H). In other embodiments, the top doped region 120a is disposed in the second well region 112, and the distance D1 between the bottom surface of the top doped region 120a and the top surface of the substrate 100 is smaller than the bottom of the second well region 112. The distance D2 between the surface and the top surface of the substrate 100 (not shown).

在圖1A中,源極區130位於多個指狀區域MF外圍的外圍區域OR中,頂摻雜區120a位於源極區130下方。頂摻雜區120b設置在多個指狀區域MF之中的第三隔離結構200c的下方。為了清楚起見,在圖1B中,未繪示出源極區130以及第三隔離結構200c,以清楚地示出頂摻雜區120a、120b所在的位置。在圖1B中,頂摻雜區120a位於多個指狀區域MF外圍的外圍區域OR中,而頂摻雜區120b設置多個指狀區域MF之中。In FIG. 1A, the source region 130 is located in the peripheral region OR around the plurality of finger regions MF, and the top doped region 120 a is located below the source region 130. The top doped region 120b is disposed under the third isolation structure 200c among the plurality of finger regions MF. For the sake of clarity, in FIG. 1B, the source region 130 and the third isolation structure 200c are not shown to clearly show where the top doped regions 120a and 120b are located. In FIG. 1B, the top doped region 120a is located in the peripheral region OR of the periphery of the plurality of finger regions MF, and the top doped region 120b is disposed in the plurality of finger regions MF.

圖3的曲線S100以及S200分別為圖2H中剖線B-B’以及C-C’之頂摻雜區120a以及120b之摻雜輪廓。請參照圖1B、圖2H以及圖3,在一些實施例中,頂摻雜區120a的摻雜輪廓的曲線S200的峰值,比頂摻雜區120b的摻雜輪廓的曲線S100的峰值接近基底100的表面。亦即,頂摻雜區120b的峰值比頂摻雜區120a的峰值的深度深。Curves S100 and S200 in FIG. 3 are the doping profiles of the top doped regions 120a and 120b of the section lines B-B' and C-C' in FIG. 2H, respectively. 1B, FIG. 2H and FIG. 3, in some embodiments, the peak of the curve S200 of the doping profile of the top doped region 120a is closer to the substrate 100 than the peak of the curve S100 of the doping profile of the top doped region 120b. s surface. That is, the peak of the top doped region 120b is deeper than the depth of the peak of the top doped region 120a.

請參照圖1A、圖2H,在本實施例中,半導體元件10可更包括梯區122。梯區122包括梯區122a與122b。梯區122具有第二導電型,例如為N型。梯區122a設置於第二井區112之中,位於源極區130、摻雜區134與頂摻雜區120a之間。梯區122b位於第三隔離結構200c與頂摻雜區120b之間。梯區122與頂摻雜區120可以具有相同或是相似的形狀。Please refer to FIG. 1A and FIG. 2H. In this embodiment, the semiconductor device 10 may further include a stepped region 122. The terrace 122 includes terraces 122a and 122b. The terrace 122 has a second conductivity type, for example, an N type. The stepped region 122a is disposed in the second well region 112, between the source region 130, the doped region 134 and the top doped region 120a. The stepped region 122b is located between the third isolation structure 200c and the top doped region 120b. The stepped region 122 and the top doped region 120 may have the same or similar shapes.

在本實施例的半導體元件10中,在源極區130以及摻雜區132下方增加了梯區122a以及頂摻雜區120a,可以降低汲極區140至摻雜區132之間的電流路徑的電阻,以允許更大的電流可以通過電流路徑,再經由摻雜區130與132流出。因此,藉由梯區122a以及頂摻雜區120a可以提高元件的崩潰電壓,提升元件的效能。In the semiconductor device 10 of this embodiment, a stepped region 122a and a top doped region 120a are added under the source region 130 and the doped region 132, which can reduce the current path between the drain region 140 and the doped region 132. Resistance to allow a larger current to pass through the current path and then flow out through the doped regions 130 and 132. Therefore, the breakdown voltage of the device can be increased by the stepped region 122a and the top doped region 120a, and the performance of the device can be improved.

圖2A~圖2H為本發明的一實施例的半導體元件的製造方法的剖面示意圖。在此必須說明的是,在此實施例中省略了部分上述的相同技術內容的說明。關於省略部分的說明可參考上述實施例的描述與效果,下述實施例不再重複贅述。2A to 2H are schematic cross-sectional views of a method of manufacturing a semiconductor device according to an embodiment of the present invention. It must be noted here that part of the description of the same technical content described above is omitted in this embodiment. For the description of the omitted parts, reference may be made to the description and effects of the foregoing embodiment, and the following embodiments will not be repeated.

請參照圖2A,提供具有第一導電型的基底100。接著於基底100中形成具有第二導電型的第一井區110。在本實施例中,基底100為P型基底,且第一井區110為N型高壓井區。於基底100中形成第一井區110的方法例如包括以下步驟。首先,於基底100上形成圖案化的罩幕層102。接著,藉由進行離子植入製程104,以在基底100中植入摻雜。上述離子植入製程104所植入的摻雜例如是磷或砷,摻雜的劑量例如是2E12 cm-2 至5E12 cm-2 。在移除上述的圖案化的罩幕層102之後,可以再進行熱處理製程,以形成第一井區110。2A, a substrate 100 having a first conductivity type is provided. Then, a first well region 110 of the second conductivity type is formed in the substrate 100. In this embodiment, the substrate 100 is a P-type substrate, and the first well region 110 is an N-type high-pressure well region. The method of forming the first well region 110 in the substrate 100 includes, for example, the following steps. First, a patterned mask layer 102 is formed on the substrate 100. Next, an ion implantation process 104 is performed to implant dopants in the substrate 100. The dopant implanted by the ion implantation process 104 is, for example, phosphorus or arsenic, and the doping dose is, for example, 2E12 cm -2 to 5E12 cm -2 . After the above-mentioned patterned mask layer 102 is removed, a heat treatment process may be performed to form the first well region 110.

請參照圖2B,於第一井區110中形成具有第一導電型的第二井區112。在本實施例中,第二井區112為P型井區。形成第二井區112的方法例如包括以下步驟。首先,於基底100上形成圖案化的罩幕層106。接著,藉由圖案化的罩幕層106進行離子植入製程108。上述的離子植入製程108所植入的摻雜例如是硼,摻雜的劑量例如是8E12 cm-2 至1.2E13 cm-2 。之後,移除上述的圖案化的罩幕層106且進行熱處理製程,以於第一井區110中形成第二井區112。Referring to FIG. 2B, a second well region 112 having a first conductivity type is formed in the first well region 110. In this embodiment, the second well area 112 is a P-type well area. The method of forming the second well region 112 includes, for example, the following steps. First, a patterned mask layer 106 is formed on the substrate 100. Next, an ion implantation process 108 is performed through the patterned mask layer 106. The dopant implanted in the aforementioned ion implantation process 108 is, for example, boron, and the doping dose is, for example, 8E12 cm -2 to 1.2E13 cm -2 . After that, the above-mentioned patterned mask layer 106 is removed and a heat treatment process is performed to form the second well area 112 in the first well area 110.

請參照圖2C,於第二井區112中形成頂摻雜區120a,並於第一井區110中形成頂摻雜區120b。在本實施例中,頂摻雜區120的導電型為P型。頂摻雜區120a、120b可以在同一步驟中同時形成。在一些實施例中,形成頂摻雜區120a、120b的方法例如包括以下步驟。首先,於基底100上形成圖案化的罩幕層114。接著,以圖案化的罩幕層114為罩幕,進行離子植入製程116,以於第二井區112中形成頂摻雜區120a,並於第一井區110中形成頂摻雜區120b。上述離子植入製程116所植入的摻雜例如是硼,摻雜的劑量例如是5E12 cm-2 至1E13 cm-2 。之後,移除上述的圖案化的罩幕層114。形成後的頂摻雜區120a自第二井區112的頂部表面向下延伸。形成後的頂摻雜區120b自第一井區110的頂部表面向下延伸。2C, a top doped region 120a is formed in the second well region 112, and a top doped region 120b is formed in the first well region 110. In this embodiment, the conductivity type of the top doped region 120 is P type. The top doped regions 120a and 120b can be formed at the same time in the same step. In some embodiments, the method of forming the top doped regions 120a and 120b includes the following steps, for example. First, a patterned mask layer 114 is formed on the substrate 100. Next, using the patterned mask layer 114 as a mask, an ion implantation process 116 is performed to form a top doped region 120a in the second well region 112 and a top doped region 120b in the first well region 110 . The dopant implanted by the ion implantation process 116 is, for example, boron, and the doping dose is, for example, 5E12 cm -2 to 1E13 cm -2 . After that, the above-mentioned patterned mask layer 114 is removed. The formed top doped region 120a extends downward from the top surface of the second well region 112. The formed top doped region 120b extends downward from the top surface of the first well region 110.

請參照圖2D,於第二井區112中形成具有第二導電型的梯區122a,並於第一井區110中形成具有第二導電型的梯區122b。在本實施例中,梯區122a、122b的導電型為N型。梯區122a、122b可以在同一步驟中同時形成。在一些實施例中,於第一井區110中形成梯區122a、122b例如包括以下步驟。藉由圖案化的罩幕層114為罩幕,進行離子植入製程118。上述離子植入製程118所植入的摻雜例如是磷或砷,摻雜的劑量例如是1E12 cm-2 至5E12 cm-2 。之後,移除上述的圖案化的罩幕層114。在移除上述的圖案化的罩幕層114之後,進行熱處理製程,以頂摻雜區120a、120b以及梯區122a、122b中的摻雜擴散至預定的寬度以及深度,使頂摻雜區120a、120b以及梯區122a、122b具有所需的輪廓。上述熱處理製程的溫度例如為1000°C。2D, a stepped region 122a of the second conductivity type is formed in the second well region 112, and a stepped region 122b of the second conductivity type is formed in the first well region 110. In this embodiment, the conductivity type of the terraces 122a and 122b is N-type. The terraces 122a and 122b can be formed at the same time in the same step. In some embodiments, forming the stepped regions 122a and 122b in the first well region 110 includes the following steps, for example. The ion implantation process 118 is performed by using the patterned mask layer 114 as a mask. The dopant implanted by the ion implantation process 118 is, for example, phosphorus or arsenic, and the doping dose is, for example, 1E12 cm -2 to 5E12 cm -2 . After that, the above-mentioned patterned mask layer 114 is removed. After the patterned mask layer 114 is removed, a heat treatment process is performed to diffuse the doping in the top doped regions 120a, 120b and the stepped regions 122a, 122b to a predetermined width and depth, so that the top doped region 120a , 120b and the stairs 122a, 122b have the required contours. The temperature of the above heat treatment process is, for example, 1000°C.

形成後的梯區122a自第二井區112的頂部表面向下延伸。形成後的梯區122b自第一井區110的頂部表面向下延伸。梯區122在基底100中的深度小於頂摻雜區120在基底100中的深度。換言之,梯區122a位於頂摻雜區120a上方,而梯區122b位於頂摻雜區120b上方。The formed step area 122a extends downward from the top surface of the second well area 112. The formed step area 122b extends downward from the top surface of the first well area 110. The depth of the stepped region 122 in the substrate 100 is smaller than the depth of the top doped region 120 in the substrate 100. In other words, the stepped region 122a is located above the top doped region 120a, and the stepped region 122b is located above the top doped region 120b.

請參照圖2E,於基底100上形成隔離結構200。隔離結構200的形成方法可例如是局部氧化隔離法或淺溝渠隔離法。在本實施例中,隔離結構200的形成方法為局部區域氧化法。隔離結構200包括第一隔離結構200a、第二隔離結構200b、第三隔離結構200c以及第四隔離結構200d。2E, an isolation structure 200 is formed on the substrate 100. The formation method of the isolation structure 200 may be, for example, a local oxidation isolation method or a shallow trench isolation method. In this embodiment, the formation method of the isolation structure 200 is a local area oxidation method. The isolation structure 200 includes a first isolation structure 200a, a second isolation structure 200b, a third isolation structure 200c, and a fourth isolation structure 200d.

請參照圖2F,於基底100上形成閘極結構300,且形成的閘極結構300覆蓋部分的第三隔離結構200c。在本實施例中,閘極結構300包括閘氧化層302、閘極304以及間隙壁306。於基底100上形成閘極結構300的方法例如包括以下步驟。首先,藉由熱氧化法(或化學氣相沉積法)於基底100上形成閘氧化材料層以及閘極材料層。之後,藉由微影與蝕刻製程將閘極材料層以及閘氧化材料層圖案化,以形成閘極304以及閘氧化層302。之後,藉由熱氧化法或化學氣相沉積法形成間隙壁材料層,再對間隙壁材料層進行非等向性蝕刻製程,以於閘極304的側壁上形成間隙壁306。形成的閘氧化層302例如與第三隔離結構200c相鄰且位於第二隔離結構200b與第三隔離結構200c之間。形成的閘極304例如位於閘氧化層302與第三隔離結構200c上。2F, a gate structure 300 is formed on the substrate 100, and the formed gate structure 300 covers a portion of the third isolation structure 200c. In this embodiment, the gate structure 300 includes a gate oxide layer 302, a gate 304, and a spacer 306. The method of forming the gate structure 300 on the substrate 100 includes, for example, the following steps. First, a gate oxide material layer and a gate material layer are formed on the substrate 100 by a thermal oxidation method (or chemical vapor deposition method). After that, the gate material layer and the gate oxide material layer are patterned by lithography and etching processes to form the gate electrode 304 and the gate oxide layer 302. Afterwards, a spacer material layer is formed by thermal oxidation or chemical vapor deposition, and then an anisotropic etching process is performed on the spacer material layer to form spacers 306 on the sidewalls of the gate electrode 304. The formed gate oxide layer 302 is, for example, adjacent to the third isolation structure 200c and located between the second isolation structure 200b and the third isolation structure 200c. The formed gate 304 is, for example, located on the gate oxide layer 302 and the third isolation structure 200c.

請參照圖2G,在閘極結構300的一側與第三隔離結構200c的一側的基底100中分別形成源極區130與汲極區140。在本實施例中,源極區130與汲極區140具有第二導電型,例如為為N型。形成源極區130與汲極區140例如包括以下步驟。首先,於基底100上形成圖案化的罩幕層(未繪示)。接著,藉由圖案化的罩幕層進行離子植入製程。離子植入製程所植入的摻雜例如是磷或砷,摻雜的劑量例如是1E15 cm-2 至5E15 cm-2 。之後,移除上述的圖案化的罩幕層,並進行熱處理製程,以於基底100中分別形成源極區130與汲極區140。形成後的源極區130例如位於第二井區112中並與閘極結構300相鄰,且位於第二隔離結構200b與第三隔離結構200c之間。形成後的汲極區140例如位於第一井區110中且位於第三隔離結構200c與第四隔離結構200d之間。2G, a source region 130 and a drain region 140 are formed in the substrate 100 on one side of the gate structure 300 and on the side of the third isolation structure 200c, respectively. In this embodiment, the source region 130 and the drain region 140 have the second conductivity type, for example, the N-type. The formation of the source region 130 and the drain region 140 includes, for example, the following steps. First, a patterned mask layer (not shown) is formed on the substrate 100. Then, an ion implantation process is performed through the patterned mask layer. The dopant implanted in the ion implantation process is, for example, phosphorus or arsenic, and the doping dose is, for example, 1E15 cm -2 to 5E15 cm -2 . After that, the above-mentioned patterned mask layer is removed, and a heat treatment process is performed to form a source region 130 and a drain region 140 in the substrate 100, respectively. The formed source region 130 is, for example, located in the second well region 112 and adjacent to the gate structure 300, and is located between the second isolation structure 200b and the third isolation structure 200c. The formed drain region 140 is, for example, located in the first well region 110 and between the third isolation structure 200c and the fourth isolation structure 200d.

請參照圖2H,於基底100與第二井區112中分別形成摻雜區132與134。在本實施例中,摻雜區132與134具有第一導電型,例如為P型。於基底100與第二井區112中分別形成摻雜區132與134的方法例如包括以下步驟。首先,於基底100上形成圖案化的罩幕層(未繪示)。接著,藉由圖案化的罩幕層進行離子植入製程。離子植入製程所植入的摻雜例如是硼,摻雜的劑量例如是1E15 cm-2 至5E15 cm-2 。之後,移除上述的圖案化的罩幕層且進行熱處理製程,以於基底100與第二井區112中分別形成摻雜區132與134。形成後的摻雜區134第一隔離結構200a與第二隔離結構200b之間。形成後的摻雜區132位於第二隔離結構200b與源極區130之間。2H, doped regions 132 and 134 are formed in the substrate 100 and the second well region 112, respectively. In this embodiment, the doped regions 132 and 134 have the first conductivity type, for example, the P type. The method of forming the doped regions 132 and 134 in the substrate 100 and the second well region 112 respectively includes the following steps, for example. First, a patterned mask layer (not shown) is formed on the substrate 100. Then, an ion implantation process is performed through the patterned mask layer. The dopant implanted in the ion implantation process is, for example, boron, and the doping dose is, for example, 1E15 cm -2 to 5E15 cm -2 . Afterwards, the above-mentioned patterned mask layer is removed and a heat treatment process is performed to form doped regions 132 and 134 in the substrate 100 and the second well region 112, respectively. The formed doped region 134 is between the first isolation structure 200a and the second isolation structure 200b. The formed doped region 132 is located between the second isolation structure 200b and the source region 130.

請同時參照圖1A、圖1B以及圖2H,在本發明的實施例中,梯區122a、122b以及頂摻雜區120a、120b所需的圖案化罩幕層可以經由同一道光罩製程來形成,因此,可以不增加製程成本,而降低電流路徑電阻,並提升元件效能。1A, 1B and 2H, in the embodiment of the present invention, the patterned mask layer required by the stepped regions 122a, 122b and the top doped regions 120a, 120b can be formed through the same photomask process. Therefore, the current path resistance can be reduced without increasing the manufacturing cost, and the device performance can be improved.

請參照圖4,在一些實施例中,半導體元件為超高壓元件,其在關閉狀態的崩潰電壓為500伏特,藉由在源極區下方增加梯區以及頂摻雜區,可以使其開啟狀態(閘極電壓施加電壓為7.5伏特)的崩潰電壓從375伏特(如曲線S10所示)提升至398伏特(如曲線S20所示)。因此,本發明在源極區以及摻雜區下方增加梯區以及頂摻雜區可以提升超高壓元件之效能。Referring to FIG. 4, in some embodiments, the semiconductor device is an ultra-high voltage device, and its breakdown voltage in the off state is 500 volts. By adding a stepped region and a top doped region below the source region, it can be turned on (The gate voltage applied voltage is 7.5 volts). The breakdown voltage is increased from 375 volts (as shown in curve S10) to 398 volts (as shown in curve S20). Therefore, in the present invention, adding a stepped region and a top doped region under the source region and the doped region can improve the performance of the UHV device.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention. Anyone with ordinary knowledge in the relevant technical field can make some changes and modifications without departing from the spirit and scope of the present invention. The protection scope of the present invention shall be subject to those defined by the attached patent application scope.

10:半導體元件 100:基底 102、106、114:圖案化的罩幕層 104、108、116、118:離子植入製程 110:第一井區 112:第二井區 120、120a、120b:頂摻雜區 122、122a、122b:梯區 130:源極區 132、134:摻雜區 140:汲極區 200:隔離結構 200a:第一隔離結構 200b:第二隔離結構 200c:第三隔離結構 200d:第四隔離結構 300:閘極結構 302:閘氧化層 304:閘極 306:間隙壁 A-A’、B-B’、C-C’:剖線 D1、D2:距離 IR:內圍區域 L:直線區域 MF:多個指狀區域 R:轉彎區域 OR:外圍區域 S10、S20、S100、S200:曲線10: Semiconductor components 100: base 102, 106, 114: patterned mask layer 104, 108, 116, 118: ion implantation process 110: The first well area 112: The second well area 120, 120a, 120b: top doped area 122, 122a, 122b: stairs 130: source region 132, 134: doped area 140: Drain Region 200: isolation structure 200a: the first isolation structure 200b: second isolation structure 200c: third isolation structure 200d: fourth isolation structure 300: gate structure 302: gate oxide layer 304: Gate 306: Clearance Wall A-A’, B-B’, C-C’: cut line D1, D2: distance IR: inner area L: straight area MF: Multiple finger areas R: turning area OR: Peripheral area S10, S20, S100, S200: curve

圖1A為本發明的實施例的半導體元件的俯視示意圖。 圖1B為本發明的實施例的半導體元件之頂摻雜區與其他構件的俯視示意圖。 圖2A~圖2H為本發明的實施例的半導體元件的製造方法的剖面示意圖,其中圖2H為依據圖1A的半導體元件的剖線A-A’的剖面示意圖。 圖3為頂摻雜區之摻雜輪廓。 圖4為本發明的實施例之超高壓半導體的電性圖。FIG. 1A is a schematic top view of a semiconductor device according to an embodiment of the present invention. FIG. 1B is a schematic top view of the top doped region and other components of a semiconductor device according to an embodiment of the present invention. 2A to 2H are schematic cross-sectional views of a method of manufacturing a semiconductor device according to an embodiment of the present invention, wherein FIG. 2H is a schematic cross-sectional view according to the section line A-A' of the semiconductor device of FIG. 1A. Figure 3 shows the doping profile of the top doped region. FIG. 4 is an electrical diagram of an ultra-high voltage semiconductor according to an embodiment of the present invention.

10:半導體元件10: Semiconductor components

100:基底100: base

110:第一井區110: The first well area

112:第二井區112: The second well area

120、120a、120b:頂摻雜區120, 120a, 120b: top doped area

122、122a、122b:梯區122, 122a, 122b: stairs

130:源極區130: source region

132、134:摻雜區132, 134: doped area

140:汲極區140: Drain Region

200:隔離結構200: isolation structure

200a:第一隔離結構200a: the first isolation structure

200b:第二隔離結構200b: second isolation structure

200c:第三隔離結構200c: third isolation structure

200d:第四隔離結構200d: fourth isolation structure

300:閘極結構300: gate structure

302:閘氧化層302: gate oxide layer

304:閘極304: Gate

306:間隙壁306: Clearance Wall

B-B’、C-C’:剖線B-B’, C-C’: cut line

D1、D2:距離D1, D2: distance

Claims (10)

一種半導體元件,包括: 基底,具有第一導電型; 第一井區,設置於所述基底中且具有第二導電型; 第二井區,設置於所述基底中且具有所述第一導電型; 源極區與汲極區,設置於所述基底中且具有所述第二導電型,所述汲極區位於所述第一井區中,所述源極區位於所述第二井區中; 隔離結構,設置於所述源極區與所述汲極區之間; 閘極結構,設置於所述源極區與所述汲極區之間的所述基底上,其中所述閘極結構覆蓋部分的所述隔離結構; 第一頂摻雜區,設置於所述源極區下方的所述第二井區中且具有所述第一導電型;以及 第二頂摻雜區,設置於所述隔離結構下方的所述第一井區中且具有所述第一導電型。A semiconductor component including: The substrate has the first conductivity type; The first well area is arranged in the substrate and has a second conductivity type; The second well area is arranged in the substrate and has the first conductivity type; The source region and the drain region are disposed in the substrate and have the second conductivity type, the drain region is located in the first well region, and the source region is located in the second well region ; An isolation structure, disposed between the source region and the drain region; A gate structure disposed on the substrate between the source region and the drain region, wherein the gate structure covers a part of the isolation structure; The first top doped region is disposed in the second well region below the source region and has the first conductivity type; and The second top doped region is disposed in the first well region under the isolation structure and has the first conductivity type. 如申請專利範圍第1項所述的半導體元件,更包括具有所述第一導電型的摻雜區,位於所述第二井區中,且位於第一頂摻雜區上方,與所述源極區相鄰。The semiconductor device described in item 1 of the scope of the patent application further includes a doped region having the first conductivity type, which is located in the second well region and above the first top doped region, and is connected to the source The polar regions are adjacent. 如申請專利範圍第2項所述的半導體元件,更包括具有第一導電型的第一梯區與第二梯區,所述第一梯區位於所述摻雜區以及所述源極區之間,所述第二梯區位於所述隔離結構與所述第二頂摻雜區之間。The semiconductor device described in item 2 of the scope of the patent application further includes a first stepped region and a second stepped region having a first conductivity type, and the first stepped region is located between the doped region and the source region. In between, the second stepped region is located between the isolation structure and the second top doped region. 如申請專利範圍第3項所述的半導體元件,其中所述源極區與所述汲極區之間包括多個直線區域以及多個轉彎區域形成的多個指狀區域,所述隔離結構形成在所述多個指狀區域上。The semiconductor device according to claim 3, wherein between the source region and the drain region includes a plurality of linear regions and a plurality of finger regions formed by a plurality of turning regions, and the isolation structure is formed On the multiple finger areas. 如申請專利範圍第4項所述的半導體元件,其中所述第一頂摻雜區與所述第一梯區位於所述多個指狀區域外圍的外圍區域中;所述第一頂摻雜區與所述第一梯區位於所述多個指狀區域中。The semiconductor device according to item 4 of the scope of patent application, wherein the first top doped region and the first stepped region are located in a peripheral region of the periphery of the plurality of finger regions; the first top doped region The area and the first step area are located in the plurality of finger-shaped areas. 一種半導體元件的製造方法,包括: 於具有第一導電型的基底中形成第一井區,所述第一井區具有第二導電型; 於所述基底中形成第二井區,所述第二井區具有第一導電型; 於所述第二井區中形成第一頂摻雜區,並於所述第一井區中形成第二頂摻雜區,所述第一頂摻雜區與所述第二頂摻雜區具有所述第一導電型; 於所述基底上形成隔離結構,其中所述第二頂摻雜區位於所述隔離結構下方; 於所述基底上形成閘極結構,其中所述閘極結構覆蓋部分的所述隔離結構;以及 在所述閘極結構的一側與所述隔離結構的一側的所述基底中分別形成具有所述第二導電型的源極區與汲極區,其中所述源極區位於所述第一頂摻雜區上且與所述閘極結構相鄰,所述汲極區與所述隔離結構相鄰。A method for manufacturing a semiconductor element includes: Forming a first well region in a substrate having a first conductivity type, the first well region having a second conductivity type; Forming a second well region in the substrate, the second well region having the first conductivity type; A first top doped region is formed in the second well region, and a second top doped region is formed in the first well region, the first top doped region and the second top doped region Having the first conductivity type; Forming an isolation structure on the substrate, wherein the second top doped region is located under the isolation structure; Forming a gate structure on the substrate, wherein the gate structure covers a portion of the isolation structure; and A source region and a drain region having the second conductivity type are respectively formed in the substrate on one side of the gate structure and one side of the isolation structure, wherein the source region is located in the first A top doped region is on and adjacent to the gate structure, and the drain region is adjacent to the isolation structure. 如申請專利範圍第6項所述的半導體元件的製造方法,更包括形成具有所述第一導電型的摻雜區,位於所述第二井區中,且位於第一頂摻雜區上方,與所述源極區相鄰。The method for manufacturing a semiconductor device as described in item 6 of the scope of the patent application further includes forming a doped region having the first conductivity type, which is located in the second well region and above the first top doped region, Adjacent to the source region. 如申請專利範圍第7項所述的半導體元件的製造方法,更包括形成具有第一導電型的第一梯區與第二梯區,所述第一梯區位於所述摻雜區以及所述源極區之間,所述第二梯區位於所述隔離結構與所述第二頂摻雜區之間。According to the manufacturing method of the semiconductor device described in the scope of the patent application, the method further includes forming a first stepped region and a second stepped region having a first conductivity type, the first stepped region being located in the doped region and the Between the source regions, the second stepped region is located between the isolation structure and the second top doped region. 如申請專利範圍第8項所述的半導體元件的製造方法,其中所述源極區與所述汲極區之間包括多個直線區域以及多個轉彎區域形成的多個指狀區域,所述隔離結構形成在所述多個指狀區域上。The method for manufacturing a semiconductor device as described in the scope of patent application, wherein the source region and the drain region include a plurality of straight line regions and a plurality of finger regions formed by a plurality of turning regions, the The isolation structure is formed on the plurality of finger regions. 如申請專利範圍第9項所述的半導體元件的製造方法,其中所述第一頂摻雜區與所述第一梯區形成於所述多個指狀區域外圍的外圍區域中;所述第一頂摻雜區與所述第一梯區形成於所述多個指狀區域中。The method for manufacturing a semiconductor device according to the ninth patent application, wherein the first top doped region and the first stepped region are formed in the peripheral region of the periphery of the plurality of finger regions; A top doped region and the first stepped region are formed in the plurality of finger regions.
TW109102927A 2020-01-30 2020-01-30 Semiconductor device and method of manufacturing the same TWI738198B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW109102927A TWI738198B (en) 2020-01-30 2020-01-30 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109102927A TWI738198B (en) 2020-01-30 2020-01-30 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TW202129718A true TW202129718A (en) 2021-08-01
TWI738198B TWI738198B (en) 2021-09-01

Family

ID=78282758

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109102927A TWI738198B (en) 2020-01-30 2020-01-30 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
TW (1) TWI738198B (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469342B (en) * 2012-12-07 2015-01-11 Macronix Int Co Ltd Semiconductor device and operating method for the same
TWI531067B (en) * 2013-08-19 2016-04-21 新唐科技股份有限公司 Semiconductor device and method of fabricating the same
US9263432B2 (en) * 2014-05-06 2016-02-16 Macronix International Co., Ltd. High voltage semiconductor device and method for manufacturing the same
US9331196B2 (en) * 2014-10-02 2016-05-03 Nuvoton Technology Corporation Semiconductor device
US9871135B2 (en) * 2016-06-02 2018-01-16 Nxp Usa, Inc. Semiconductor device and method of making

Also Published As

Publication number Publication date
TWI738198B (en) 2021-09-01

Similar Documents

Publication Publication Date Title
US9728632B2 (en) Deep silicon via as a drain sinker in integrated vertical DMOS transistor
JP4860929B2 (en) Semiconductor device and manufacturing method thereof
US20210074854A1 (en) Semiconductor structure and associated fabricating method
US8889518B2 (en) LDMOS transistor with asymmetric spacer as gate
JP2009239111A (en) Semiconductor device
US7994013B2 (en) Semiconductor device and method of fabricating the semiconductor device
US9831338B1 (en) Alternating source region arrangement
US10910493B2 (en) Semiconductor device and method of manufacturing the same
US7948031B2 (en) Semiconductor device and method of fabricating semiconductor device
TWI709196B (en) Semiconductor device and method for forming the same
TWI738198B (en) Semiconductor device and method of manufacturing the same
KR20130040383A (en) High voltage transistor and method thereof
US9012289B2 (en) Semiconductor device and manufacturing method thereof
TWI677982B (en) Semiconductor device and method of manufacturing the same
TWI727688B (en) Semiconductor device and method of manufacturing the same
TWI479653B (en) Semiconductor structure and method for manufacturing the same
CN113224159A (en) Semiconductor device and method for manufacturing the same
JP7252094B2 (en) semiconductor devices and transistors
CN111162115B (en) Semiconductor device and method for manufacturing the same
US9070766B1 (en) Semiconductor device and method of forming the same
TWI798825B (en) Manufacturing method of semiconductor device
US20230352580A1 (en) Three-dimensional transistor device having conformal layer
TWI683438B (en) Semiconductor device
KR102314769B1 (en) Power semiconductor device and method of fabricating the same
KR102315055B1 (en) Power semiconductor device and method of fabricating the same