TW202125095A - 微影模擬以及光學鄰近校正 - Google Patents

微影模擬以及光學鄰近校正 Download PDF

Info

Publication number
TW202125095A
TW202125095A TW109132301A TW109132301A TW202125095A TW 202125095 A TW202125095 A TW 202125095A TW 109132301 A TW109132301 A TW 109132301A TW 109132301 A TW109132301 A TW 109132301A TW 202125095 A TW202125095 A TW 202125095A
Authority
TW
Taiwan
Prior art keywords
proximity correction
optical proximity
mask
substrate
following
Prior art date
Application number
TW109132301A
Other languages
English (en)
Other versions
TWI820349B (zh
Inventor
輝雄 戴
曼格許艾夏 邦加
賓克許羅伊特 沙
史林尼法斯D 奈馬尼
史蒂文希隆 韋爾奇
克里斯多夫紹文 倪
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202125095A publication Critical patent/TW202125095A/zh
Application granted granted Critical
Publication of TWI820349B publication Critical patent/TWI820349B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

本揭露案的實施例關於微影模擬及光學鄰近校正。場引導後暴露烘烤處理能夠強化微影性能,且此等處理的各種參數包括於根據此處所述的實施例產生的光學鄰近校正模型中。光學鄰近校正模型包括以下一或更多參數:各向異性酸蝕刻特性、離子產生及/或運動特性、電子運動特性、電洞運動特性及化學反應特性。

Description

微影模擬以及光學鄰近校正
本揭露案的實施例大致關於微影模擬及光學鄰近校正。更具體而言,本揭露案的實施例關於場引導後暴露烘烤光學鄰近校正模型,併入其的微影模擬及遮罩黏貼技術。
半導體設備藉由在半導體基板上沉積許多不同類型的材料層且使用微影圖案化各種材料層而製造。材料層通常包括圖案化且蝕刻的導電、半導體及絕緣材料的薄膜,以形成積體電路。微影牽涉將遮罩的影像傳送至基板的材料層。影像形成於光阻層中,顯影光阻,且在處理期間使用光阻作為遮罩以改變材料層,例如蝕刻及圖案化材料層。
半導體設備的特徵尺寸持續減少,從微影遮罩傳送圖案至基板上的材料層歸因於光的效應或用以暴露光阻的能量而變得更加困難。一般稱為鄰近效應的現象導致線寬度的變化。舉例而言,緊鄰間隔的特徵傾向比寬廣間隔的特徵更小,即使此等特徵在微影遮罩上為相同的尺寸。此等線寬度的變化可導致非所欲的圖案化及設備製作。
為了補償鄰近效應,通常對微影遮罩進行光學鄰近校正(OPC),而可牽涉調整線的寬度或長度、圓化邊角及添加截線,以增強遮罩的最終圖案化性能。利用OPC模型以強化微影遮罩且減少與遮罩設計相關聯的時間量。傳統OPC模型通常使用已知的參數以建立模型,而可接著實施以強化遮罩設計。然而,當顯影新的微影及圖案化技術時,傳統OPC模型處理為不足的,因為此等處理並未考量由強化的微影及圖案化技術衍生的改進。
因此,本領域中需要強化的微影模擬及光學鄰近校正。
在一個實施例中,提供一種光學鄰近校正方法。方法包括將遮罩設計佈局的輸入接收至光學鄰近校正工具中;且使用場引導後暴露烘烤參數實行遮罩設計佈局模擬。光學鄰近校正模型接著至少部分基於場引導後暴露烘烤參數產生光學鄰近校正模型。
在另一實施例中,提供一種基板處理方法。方法包括將遮罩設計佈局的輸入接收至光學鄰近校正工具中;使用場引導後暴露烘烤參數實行遮罩設計佈局模擬;至少部分基於場引導後暴露烘烤參數產生光學鄰近校正模型;及使用包含遮罩的微影裝置圖案化基板。
在另一實施例中,提供一種基板處理方法。方法包括將遮罩設計佈局的輸入接收至光學鄰近校正工具中;使用場引導後暴露烘烤參數實行遮罩設計佈局模擬;至少部分基於場引導後暴露烘烤參數產生光學鄰近校正模型;在基於光學鄰近校正模型調整遮罩設計佈局之後,圖案化基板;及在圖案化基板之後,在基板上實行場引導後暴露烘烤處理。
本揭露案的實施例關於微影模擬及光學鄰近校正。根據此處所述的實施例,能夠強化微影性能及此等處理的各種參數的場引導後暴露烘烤處理包括於光學鄰近校正模型中。光學鄰近校正模型包括以下一或更多參數:各向異性酸蝕刻特性、離子產生及/或運動、電子運動、電洞運動及化學反應特性。
第1圖根據此處所述的實施例,概要圖示用於圖案化半導體設備110的材料層之微影系統100。微影系統100包括照明器102及透鏡系統106。舉例而言,微影系統100可為193nm微影系統、極紫外線微影系統或配置成圖案化基板的其他微影系統。微影遮罩104佈置於照明器102及透鏡系統106之間。半導體設備110藉由在基板114上沉積光敏感材料116的層,在支撐件112上定位半導體設備110,且從照明器102引導光或能量108通過遮罩104及透鏡系統106朝向半導體設備110而圖案化。
來自微影遮罩104的圖案傳送至基板114上的光敏感材料116的層。在一個實施例中,光敏感材料116為光阻材料,而包括光酸產生劑。在圖案化或蝕刻基板114的同時,顯影光敏感材料116的層且接著使用光敏感材料234的層作為遮罩。在一個實施例中,利用場引導後暴露烘烤處理實行光敏感材料116的去保護及/或顯影。類似地,可利用沉浸場引導後暴露烘烤處理實行光敏感材料去保護及/或顯影。在此實施例中,利用例如液體的流體以將電場耦合至光敏感材料116,以強化光敏感材料116的去保護及/或顯影特性。
此處所揭露的方法在微影處理的後暴露烘烤操作期間於光敏感材料116佈置的基板114上施加電場。電場的施加控制藉由光敏感材料116(光酸產生劑)產生的酸的擴散及分配,以強化抗蝕的抗蝕去保護特性,而能夠強化基板的圖案化。藉由施加電場,可為離子化的酸實質上引導垂直於基板的主軸,以提供用於各向異性去保護。此各向異性去保護導致藉由光敏感材料116形成的圖案具有強化的線垂直度,及更為所欲的關鍵尺寸。
在一個範例中,於光微影處理的暴露操作之後實行後暴露烘烤程序,其中在基板114上的光敏感材料116暴露至來自照明器102的電磁輻射。在基板114上形成光敏感材料116且包括抗蝕樹脂及光酸產生劑。遮罩104用以將光敏感材料116選擇性暴露至電磁輻射。通過遮罩中的開口暴露光敏感材料116的部分造成在光敏感材料116中形成潛圖案,其中潛圖案的佈局取決於遮罩的佈局。潛圖案之特性為光敏感材料116的化學性質的改變,使得後續處理可選擇性移除光敏感材料116所欲的部分。舉例而言,暴露的結果產生的光酸作用以溶解光敏感材料116,而在後續光阻移除處理期間移除。
在暴露操作之後實行的後暴露烘烤處理包括施加熱至光敏感材料116。熱的施加造成對光敏感材料116的化學性質進一步改變,使得後續顯影操作將選擇性移除光阻的所欲部分。
光敏感材料116佈置於其上的基板114可為任何適合類型的基板,例如介電基板、玻璃基板、半導體基板、導電基板或類似者。基板114具有一或更多材料層佈置於其上。材料層可為任何所欲的層,例如半導體材料或氧化物材料等等。基板114亦具有光敏感材料116佈置於一或更多材料層上。當實行後暴露烘烤處理時,基板114在光微影處理的暴露操作中已先前暴露至電磁輻射。結果,光敏感材料116具有潛影像線,而界定電磁改變的光阻的潛影像。
藉由在後暴露烘烤處理期間施加如上所述的電場至光敏感材料116,有效地控制且限制在光敏感材料116的暴露的區域中的光酸的分配。施加至光敏感材料116的電場以平行或垂直於潛影像線的方向移動光酸,以更佳且更完全地溶解光敏感材料116暴露的區域。如此,光酸大致不會擴散至鄰接非暴露的區域中。大致而言,光酸具有某些極性而可藉由施加至此的電場影響。此施加的電場將以根據電場的方向定向光酸分子。當施加此電場時,光酸以所欲方向移動,使得光酸可以各向異性的方式接觸且溶解光敏感材料116。結果,此去保護處理強化光敏感材料移除的各向異性性質。在暴露的區域具有強化的垂直性下,強化傳送至下層基板114的圖案,且從遮罩104更精確地傳送關鍵尺寸至基板114。
第2圖根據此處所述的實施例,圖示用以決定光學鄰近校正的光學鄰近校正(OPC)工具200的方塊圖。OPC工具200包括演算法204,適以實行從場引導後暴露烘烤處理或沉浸場引導後暴露烘烤處理衍生的強化的參數的OPC。OPC工具200包括記憶體206或儲存器,適以儲存微影遮罩設計佈局及OPC計算。OPC工具200亦包括處理器202,適以實行OPC計算及將計算的影像與目標特徵設計進行比較。OPC工具200亦可包括其他子系統及設備,例如操作者界面裝備及類似者。在一個實施例中,記憶體206儲存佈局或遮罩設計。應考量遮罩設計佈局以資料檔案或類似者的形式安裝,用於儲存於記憶體206中。演算法204決定用於佈局或遮罩設計的光學鄰近校正,且處理器202根據演算法204實行光學鄰近校正計算,且根據決定的光學鄰近校正調整佈局或遮罩設計。在另一實施例中,OPC工具200用以產生OPC模型,而利用以調整遮罩設計佈局。
第3圖根據本揭露案的實施例,圖示用於顯影光學鄰近校正模型的方法300之操作。在操作302處,接收遮罩設計佈局。舉例而言,接收遮罩設計佈局或輸入至OPC工具200中,且儲存於記憶體206中。在操作304處,使用場引導後暴露烘烤參數實行遮罩設計佈局模擬。換句話說,實行圖案化模擬,以決定當以先進顯影技術利用遮罩設計佈局時的圖案化性能,例如場引導後暴露烘烤處理。
在操作306處,使用場引導後暴露烘烤參數建立OPC模型。輸入以計算且建立OPC模型的場引導後暴露烘烤參數包括但非限於各向異性酸蝕刻特性、離子產生及/或運動特性、電子運動特性、電洞運動特性及化學反應特性。換句話說,當光敏感材料遭受場引導後暴露烘烤處理時此等特性指示光敏感材料的行為。
輸入以計算且建立OPC模型的其他參數包括微影裝置的參數,例如利用以圖案化光敏感材料的電磁能量的類型及劑量,及各種透鏡參數,舉例而言,透鏡的光學特性及類似者。輸入以計算且建立OPC模型的額外參數包括待圖案化的膜的類型,包括光敏感材料的類型、待蝕刻的層堆疊、抗反射塗層的存在及任何以上膜的各種光學條件。輸入以計算且建立OPC模型的進一步參數包括利用以圖案化基板的遮罩的類型及材料。
相信利用場引導後暴露烘烤處理造成在將光敏感材料暴露至電磁輻射時從電化學反應得到的過量酸的形成。電化學反應導致電子及電洞的形成,而藉由釋放酸(H+ )分解光敏感材料。酸接著藉由電場引導以強化光敏感材料的去保護。此強化歸因於以所欲方向施加電場給予的各向異性去保護,導致鄰接線之間強化的關鍵尺寸。因為在場引導後暴露烘烤處理期間施加電場的額外去保護的控制,亦強化光敏感材料的電磁輻射劑量敏感性。
在操作308處,實行OPC以調整遮罩設計佈局。OPC模擬的結果接著利用作為回饋以強化遮罩設計佈局,以達到實際基板上圖案化特性的更精確表現。在某些實施例中,回應於在操作308所獲得的資訊,改變遮罩設計佈局。因此,根據此處所述的實施例產生的OPC模型能夠強化具有先進顯影技術的圖案化性能,例如場引導後暴露烘烤處理。
舉例而言,OPC模型能夠減少劑量,而減少光敏感材料過度暴露的可能性,且可提供從遮罩至基板傳送強化的圖案的保真度。在一個範例中,利用具有比傳統暴露處理減少的劑量的方法300達成可比較接觸孔尺寸關鍵尺寸。在此範例中,於傳統暴露處理中利用大約37mJ/cm2 的劑量製作大約21nm的接觸孔尺寸關鍵尺寸。藉由實施此處所述的一或更多實施例,利用大約27mJ/cm2 的劑量製作大約21nm的接觸孔尺寸關鍵尺寸。因此,可達成介於約25%及約35%之間的暴露劑量減少,而相信提供強化的圖案傳送保真度且強化接觸孔型態特性。
在另一實施例中,OPC模型能夠強化遮罩誤差增強因子模擬。在一個範例中,利用具有大約28nm接觸孔關鍵尺寸的傳統處理可在基板上形成具有大約12nm關鍵尺寸的接觸孔。因此,傳統處理在遮罩關鍵尺寸及基板上關鍵尺寸之間具有大約16nm的差異。藉由利用此處所述的實施例,具有大約28nm接觸孔關鍵尺寸的遮罩在基板上生成具有大約20nm關鍵尺寸的接觸孔。介於傳統處理及此處所述的處理之間的遮罩誤差減少大約50%。亦應相信強化各種關鍵尺寸之中的關鍵尺寸線性度,因此完成具有各種關鍵尺寸及遮罩佈局設計的更一致模擬。因此,由於藉由本揭露案的實施例能夠增強性能,可強化遮罩誤差增強因子模擬。
歸因於各向異性去保護特性,而使得遮罩佈局設計能夠進一步增加特徵的密度且強化從遮罩傳送至基板的圖案的解析度,亦強化鄰接特徵之間的關鍵尺寸。在一個實施例中,增加鄰接特徵之間的關鍵尺寸。再者,應考量藉由包括用於場引導後暴露烘烤顯影處理的輸入參數的OPC模型而強化的遮罩誤差效應。
根據此處所述的實施例產生的OPC模型的進一步優點包括強化模型校準,強化模擬的抗蝕影像輪廓的產生,且強化關鍵尺寸及/或邊緣放置誤差的計算。此處所述的OPC模型亦利用以決定對給定遮罩佈局設計用以生成所欲特徵圖案的給定標線的OPC幅度。再者,應考量此揭露案的OPC模型可實施以對處理優化、OPC、遮罩設計佈局及遮罩誤差增強因子處理強化源遮罩優化模擬。進一步應考量此揭露案的實施例減少影像模糊。
儘管以上導向本揭露案的實施例,可衍生本揭露案的其他及進一步實施例而不會悖離其基本範疇,且其範疇藉由以下申請專利範圍來決定。
100:微影系統 102:照明器 104:微影遮罩 106:透鏡系統 108:能量 110:半導體設備 112:支撐件 114:基板 116:光敏感材料 200:工具 202:處理器 204:演算法 206:記憶體 234:光敏感材料 300:方法 302:操作 304:操作 306:操作 308:操作
以此方式可詳細理解本揭露案以上所載的特徵,以上簡要概述的本揭露案更特定說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示範例實施例,且因此不應考量為其範疇之限制,而可認可其他均等效果的實施例。
第1圖根據此處所述的實施例,概要圖示用於圖案化半導體設備的材料層之微影系統。
第2圖根據此處所述的實施例,圖示用以決定光學鄰近校正的光學鄰近校正工具的方塊圖。
第3圖根據本揭露案的實施例,圖示顯影光學鄰近校正模型之方法的操作。
為了促進理解,已盡可能地使用相同的元件符號代表共通圖式中相同的元件。應理解一個實施例的元件及特徵可有益地併入其他實施例中而無須進一步說明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
302:操作
304:操作
306:操作
308:操作

Claims (20)

  1. 一種光學鄰近校正方法,包含以下步驟: 將一遮罩設計佈局的輸入接收至一光學鄰近校正工具中; 使用場引導後暴露烘烤參數實行一遮罩設計佈局模擬;及 至少部分基於該等場引導後暴露烘烤參數產生一光學鄰近校正模型。
  2. 如請求項1所述之方法,其中該等場引導後暴露烘烤參數包含以下一或更多者:各向異性酸蝕刻特性、離子產生及/或運動特性、電子運動特性、電洞運動特性及化學反應特性。
  3. 如請求項1所述之方法,其中該光學鄰近校正工具包含: 一處理器;及 一記憶體,配置成儲存一微影遮罩設計佈局。
  4. 如請求項3所述之方法,其中該微影遮罩設計佈局為一資料檔案。
  5. 如請求項1所述之方法,進一步包含以下步驟: 基於一微影裝置的一或更多參數產生該光學鄰近校正模型。
  6. 如請求項5所述之方法,其中該微影裝置之該一或更多參數包含電磁能量及光學透鏡參數的一類型及劑量。
  7. 如請求項1所述之方法,進一步包含以下步驟: 基於該基板的一或更多參數產生該光學鄰近校正模型。
  8. 如請求項7所述之方法,其中該基板的該一或更多參數包含待圖案化的膜的一類型、待蝕刻的層堆疊及一或更多抗反射塗層的存在。
  9. 如請求項8所述之方法,其中該基板的該一或更多參數包含待圖案化的膜的該類型、待蝕刻的該等層堆疊及該一或更多抗反射塗層之一或更多者的光學特性。
  10. 如請求項1所述之方法,進一步包含以下步驟: 基於用以圖案化該基板的一遮罩的該類型及材料,產生該光學鄰近校正模型。
  11. 如請求項1所述之方法,進一步包含以下步驟: 實行一光學鄰近校正處理,以調整該遮罩設計佈局。
  12. 如請求項11所述之方法,進一步包含以下步驟: 回應於實行該光學鄰近校正處理改變該遮罩設計佈局。
  13. 如請求項11所述之方法,進一步包含以下步驟: 回應於實行該光學鄰近校正處理改變一遮罩誤差增強因子。
  14. 一種基板處理方法,包含以下步驟: 將一遮罩設計佈局的輸入接收至一光學鄰近校正工具中; 使用場引導後暴露烘烤參數實行一遮罩設計佈局模擬; 至少部分基於該等場引導後暴露烘烤參數產生一光學鄰近校正模型;及 使用包含一遮罩的一微影裝置圖案化一基板。
  15. 如請求項14所述之方法,其中該等場引導後暴露烘烤參數包含以下一或更多者:各向異性酸蝕刻特性、離子產生及/或運動特性、電子運動特性、電洞運動特性及化學反應特性。
  16. 如請求項14所述之方法,進一步包含以下步驟: 基於用以圖案化該基板的該遮罩的該類型及材料,產生該光學鄰近校正模型。
  17. 如請求項14所述之方法,進一步包含以下步驟: 實行一光學鄰近校正處理,以調整該遮罩設計佈局。
  18. 如請求項17所述之方法,進一步包含以下步驟: 回應於實行該光學鄰近校正處理改變該遮罩設計佈局。
  19. 如請求項17所述之方法,進一步包含以下步驟: 回應於實行該光學鄰近校正處理改變一遮罩誤差增強因子。
  20. 一種基板處理方法,包含以下步驟: 將一遮罩設計佈局的輸入接收至一光學鄰近校正工具中; 使用場引導後暴露烘烤參數實行一遮罩設計佈局模擬; 至少部分基於該等場引導後暴露烘烤參數產生一光學鄰近校正模型; 在基於該光學鄰近校正模型調整該遮罩設計佈局之後,圖案化一基板;及 在圖案化該基板之後,在該基板上實行一場引導後暴露烘烤處理。
TW109132301A 2019-09-23 2020-09-18 光學鄰近校正方法及基板處理方法 TWI820349B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962904082P 2019-09-23 2019-09-23
US62/904,082 2019-09-23

Publications (2)

Publication Number Publication Date
TW202125095A true TW202125095A (zh) 2021-07-01
TWI820349B TWI820349B (zh) 2023-11-01

Family

ID=74881991

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109132301A TWI820349B (zh) 2019-09-23 2020-09-18 光學鄰近校正方法及基板處理方法

Country Status (7)

Country Link
US (1) US20210088896A1 (zh)
EP (1) EP4034944A4 (zh)
JP (1) JP7381730B2 (zh)
KR (1) KR20220066339A (zh)
CN (1) CN114514468A (zh)
TW (1) TWI820349B (zh)
WO (1) WO2021061277A1 (zh)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005203597A (ja) * 2004-01-16 2005-07-28 Nippon Telegr & Teleph Corp <Ntt> レジスト現像方法および装置
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7838205B2 (en) * 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
JP4874149B2 (ja) * 2007-03-29 2012-02-15 富士通セミコンダクター株式会社 マスクパターン補正方法および半導体装置の製造方法
US20080304029A1 (en) * 2007-06-08 2008-12-11 Qimonda Ag Method and System for Adjusting an Optical Model
JP5077656B2 (ja) * 2007-06-18 2012-11-21 株式会社ニコン パターンデータ処理方法及びシステム、並びに露光方法及び装置
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
KR100997302B1 (ko) * 2007-10-31 2010-11-29 주식회사 하이닉스반도체 광학 근접 보정 방법
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
CN101738848B (zh) * 2008-11-24 2011-11-02 上海华虹Nec电子有限公司 基于可变光酸扩散长度建立opc模型的方法
JP5515962B2 (ja) * 2010-03-30 2014-06-11 ソニー株式会社 化学増幅型レジストパターンの改質方法
US20140123084A1 (en) * 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
EP3291007A1 (en) * 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur

Also Published As

Publication number Publication date
JP7381730B2 (ja) 2023-11-15
JP2022549808A (ja) 2022-11-29
KR20220066339A (ko) 2022-05-24
US20210088896A1 (en) 2021-03-25
TWI820349B (zh) 2023-11-01
EP4034944A4 (en) 2023-10-04
WO2021061277A1 (en) 2021-04-01
EP4034944A1 (en) 2022-08-03
CN114514468A (zh) 2022-05-17

Similar Documents

Publication Publication Date Title
US10031413B2 (en) Method and system for forming patterns using charged particle beam lithography
KR101688506B1 (ko) 가변 형상 비임 리소그래피를 이용한 레티클의 광 근접 보정, 설계 및 제조 방법
TWI569088B (zh) 用帶電粒子射束微影術形成圖案之方法及系統
TWI506672B (zh) 用於在表面碎化及形成圓形圖案與用於製造半導體裝置之方法
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
JPH04155337A (ja) フォトマスクの製造方法
TW201423259A (zh) 用於使用帶電粒子束微影術之尺寸均勻性的方法及系統
US9009634B2 (en) Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
WO2008078213A1 (en) A method and system for identifying weak points in an integrated circuit design
US9223911B2 (en) Optical model employing phase transmission values for sub-resolution assist features
CN113050368A (zh) 光学邻近修正方法及掩膜版制作方法
US6807519B2 (en) Methods of forming radiation-patterning tools; carrier waves and computer readable media
TWI820349B (zh) 光學鄰近校正方法及基板處理方法
KR20100102422A (ko) 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
US8146022B2 (en) Mask pattern data generation method, mask manufacturing method, semiconductor device manufacturing method, and pattern data generation program
JP3455048B2 (ja) パターン形成方法
CN113835293B (zh) 光学邻近修正方法和掩膜版的制作方法
KR20090108268A (ko) 바이너리 마스크의 패턴 선폭 보정방법
JP3509761B2 (ja) レジストパターン形成方法及び微細パターン形成方法
JP5034410B2 (ja) 現像ローディング測定方法および現像ローディング測定基板
JP2012078552A (ja) フォトマスク作製方法
CN115453829A (zh) 检测验证光学辅助栅光学成像的方法
TW202405889A (zh) 降低圖案化阻劑線的粗糙度的離子佈植方法