TW202123460A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202123460A
TW202123460A TW109121117A TW109121117A TW202123460A TW 202123460 A TW202123460 A TW 202123460A TW 109121117 A TW109121117 A TW 109121117A TW 109121117 A TW109121117 A TW 109121117A TW 202123460 A TW202123460 A TW 202123460A
Authority
TW
Taiwan
Prior art keywords
unit
well
type
doped
standard
Prior art date
Application number
TW109121117A
Other languages
English (en)
Inventor
林大鈞
潘國華
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202123460A publication Critical patent/TW202123460A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供了半導體結構和方法。根據實施例的半導體結構包括設置在摻雜有第一類型摻雜物的第一井上方的第一單元、設置在第一井上方的第二單元、以及設置在摻雜有與第一類型摻雜物不同的第二類型摻雜物的第二井上方的拾取單元。拾取單元夾設在第一單元和第二單元之間。第一單元包括第一多個電晶體,並且第二單元包括第二多個電晶體。

Description

半導體結構
本揭露係關於一種半導體結構,特別是沒有任何氧化物擴散區(OD)中斷的半導體結構。
半導體積體電路(integrated circuit;IC)工業呈指數成長。在IC材料及IC設計的技術進步產生多個IC世代,每一個IC世代比上一個IC世代有更小及更複雜的電路。在IC發展過程中,功能密度(例如:每一晶片區域的相連元件數量)通常都會增加,而幾何尺寸(即製程可作出之最小部件(或線路))會下降。此微縮過程通常藉由增加生產效率及降低相關成本提供了優勢。
閂鎖(latch-up)是互補式金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)IC的故障機制,其特徵在於過多的汲極電流造成的裝置故障或裝置損壞。閂鎖主要是由於寄生PNP和NPN雙極性電晶體在塊體基板中的井和主動摻雜區的佈置而導致的。在絕緣體上矽(silicon-on-insulator;SOI)基板上製造的CMOS裝置通常抵抗了閂鎖,因為N井和P井由於嵌入式氧化矽隔離層的存在而大抵被隔離了。電路設計中通常包含防止閂鎖的裝置,例如保護環(guard ring)和拾取單元(tap cell)。將拾取單元設置在標準單元之間,並且藉由一或多種隔離結構將其與標準單元隔離。拾取單元和隔離結構可能會增加積體電路的整體尺寸。給定IC晶片的固定區域,拾取單元和隔離結構可能會取代功能裝置的佔據空間。儘管用於拾取單元的習知結構足以滿足其預期目的,但是它們並非在所有方面都令人滿意。
本揭露提供一種半導體結構。半導體結構包括設置在摻雜有第一類型摻雜物的第一井上方的第一單元、設置在第一井上方的第二單元、以及設置在摻雜有與第一類型摻雜物不同的第二類型摻雜物的第二井上方的拾取單元。第一單元包括第一多個電晶體。第二單元包括第二多個電晶體。拾取單元夾設在第一單元和第二單元之間。
本揭露提供一種半導體結構。半導體結構包括基板、在基板中的第一井、以及在基板中的第二井。第一井摻雜有第一類型摻雜物。第二井摻雜有與第一類型摻雜物不同的第二類型摻雜物。第一井的一部分延伸到第二井中。第一井的部分的三個側面與第二井接壤。
本揭露提供一種半導體結構。半導體結構包括基板、設置在N型井上方的第一單元、設置在N型井上方的第二單元、以及設置在P型井上方的拾取單元。第一單元包括第一多個電晶體。第二單元包括第二多個電晶體。拾取單元夾設在第一單元和第二單元之間。
應理解本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。此外,在隨後的本揭露中的在另一個特徵上形成特徵、形成連接到另一個特徵的特徵,及/或形成與另一個特徵耦合的特徵可以包括特徵以直接接觸形成的實施例,並且還可以包括可以形成額外特徵插入特徵的實施例,使得特徵可以不直接接觸。另外,空間相關用詞,例如“下方”、“上方”、“水平”、“垂直”、“上面”、“在…之上”、“下面”、“在…之下”、“上”、“下”、 “頂部”、“底部”等以及其衍生物(例如:“水平地”,“向下”,“向上”等),用於使本揭露的一個特徵與另一個特徵的關係變得容易。這些空間相關用詞意欲包含具有特徵的裝置之不同方位。此外,當數字或數字範圍以“約”、“近似”等描述時,該術語旨在包括在合理範圍內的數字(包括所描述的數字),例如在所述數量的+/-10%內或本技術領域中具有通常知識者理解的其他值。舉例來說,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
在電路設計中,標準單元是根據整個設計佈局中的一組設計規則重複的電晶體區塊。標準單元可用於不同的功能。舉例來說,標準單元可以是靜態隨機存取記憶體(static random access memory;SRAM)標準單元或用於邏輯操作的邏輯單元。標準單元可包括一或多個P型電晶體和一或多個N型電晶體。電晶體可以是平面電晶體或多閘極電晶體,例如鰭式場效電晶體(fin-type field effect transistor;FinFET)或環繞式閘極(gate-all-around;GAA)電晶體。為了在塊體基板上製造電晶體,摻雜有N型摻雜物的N型井和摻雜有P型摻雜物的P型井形成在塊體基板中,並且相反導電類型的主動區形成在個別N型井和P型井上方。因此,P型電晶體包括形成在N型井(N井)上方的P型主動區,並且N型電晶體包括形成在P型井(P井)上方的N型主動區。當N型電晶體與P型電晶體相鄰放置時,可能會在P型主動區、在P型主動區下方的N型井以及相鄰的P型井(此P型井有時形成跨越基板)之間形成寄生PNP雙極性電晶體。相似地,沿著N型主動區、在N型主動區下方的P型井(此P型井有時形成跨越基板)以及相鄰的N型井可能會形成寄生NPN雙極性電晶體。寄生NPN和PNP雙極性電晶體可能會被閂鎖(latch-up),以形成一個反相放大器,其將汲極電源電壓Vdd和地短路,這可能導致裝置損壞。
可以實現拾取單元以防止由寄生雙極性電晶體造成的汲極接地短路。在某些情況下,拾取單元可用於將某些N井耦接至Vdd(汲極電源電壓或正電源電壓),並且將某些基板上的P井耦接至Vss(源極電源電壓或負電源電壓)。在一些實施例中,Vdd是標準單元或IC裝置的最正電壓(most positive voltage),並且Vss是標準單元或IC裝置的最負電壓(most negative voltage)。Vss可以是接地電壓,或可以被接地。拾取單元可以採用電晶體的形狀,但它們不具有功能性的閘極結構。拾取單元透過其源極/汲極區執行其預防閂鎖功能。與標準單元中的電晶體不同,拾取單元中的主動區不具有與下方的井的導電類型不同的導電類型。舉例來說,當在N井上方形成拾取單元時,其具有摻雜有N型摻雜物而不是P型摻雜物的主動區。當在P井上方形成拾取單元時,其具有摻雜有P型摻雜物而不是N型摻雜物的主動區。
在一些習知設計中,N井和P井沿著相同的方向延伸,每一者具有細長的形狀,並且交替地佈置。在這些習知設計中,可以在N井或P井上方形成細長的主動區(例如鰭片或通道構件的垂直堆疊),並且摻雜有不同類型的摻雜物。儘管可以在相同的主動區中形成拾取單元和標準單元,但是不同的摻雜類型防止了它們彼此緊鄰放置。這是因為當拾取單元的主動區與標準單元的不同導電類型的主動區鄰接時,會導致標準單元的電特性的漂移(drift)增大並且效能劣化。為了將拾取單元與相鄰的標準單元隔離,引入了主動區的不連續處(discontinuation)。因為主動區設置在含氧化矽的隔離特徵(例如淺溝槽隔離(或STI(shallow trench isolation)))中並由其定義,所以主動區可以稱為氧化物擴散區(oxide diffusion;OD),而主動區的不連續處(discontinuations)可以稱為OD中斷(OD break)。在一些實施例中,OD中斷在隔離特徵的沉積和源極/汲極特徵的形成之前形成。因為OD中斷是在隔離特徵的沉積之前形成的,所以用於沉積特徵的材料也沉積在OD中斷中。因為OD中斷是在形成在主動區上施加應力的源極/汲極特徵之前形成的,所以與OD中斷相鄰的主動區暴露於不同的環境並且可具有不同的特性。OD中斷因此也導致一種形式的佈局依賴效應(layout dependent effect;LDE),其中標準單元的主動區被抽頭單元的另一主動區中斷。為了解決由OD中斷引起的LDE,可以在標準單元之間引入各種尺寸的冗餘單元,並且用來作為OD中斷和標準單元之間的過渡(transition)。綜上所述,可以看出習知設計中的拾取單元本身及其隔離結構會在IC晶片中佔用過多的空間。
本揭露提供了需要較小的隔離結構以將拾取單元與標準單元隔離的結構。根據一些實施例,在形成源極/汲極特徵和金屬閘極結構之後形成的鰭片切割介電特徵用於將拾取單元與標準單元隔離。鰭片切割介電特徵的形成和結構在2019年4月29日的美國專利申請號16/397,248中進行了描述,其內容由引用完全併入本文。因為鰭片切割介電特徵是在施加應力的源極/汲極特徵之後形成的,所以本揭露的結構不需要在拾取單元和標準單元之間插入任何OD中斷。在一些實施例中,此結構包括與P井互鎖(interlock)的N井。在那些實施例中,拾取單元和相鄰的標準單元的主動區摻雜有相同類型的摻雜物,但是設置在不同類型的井上。根據本揭露的結構包括較小的隔離結構,以隔離拾取單元和標準單元,並且具有相當或甚至更好的效能。
第1圖顯示了根據本揭露實施例之IC結構的第一佈局設計100的俯視圖。在第1圖所示的實施例中,第一佈局設計100包括在基板中與複數P型井(P井)102P-1和102P-2交錯的複數N型井(N井)102N-1、102N-2以及102N-3。在所示的實施例中,基板102包括矽。替代地或附加地,基板102包括另一元素半導體,例如鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,例如矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或磷砷化鎵銦(GaInAsP);或其組合。在一些實施方式中,基板102包括一或多種III-V族材料、一或多種II-IV族材料或其組合。複數N井中的每一者摻雜有N型摻雜物,例如磷、砷、其他N型摻雜物或其組合。複數P井中的每一者摻雜有P型摻雜物,例如硼、銦、其他P型摻雜物或其組合。可以執行離子佈植製程、擴散製程及/或其他合適摻雜製程以形成各種摻雜區。
在一些實施例中,第一佈局設計100包括複數細長的主動區,包括主動區104-1、104-2、104-3、104-4、104-5、104-6、104-7以及104-8。當IC結構包括鰭式場效電晶體(FinFET)裝置時,每一個主動區可以是由矽(或其他半導體材料)形成的鰭片,或者當IC結構包括環繞式(GAA)閘極裝置時,每一個主動區可包括半導體層的垂直堆疊。複數主動區104-1、104-2、104-3、104-4、104-5、104-6、104-7以及104-8之每一者在形狀上是細長的,並且在複數井之每一者上方延伸並平行於複數井之每一者延伸。舉例來說,主動區104-1設置在N型井(第一N井)102N-1上方並平行於N型井102N-1延伸、主動區104-2和104-3設置在P型井(第一P井)102P-1上方並平行於P型井102P-1延伸、主動區104-4和104-5設置在N型井(第二N井)102N-2上方並平行於N型井102N-2延伸、主動區104-6和104-7設置在P型井(第二P井)102P-2上方並平行於P型井102P-2延伸、主動區104-8設置在N型井(第三N井)102N-3上方並平行於N型井102N-3延伸。
每一個主動區包括不同摻雜的區域,以容納拾取單元106-1、106-2、106-3、106-4以及106-5和設置在兩個相鄰拾取單元之間的標準單元。以主動區104-1作為設置在N井中的主動區的示例,其包括用於形成拾取單元的N摻雜區104N-1、104N-2以及104N-3和用於形成標準單元的P摻雜區104P-1和104P-2。在主動區104-4、104-5以及104-8中也可以找到相似的摻雜佈置。以主動區104-2作為設置在P井中的主動區的示例,其包括用於形成拾取單元的P摻雜區104P-3和104P-4和用於形成標準單元的N摻雜區104N-4、104N-5以及104N-6。在主動區104-3、104-6以及104-7中也可以找到相似的摻雜佈置。值得注意的是,拾取單元106-1、106-2、106-3、106-4以及106-5中的每一者包括被摻雜與下方的井中相同導電類型的摻雜物的主動區的區域。每一個標準單元包括被摻雜與下方的井中不同導電類型的摻雜物的主動區的區域。為了進一步顯示本揭露的不同其他方面,第1圖的一部分被放大並且在第2圖中顯示。
如第2圖所示,第2圖中的第一佈局設計100的片段包括夾設在標準單元片段140和142之間的拾取單元片段110。為了本揭露之目的,拾取單元片段是位在主動區上方的拾取單元的一部分。如第1圖所示,拾取單元片段110是位在主動區104-7上方的拾取單元106-2的一部分。另外,為了本揭露之目的,標準單元片段是位在主動區上方的標準單元的一部分。如第1圖所示,標準單元片段140是在拾取單元106-1和拾取單元106-2之間的標準單元的一部分,並且標準單元片段140也位在主動區104-7上方。標準單元片段142是在拾取單元106-2和拾取單元106-3之間的標準單元的一部分,並且標準單元片段142也位在主動區104-7上方。第一佈局設計100中的每一個標準單元可以是邏輯閘單元。在一些實施例中,邏輯閘單元包括AND閘、OR閘、NAND閘、NOR閘、XOR閘、反向器(INV)、AND閘-OR閘-反向器(AND-OR-Invert;AOI)、OR閘-AND閘-反向器(OR-AND-Invert;OAI)、多路復用器(MUX)、正反器(Flip-flop)、緩衝器(BUFF)、閂鎖(Latch)、延遲器(delay)、時脈單元(clock cell)等。在一些實施例中,標準單元是儲存單元。在一些實施例中,儲存單元包括靜態隨機存取記憶體(static random access memory;SRAM)、動態RAM(dynamic RAM;DRAM)、可變電阻式RAM(resistive RAM;RRAM)、磁阻式RAM(magnetoresistive RAM;MRAM)、唯讀記憶體(read only memory;ROM)等。在一些實施例中,標準單元包括一或多個主動或被動元件。主動元件的示例包括(但不限於)電晶體和二極體。電晶體的示例包括(但不限於)金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)電晶體、雙極性接面型電晶體(bipolar junction transistor;BJT)、高壓電晶體、高頻電晶體、P通道及/或N通道場效電晶體(PFET/NFET)、FinFET、GAA裝置、具有升高的源極/汲極的平面MOS電晶體等。被動元件的示例包括(但不限於)電容、電感、保險絲、電阻等。
仍參照第2圖,拾取單元片段110藉由第一鰭片切割介電特徵150A、第一過渡區120、第二鰭片切割介電特徵150B、第一冗餘單元130以及第三鰭片切割介電特徵150C與標準單元片段140間隔。儘管拾取單元片段110的主動區(P摻雜區104P-5)與標準單元140的主動區(N摻雜區104N-7)間隔,但它們沿著X方向對齊,因為它們是同一主動區的摻雜區。在一些實施例中,標準單元片段140被限制(bound)在第三鰭片切割介電特徵150C和第四鰭片切割介電特徵150D之間。相似地,拾取單元片段110藉由第五鰭片切割介電特徵150E、第二過渡區122、第六鰭片切割介電特徵150F、第二冗餘單元132和第七鰭片切割介電特徵150G與標準單元片段142間隔。儘管拾取單元片段110的主動區(P摻雜區104P-5)與標準單元142的主動區(N摻雜區104N-8)間隔,但它們沿著X方向對齊,因為它們是同一主動區的摻雜區。在一些實施例中,標準單元片段142被限制在第七鰭片切割介電特徵150G和第八鰭片切割介電特徵150H之間。在第2圖所示的一些實施例中,P摻雜區104P-5與N摻雜區104N-7之間的第一接面160(或第一界面)落在第一過渡區120內,並且第一接面160設置在兩個鰭片切割介電特徵之間,即第一鰭片切割介電特徵150A和第二鰭片切割介電特徵150B之間。P摻雜區104P-5與N摻雜區104N-8之間的第二接面162(或第二界面)落在第二過渡區122內,並且第二接面162設置在兩個鰭片切割介電特徵之間,即第五鰭片切割介電特徵150E和第六鰭片切割介電特徵150F之間。在一些實施例中,第一冗餘單元130和第二冗餘單元132可包括不可操作的電晶體。舉例來說,第一冗餘單元130和第二冗餘單元132可包括電性耦接至與閘極結構相鄰的源極/汲極特徵中之一者的閘極結構170。
第3圖顯示了沿著Y方向的第2圖的I-I’剖面。值得注意的是,I-I’剖面沿著主動區104-7的縱向方向(lengthwise direction)通過。可以看出第3圖所示的實施例不包括插入在拾取單元片段110和標準單元片段140之間或插入在拾取單元片段110和標準單元片段142之間的任何OD中斷。事實證明,在第3圖中看不到任何隔離特徵(例如淺溝槽隔離(STI))。如上面所述,OD中斷是在隔離特徵(例如STI)形成之前形成的主動區中的不連續處。OD中斷(如果存在)將被隔離特徵填充。如第2圖所示,沒有使主動區104-7中斷的隔離特徵,第3圖所示的實施例不包括任何OD中斷。
第4圖顯示了根據一些實施例的IC結構的第二佈局設計200的俯視圖。在第4圖所示的實施例中,第二佈局設計200包括在基板202中的N型井(N井)202N和P型井(P井)202P。在一些實施例中,N型井202N和P型井202P在形狀上不像第1圖中的N井(N型井102N-1、102N-2以及102N-3)和P井(P型井102P-1、102P-2以及102P-3)那樣延伸。在那些實施例中,N型井202N和P型井202P彼此鍵接(key),使得它們可以互鎖(interlock)。現在參照第9A圖和第9B圖。第4圖中的N型井202N的形狀可以在第9A圖中更詳細地顯示。如第9A圖所示,N型井202N的形狀包括第一基部(first base)300B、第一T形部分310T以及第二T形部分312T。第一T形部分310T包括第一垂直條狀部分(vertical bar portion)310VB和第一水平條狀部分310HB,其中第一垂直條狀部分310VB與第一水平條狀部分(horizontal bar portion)310HB做T形接合(bone)。第一T形部分310T透過第一垂直條狀部分310VB耦接至第一基部300B的長邊。第二T形部分312T包括第二垂直條狀部分312VB和第二水平條狀部分312HB,其中第二垂直條狀部分312VB與第二水平條狀部分312HB做T形接合。第二T形部分312T透過第二垂直條狀部分312VB耦接至第一基部300B的長邊。第一基部300B、第一T形部分310T以及第二T形部分312T共同定義第一T形開口300TO。如第9B圖所示,P型井202P的形狀包括第二基部320B、第三T形部分320T,第一L形部分340L以及第二L形部分342L。第三T形部分320T包括第三垂直條狀部分320VB和第三水平條狀部分320HB,其中第三垂直條狀部分320VB與第三水平條狀部分320HB做T形接合。第三T形部分320T透過第三垂直條狀部分320VB耦接至第二基部320B的長邊。第二基部320B、第一L形部分340L以及第三T形部分320T共同定義第二T形開口330TO。第二基部320B、第三T形部分320T以及第二L形部分342L共同定義第三T形開口332TO。
如第4圖所示,第9A圖中的形狀和第9B圖中的形狀可以匹配並互鎖以形成矩形。當互鎖時,第一T形部分310T裝配(fit)在第二T形開口330TO內、第二T形部分312T裝配在第三T形開口332TO內、第三T形部分320T裝配在第一T形開口300TO內、第一L形部分340L鎖(latch)在第一T形部分310T的一側上,並且第二L形部分342L鎖在第二T形部分312T的一側上。換句話說,當第9A圖和第9B圖中的形狀裝配在一起時,第9A圖中的形狀的第一T形部分310T和第二T形部分312T延伸成第9B圖中的形狀並且在所有側面上與第9B圖中的形狀接壤,除了它們連接到第一基部300B的一側。舉例來說,第一T形部分310T包括沿著Y方向的第一外側402、沿著X方向的第二外側404以及沿著Y方向的第三外側406,並且第二T形開口330TO包括沿著Y方向的第一內側412、沿著X方向的第二內側414以及沿著Y方向的第三內側416。當第9A圖中的形狀和第9B圖中的形狀匹配並互鎖以形成矩形時,第一T形部分310T將接合第二T形開口330TO,使得第一外側402與第一內側412接壤、第二外側404與第二內側414接壤、以及第三外側406與第三內側416接壤。第二T形部分312T和第三T形開口330TO也是如此。相似地,當第9A圖和第9B圖中的形狀裝配在一起時,第9B圖中的形狀的第三T形部分320T延伸成第9A圖中的形狀並且在所有側面上與第9A圖中的形狀接壤,除了它連接到第二基部320B的一側。將第9A圖和第9B圖中的形狀匹配成第4圖中所示的實施例,N型井202N包括兩個T形部分,其延伸到P型井202P中並且在三個側面(沿著X方向和Y方向)上與P型井202P接壤。以相似的方式,P型井202P包括兩個T形部分,其延伸到N型井202N中並且在三個側面(沿著X方向和Y方向)上與N型井202N接壤。
儘管第4圖顯示了N型井202N採用了第9A圖所示的形狀,而P型井202P採用了第9B圖所示的形狀,但是本技術領域中具有通常知識者將理解N型井202N也可以採用第9B圖所示的形狀,而P型井202P可以採用第9A圖所示的形狀。第9A圖中的形狀和第9B圖中的形狀的互鎖藉由意圖最大化標準單元的面積和最小化拾取單元的面積來決定。舉例來說,在至少一些實施例中,窄垂直條狀部分(第一垂直條狀部分310VB、第二垂直條狀部分312VB以及第三垂直條狀部分320VB)用於形成將要預想最小化(aerially minimized)的拾取單元片段,並且寬水平條狀部分(310HB、312HB以及320HB)用於形成將要空想最大化(aerially maximized)的標準單元。本技術領域中具有通常知識者還將理解,儘管將井邊界描述和顯示為具有完美的直線,但實際上井邊界可能是模糊的(blurred)。也就是說,井的形狀仍然可藉由摻雜物類型、摻雜物濃度以及在其上製造的裝置的類型來識別和偵測。
回頭參照第4圖,第4圖中的第二佈局設計200包括四個連續且細長的P摻雜主動區204P-1、204P-2、204P-3以及204P-4,以及四個連續且細長的N摻雜主動區204N-1、204N-2、204N-3以及204N-4。P摻雜主動區204P-1和204P-2完全設置在N型井202N內。N摻雜主動區204N-3和204N-4完全設置在P型井202P內。應用結合第9A圖和第9B圖所述的術語,P摻雜主動區204P-1和204P-2完全設置在第一基部300B內,並且N摻雜主動區204N-3和204N-4完全設置在第二基部320B內。對於N摻雜主動區204N-1和204N-2以及P摻雜主動區204P-3和204P-4,由於它們跨越N型井202N和P型井202P兩者,所以不能說相同。在一些實施例中,第4圖中的第二佈局設計200包括五個拾取單元206-1、206-2、206-3、206-4和206-5。五個拾取單元中的每一者包括拾取單元片段,其主動區和下面的井中被摻雜有相同導電類型的摻雜物。拾取單元206-1包括第一拾取單元片段210,其包括設置在P型井202P上方的P摻雜主動區(204P-3和204P-4)。拾取單元206-2包括第二拾取單元片段212,其包括設置在N型井202N上方的N摻雜主動區(204N-1和204N-2)。拾取單元206-3包括第三拾取單元片段214,其包括設置在P型井202P上方的P摻雜主動區(204P-3和204P-4)。拾取單元206-4包括第四拾取單元片段216,其包括設置在N型井202N上方的N摻雜主動區(204N-1和204N-2)。拾取單元206-5包括第五拾取單元片段218,其包括設置在P型井202P上方的P摻雜主動區(204P-3和204P-4)。第三拾取單元片段214的一部分在第5圖中被放大並顯示。
如第5圖所示,第4圖中的第二佈局設計200的片段包括夾設在標準單元片段240和242之間的第三拾取單元片段214(確切地說是第4圖中的第三拾取單元片段214的一部分)。如第4圖所示,標準單元片段240是標準單元在第一拾取單元片段210和第三拾取單元片段214之間的部分。因此,標準單元片段240整體上設置在N型井202N上。標準單元片段242是標準單元在第三拾取單元片段214和第五拾取單元片段218之間的部分。因此,標準單元片段242整體上也設置在相同的N型井202N上。也就是說,第三拾取單元片段214夾設在形成在相同N型井202N上的兩個標準單元片段之間。儘管沒有被放大並且個別顯示,第一拾取單元片段210、第二拾取單元片段212、第四拾取單元片段216以及第五拾取單元片段218中的每一者夾設在設置在相同N型井或P型井上的標準單元片段之間。舉例來說,第二拾取單元片段212設置在形成在P型井202P上方的兩個標準單元片段之間。第四拾取單元片段216夾設在設置在P型井202P上方的兩個標準單元片段之間。在至少一些實施例中,第二佈局設計200是重複的單元,其可以被重複地施加並轉移到基板202的其他區域,包括緊鄰第二佈局設計200的區域。也就是說,可以複製第4圖中的第二佈局設計200,並且將其重複地直接放置在第二佈局設計200的上方、下方、左方或右方。
第二佈局設計200中的每一個標準單元可以是邏輯閘單元。在一些實施例中,邏輯閘單元包括AND閘、OR閘、NAND閘、NOR閘、XOR閘、反向器(INV)、AND閘-OR閘-反向器(AND-OR-Invert;AOI)、OR閘-AND閘-反向器(OR-AND-Invert;OAI)、多路復用器(MUX)、正反器(Flip-flop)、緩衝器(BUFF)、閂鎖(Latch)、延遲器(delay)、時脈單元(clock cell)等。在一些實施例中,標準單元是儲存單元。在一些實施例中,儲存單元包括靜態隨機存取記憶體(SRAM)、動態RAM(DRAM)、可變電阻式RAM(RRAM)、磁阻式RAM(MRAM)、唯讀記憶體(ROM)等。在一些實施例中,標準單元包括一或多個主動或被動元件。主動元件的示例包括(但不限於)電晶體和二極體。電晶體的示例包括(但不限於)金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面型電晶體(BJT)、高壓電晶體、高頻電晶體、P通道及/或N通道場效電晶體(PFET/NFET)、FinFET、GAA裝置、具有升高的源極/汲極的平面MOS電晶體等。被動元件的示例包括(但不限於)電容、電感、保險絲、電阻等。
仍參照第5圖,第三拾取單元片段214藉由第九鰭片切割介電特徵250A、第三過渡區220以及第十鰭片切割介電特徵250B與標準單元片段240間隔。在一些實施例中,標準單元片段240被限制(bound)在第十鰭片切割介電特徵250B和第十一鰭片切割介電特徵250C之間。相似地,第三拾取單元片段214藉由第十二鰭片切割介電特徵250D、第四過渡區222以及第十三鰭片切割介電特徵250E與標準單元片段242間隔。在一些實施例中,標準單元片段242被限制在第十三鰭片切割介電特徵250E和第十四鰭片切割介電特徵250F之間。與第1圖至第3圖所示的佈局設計100不同,第二佈局設計200在標準單元和拾取單元之間的主動區的P摻雜區和N摻雜區之間不包括任何接面(junction)或過渡(transition)。替代地,在第二佈局設計200中,在標準單元和拾取單元之間發現一或多個井接面或井過渡(transitions)。
現在參照第5圖和第6圖。第6圖顯示了沿著Y方向的主動區204P-4的II-II’剖面。值得注意的是,II-II’剖面沿著主動區204P-4的縱向方向(lengthwise direction)通過。與第一佈局設計100相似,第二佈局設計200不包括插入在第三拾取單元片段214和標準單元片段240之間或插入在第三拾取單元片段214和標準單元片段242之間的任何OD中斷。事實證明,在第5圖中看不到任何隔離特徵(例如淺溝槽隔離(STI))。如上面所述,OD中斷是在隔離特徵(例如STI)形成之前形成的主動區中的不連續處。OD中斷(如果存在)將被隔離特徵填充。如第5圖所示,沒有使主動區204P-4中斷的隔離特徵,第二佈局設計200不包括任何OD中斷或不會有拾取單元和標準單元之間的任何OD中斷。與第一佈局設計100不同,II-II’剖面通過兩個井的接面/過渡。由於第9A圖和第9B圖所示的P型井202P和N型井202N的形狀,II-II’剖面通過落在第三過渡區220內的第一井過渡260和落在第四過渡區222內的第二井過渡262。N型井202N和P型井202P在第一井過渡260和第二井過渡262彼此接壤。儘管已知井過渡會引起井鄰近效應(well proximity effect;WPE),但WPE會隨著主動區尺寸的減小而減小。也就是說,至少對於主動區尺寸越來越小的先進IC裝置而言,WPE比起與摻雜區的過渡相關的LDE較不明顯。因此,藉由本揭露的實施例所實現的一些效能和良率提高源自於消除LDE。
本揭露考慮了進一步解決WPE的實施例。現在參照第7圖和第8圖。與第6圖所示的實施例相比,第7圖和第8圖中的實施例包括額外的鰭片切割介電特徵。舉例來說,第7圖所示的實施例更包括在第三過渡區220中的第十五鰭片切割介電特徵250G和在第四過渡區222中的第十六鰭片切割介電特徵250H。在第7圖未顯示的一些實施例中,第十五鰭片切割介電特徵250G可以恰好形成在第一井過渡260,並且第十六鰭片切割介電特徵250H可以恰好形成在第二井過渡262。第8圖所示的實施例更包括在第三過渡區220中的第十七鰭片切割介電特徵250I和在第四過渡區222中的第十八鰭片切割介電特徵250J。已經觀察到,額外的鰭片切割介電特徵可以幫助降低WPE。然而,應理解這些額外的鰭片切割介電特徵是可選的,因為已經藉由減小主動區的尺寸來減輕了WPE。
基於上面的討論,可以看出本揭露提供了優於習知方法和半導體結構的優點。然而,應理解其他實施例可提供額外的優點,並且此處不必揭露所有優點,並且對於所有實施例皆不需特定的優點。舉例來說,本揭露提供了一種半導體結構,其包括拾取單元,拾取單元沒有藉由包括淺溝槽隔離特徵的任何OD中斷特徵而與相鄰的標準單元隔離。替代地,在本揭露的實施例中,拾取單元藉由鰭片切割介電特徵與相鄰的標準單元隔離。對於另一個示例,本揭露還提供了一種半導體結構,其包括互鎖井,當在標準單元之間放置拾取單元時,互鎖井消除了主動區的不同摻雜區的接面。鰭片切割介電特徵和互鎖井的實現減少了與拾取單元相關的面積損失(area penalty),並且增加了功能裝置的面積。另外,藉由減少與在標準單元之間放置拾取單元相關的WPE和LDE,鰭片切割介電特徵和互鎖井的實現可以提高效能和良率。
因此,在一個實施例中,本揭露提供了一種半導體結構,其包括設置在摻雜有第一類型摻雜物的第一井上方的第一單元、設置在第一井上方的第二單元、以及設置在摻雜有與第一類型摻雜物不同的第二類型摻雜物的第二井上方的拾取單元。拾取單元夾設在第一單元和第二單元之間。第一單元包括第一多個電晶體,並且第二單元包括第二多個電晶體。
在一些實施例中,第一單元包括第一主動區、第二單元包括第二主動區、以及拾取單元包括第三主動區。第一主動區、第二主動區以及第三主動區摻雜有第二類型摻雜物。在一些實施例中,第一類型摻雜物是N型,並且第二類型摻雜物是P型。在一些實施例中,第一類型摻雜物是P型,並且第二類型摻雜物是N型。在一些情況下,第一井包括第一形狀,第一形狀包括基部和從基部延伸的至少一字母形分支。在某些情況下,第二井包括鍵接到第一形狀的第二形狀。在一些實施例中,字母形分支之每一者是T形部分。
在另一個實施例中,本揭露提供了一種半導體結構,其包括基板、在基板中的第一井,第一井摻雜有第一類型摻雜物;以及在基板中的第二井,第二井摻雜有與第一類型摻雜物不同的第二類型摻雜物。第一井的一部分延伸到第二井中,並且第一井的該部分的三個側面與第二井接壤。
在一些實施例中,第一井包括基部和第一T形部分,第一T形部分透過第一T形部分的第一垂直條狀部分耦接至基部。該部分是第一T形部分。在一些實施例中,第二井包括T形開口,並且第一井的第一T形部分大抵裝配在T形開口中。在一些情況下,第一T形部分更包括耦接至第一垂直條狀部分的第一水平條狀部分,並且半導體結構更包括在第一T形部分的第一垂直條狀部分上方的拾取單元。在一些實施例中,第二井包括第二T形部分。二T形部分包括第二垂直條狀部分和耦接至第二垂直條狀部分的第二水平條狀部分。半導體結構更包括在第二水平條狀部分上方的第一單元。第一單元包括複數電晶體。在一些情況下,拾取單元包括第一主動區,第一單元包括第二主動區,並且第一主動區對齊第二主動區。在一些實施例中,拾取單元藉由延伸到第一井中的至少一介電特徵與第一單元間隔。在一些實施例中,拾取單元藉由延伸到第二井中的至少一介電特徵與第一單元間隔。在一些情況下,第一井更包括耦接至基部的L形部分。
在另一個實施例中,本揭露提供了一種半導體結構,其包括基板、設置在N型井上方的第一單元、設置在N型井上方的第二單元、以及設置在P型井上方的拾取單元。第一單元包括第一多個電晶體。第二單元包括第二多個電晶體。拾取單元夾設在第一單元和第二單元之間。
在一些實施例中,半導體結構更包括延伸到N型井中的至少一介電特徵。第一單元包括第一主動區,並且拾取單元包括第二主動區。第一主動區和第二主動區對齊,並且第一主動區藉由介電特徵與拾取單元間隔。在一些實施例中,第一主動區不藉由淺溝槽隔離特徵與拾取單元間隔。在一些情況下,半導體結構更包括延伸到P型井中的至少一介電特徵。第一單元包括第一主動區,並且拾取單元包括第二主動區。第一主動區和第二主動區對齊,並且第一主動區藉由介電特徵與拾取單元間隔。
前述內文概述了許多實施例的特徵。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:第一佈局設計 102P-1,102P-2:P型井 102N-1,102N-2,102N-3:N型井 102:基板 104-1,104-2,104-3,104-4,104-5,104-6,104-7,104-8:主動區 106-1,106-2,106-3,106-4,106-5:拾取單元 104N-1,104N-2,104N-3,104N-4,104N-5,104N-6:N摻雜區 104P-1,104P-2,104P-3,104P-4:P摻雜區 140,142:標準單元片段 110:拾取單元片段 150A:第一鰭片切割介電特徵 120:第一過渡區 150B:第二鰭片切割介電特徵 130:第一冗餘單元 150C:第三鰭片切割介電特徵 104P-5:P摻雜區 104N-7:N摻雜區 150D:第四鰭片切割介電特徵 150E:第五鰭片切割介電特徵 122:第二過渡區 150F:第六鰭片切割介電特徵 132:第二冗餘單元 150G:第七鰭片切割介電特徵 104N-8:N摻雜區 150H:第八鰭片切割介電特徵 160:第一接面 162:第二接面 170:閘極結構 200:第二佈局設計 202:基板 202N:N型井 202P:P型井 300B:第一基部 310T:第一T形部分 312T:第二T形部分 310VB:第一垂直條狀部分 310HB:第一水平條狀部分 312VB:第二垂直條狀部分 312HB:第二水平條狀部分 300TO:第一T形開口 320B:第二基部 320T:第三T形部分 340L:第一L形部分 342L:第二L形部分 320VB:第三垂直條狀部分 320HB:第三水平條狀部分 330TO:第二T形開口 332TO:第三T形開口 402:第一外側 404:第二外側 406:第三外側 412:第一內側 414:第二內側 416:第三內側 204P-1,204P-2,204P-3,204P-4:P摻雜主動區 204N-1,204N-2,204N-3,204N-4:N摻雜主動區 206-1,206-2,206-3,206-4,206-5:拾取單元 210:第一拾取單元片段 212:第二拾取單元片段 214:第三拾取單元片段 216:第四拾取單元片段 218:第五拾取單元片段 240,242:標準單元片段 250A:第九鰭片切割介電特徵 220:第三過渡區 250B:第十鰭片切割介電特徵 250C:第十一鰭片切割介電特徵 250D:第十二鰭片切割介電特徵 222:第四過渡區 250E:第十三鰭片切割介電特徵 250F:第十四鰭片切割介電特徵 260:第一井過渡區 262:第二井過渡區 250G:第十五鰭片切割介電特徵 250H:第十六鰭片切割介電特徵 250I:第十七鰭片切割介電特徵 250J:第十八鰭片切割介電特徵
本揭露之觀點從後續實施例以及附圖可以更佳理解。須知示意圖係為範例,並且不同特徵並無示意於此。不同特徵之尺寸可能任意增加或減少以清楚論述。 第1圖是根據本揭露實施例之第一佈局設計的示意圖,其包括複數拾取單元和複數標準單元。 第2圖是根據本揭露實施例之第1圖中的第一佈局設計的一部分的放大俯視圖。 第3圖是根據本揭露實施例之第1圖中的第一佈局設計的一部分的側視圖。 第4圖是根據本揭露實施例之第二佈局設計的示意圖,其包括複數拾取單元和複數標準單元。 第5圖是根據本揭露實施例之第4圖中的第二佈局設計的一部分的放大俯視圖。 第6圖是根據本揭露實施例之第4圖中的第二佈局設計的一部分的側視圖。 第7圖是根據本揭露實施例之第4圖中的第二佈局設計的一部分的側視圖。 第8圖是根據本揭露實施例之第4圖中的第二佈局設計的一部分的側視圖。 第9A圖顯示了根據本揭露實施例之第4圖中的第二佈局設計的井的形狀。 第9B圖顯示了根據本揭露實施例之第4圖中的第二佈局設計的另一井的形狀。
200:第二佈局設計
202:基板
202N:N型井
202P:P型井
204P-1,204P-2,204P-3,204P-4:P摻雜主動區
204N-1,204N-2,204N-3,204N-4:N摻雜主動區
206-1,206-2,206-3,206-4,206-5:拾取單元
210:第一拾取單元片段
212:第二拾取單元片段
214:第三拾取單元片段
216:第四拾取單元片段
218:第五拾取單元片段

Claims (1)

  1. 一種半導體結構,包括: 一第一單元,設置在摻雜有一第一類型摻雜物的一第一井上方,上述第一單元包括一第一多個電晶體; 一第二單元,設置在上述第一井上方,上述第二單元包括一第二多個電晶體;以及 一拾取單元,設置在摻雜有與上述第一類型摻雜物不同的一第二類型摻雜物的一第二井上方,上述拾取單元夾設在上述第一單元和上述第二單元之間。
TW109121117A 2019-08-26 2020-06-22 半導體結構 TW202123460A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962891615P 2019-08-26 2019-08-26
US62/891,615 2019-08-26
US16/696,272 US11515199B2 (en) 2019-08-26 2019-11-26 Semiconductor structures including standard cells and tap cells
US16/696,272 2019-11-26

Publications (1)

Publication Number Publication Date
TW202123460A true TW202123460A (zh) 2021-06-16

Family

ID=74681721

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121117A TW202123460A (zh) 2019-08-26 2020-06-22 半導體結構

Country Status (3)

Country Link
US (2) US11515199B2 (zh)
CN (1) CN112436006A (zh)
TW (1) TW202123460A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220037365A1 (en) * 2020-07-28 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device, method, and system

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9786685B2 (en) * 2015-08-26 2017-10-10 Samsung Electronics Co., Ltd. Power gate switching system
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Also Published As

Publication number Publication date
US11515199B2 (en) 2022-11-29
US20210066119A1 (en) 2021-03-04
US20230081710A1 (en) 2023-03-16
CN112436006A (zh) 2021-03-02

Similar Documents

Publication Publication Date Title
CN110610937B (zh) 集成标准单元结构
US8436405B2 (en) ROM cell circuit for FinFET devices
US7964893B2 (en) Forming ESD diodes and BJTs using FinFET compatible processes
US11792971B2 (en) FinFET SRAM cells with dielectric fins
US11670678B2 (en) Integrated circuit structure
US11107822B2 (en) Semiconductor device
US11444089B2 (en) Gate-all-around field effect transistors in integrated circuits
US11830740B2 (en) Mask layout, semiconductor device and manufacturing method using the same
US20230082104A1 (en) Method of forming integrated circuit structure
US10204857B2 (en) Middle end-of-line strap for standard cell
TW202123460A (zh) 半導體結構
KR102527514B1 (ko) 게이트 구성을 갖는 회로 구조물
US20220189944A1 (en) Semiconductor devices having improved layout designs, and methods of designing and fabricating the same
TWI758032B (zh) 積體電路結構
US20210167090A1 (en) Integrated circuits including integrated standard cell structure
US10319709B2 (en) Integrated circuits with standard cell
US20200152661A1 (en) Standard cell having mixed flip-well and conventional well transistors
US12021130B2 (en) Circuit structure with gate configuration
US20240213153A1 (en) Electronic device with a cell of transistors
US20230207650A1 (en) Circuit structure with gate configuration
US12020939B2 (en) Mask layout, semiconductor device and manufacturing method using the same
TW202416450A (zh) 積體電路及其製造方法
JPS6058656A (ja) 半導体集積回路装置