TW202117877A - 電晶體裝置 - Google Patents

電晶體裝置 Download PDF

Info

Publication number
TW202117877A
TW202117877A TW109129551A TW109129551A TW202117877A TW 202117877 A TW202117877 A TW 202117877A TW 109129551 A TW109129551 A TW 109129551A TW 109129551 A TW109129551 A TW 109129551A TW 202117877 A TW202117877 A TW 202117877A
Authority
TW
Taiwan
Prior art keywords
gate
contact structure
transistor device
contact
level
Prior art date
Application number
TW109129551A
Other languages
English (en)
Other versions
TWI799734B (zh
Inventor
謝瑞龍
拉爾斯W 賴柏曼
哈拉恩 巴拉沙巴馬尼恩 波拉納斯
威拉拉哈瓦恩 巴斯克爾
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202117877A publication Critical patent/TW202117877A/zh
Application granted granted Critical
Publication of TWI799734B publication Critical patent/TWI799734B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明揭示一種示例電晶體裝置,包括:閘極結構,位於至少有源區域之上,該閘極結構朝對應於該電晶體裝置之閘極寬度方向的方向具有軸向長度,該閘極結構之該軸向長度的第一部分具有第一上表面以及該閘極結構之該軸向長度的第二部分具有第二上表面,其中,該第一上表面的級別位於該第二上表面的級別之上;以及閘極接觸結構,接觸該閘極結構之該第一上表面。

Description

電晶體裝置
本申請一般關於積體電路的製造,更具體而言,關於在積體電路(IC)產品上形成接觸結構的各種新穎方法以及各種新穎IC產品。在一實施例中,可在當形成裝置級接觸件(例如源汲接觸結構及閘極接觸結構)於IC產品上時,採用本文所揭示的方法。
在現代積體電路中,例如微處理器,儲存裝置等,大量的電路元件,尤其是場效電晶體(FET)在一受限的晶片區域上形成及操作。FET具有各種不同的配置,例如,平面型裝置,FinFET裝置,奈米線裝置等。這些FET裝置通常在一切換模式下操作,也就是說,這些裝置呈現一高導電狀態(導通狀態)以及一高阻抗狀態(關斷狀態)。場效電晶體的狀態由一閘極電極控制,該閘極電極在施加一適當的控制電壓時,控制汲極區域以及源極區域之間形成的通道區域的電導率。
通常,由於大量的半導體裝置(即,諸如電晶體、電阻器、電容器等的電路元件)以及現代積體電路所需的複雜佈局,單獨的半導體裝置(例如,電晶體、電容器 等)的電連接或“佈線佈置”,不能在用於製造半導體裝置的相同的裝置級別內建立。因此,構成IC產品的總體佈線圖案的各種電連接在一金屬化系統中形成,該金屬化系統包括形成在該產品的該裝置級之上的多個堆疊的“金屬化層”。這些金屬化層通常由具有導電金屬線或導電通孔形成於其中的絕緣材料層組成。通常,導電線提供級別內的電連接,而導電通孔提供導電線的不同級別之間的層間連接或垂直連接。這些導電線和導電通孔可以由各種不同材料所組成,例如,銅、鎢、鋁等(具有適當的阻擋層)。一積體電路產品中的第一金屬層通常被稱為“M1”層。通常,多個導電通孔(通常被稱為“V0”通孔)用於在M1層和通常被稱為裝置級接觸件(容後詳述)的更低級別的導電結構之間建立電連接。在一些更先進的裝置中,由導電線組成的另一金屬層(有時被稱為“M0”層)在裝置級接觸件與V0通孔之間形成。
還存在裝置級接觸件位於一IC產品上的該金屬化系統的最底層的下方。例如,這種裝置級接觸件包括用於建立電連接至一電晶體裝置的該源汲區域的多個所謂“CA接觸”結構,以及一閘極接觸結構,其有時被稱為“CB接觸”結構,用於建立電連接至該電晶體裝置的該閘極結構。該CB接觸通常垂直地位於該電晶體裝置周圍的絕緣材料之上,即,該CB閘極接觸通常不位於該有源區域之上,但其可能在一些先進架構中。
該CB閘極接觸通常位於該隔離區域之上, 以避免或減少在CB閘極接觸與形成在鄰接該電晶體的該閘極結構的該電晶體的該源汲區域中的導電源汲結構(例如,溝槽矽化物(TS)結構)之間生成電短路的機會。絕緣材料,通常為至少一側壁間隔件的形式,位於該閘極結構與該導電源汲結構之間。通常,也有一些設計規則用以設置該CB閘極接觸與該導電源汲結構之間必須保持的最小間隔,以試圖防止這種電短路。不幸的是,會存在一區域損失(area penalty),其要求該CB閘極接觸僅位於該隔離區域之上。
已經作出努力來建立製程(process)流程,使得該CB接觸完全形成在該有源區域的上方。不幸的是,這樣的製程流程通常關於許多附加製程步驟,並可能需要使用新的材料。這種複雜製程也增加了降低產品良率的機會。所需要的是一種形成該CB閘極接觸的方法,以便節省一IC產品上的有價值的繪圖空間,其比在有源區域上方完全形成該CB接觸的製程要簡單。進一步需要的是用於完全在有源區域的上方形成該CB接觸的較不複雜的製程。一些IC產品包括完全在有源區域上方形成的CB接觸件,以及形成在絕緣材料之上的其他CB接觸件。還需要一種有效的製程流程,用於在具有密集封裝電晶體裝置的先進IC產品上形成所有這些裝置級接觸件。
本申請關於形成接觸結構於IC產品上的各種新穎方法及各種新穎IC產品,可以避免,或至少減小,上述的一個或多個問題的影響。
以下是本發明的一簡化,以便提供對本發明某些方面的一基本理解。本摘要並非是對本發明的詳盡概述,其並不打算識別本發明的關鍵或關鍵元件或劃定本發明的範圍。其唯一目的是以一種簡化的形式呈現一些概念,作為稍後將討論的更詳細描述的序言。
一般而言,本申請關於形成接觸結構於IC產品的各種新穎方法及各種新穎IC產品。所揭示的一示例性電晶體裝置包括:閘極結構,位於至少有源區域之上,該閘極結構朝對應於該電晶體裝置之閘極寬度方向的方向具有軸向長度,該閘極結構之該軸向長度的第一部分具有第一上表面以及該閘極結構之該軸向長度的第二部分具有第二上表面,其中,該第一上表面的級別位於該第二上表面的級別之上;以及閘極接觸結構,接觸該閘極結構之該第一上表面。
1、2、3:閘極
100:IC產品
102:基板
103:鰭片
106:閘極
107:絕緣材料
107R:上表面
108:最終閘極結構
108-1、108-2、108-3:閘極結構
108R:凹陷的上表面
108S:上表面或非凹陷的上表面
109:絕緣材料
109S:上表面或非凹陷的上表面
110:閘極帽
111:蝕刻停止層
112:側壁間隔件
113:遮罩材料層或遮罩層
115:ARC層(抗反射塗層)
116:外延材料
117:圖案化蝕刻遮罩
117A、117B、117C、117D:開口
119:開口
120:源/汲接觸結構
120R:凹陷的上表面
120S:上表面或非凹陷的上表面
121、123:開口
125A、125B、125C、125D:犧牲接觸結構
127:絕緣材料
128、129:間隔
130、131:CB閘極接觸結構
132:CA接觸結構
133:細長的CA接觸結構
140、141、142:裝置級接觸開口
143:開口
145:側壁間隔件
149:裝置級接觸開口
151:接觸帽
153:絕緣材料
153A、153B:開口
155:圖案化CB蝕刻遮罩
155A:開口
157:CB閘極接觸開口
160A、160B、160C:導電結構
164:凹陷的上表面
通過參考結合附圖的下述描述,可以理解本申請,其中,相同的附圖標記標識相似的元件,且其中:
第1圖至第10圖描繪形成接觸結構於IC產品上的各種新穎方法及各種新穎IC產品;以及
第11圖至第25圖描繪形成接觸結構於IC產品上的其他新穎方法及其他新穎IC產品。
雖然本申請的主題容易受到各種修改和替代形式的影響,但是其具體實施例已經在附圖中以示例的 方式予以示出,並在本文中予以詳細描述。然而,應當理解的是,本文對具體實施例的描述並非旨在將本發明限制於所公開的特定形式,相反,其意圖是涵蓋落入本發明的精神和範圍內的所有修改、等價物、及替換方案,如所附的申請專利範圍書所定義的。
下面描述本發明的各種示例性實施例。為了清楚起見,在本說明書中沒有描述實際實現的所有特徵。當然,應當理解,在任何這樣的實際實施例的開發中,必須做出許多特定實現的決策以實現開發人員的特定目標,例如,遵守與系統相關的與業務相關的約束,這些約束將因不同的實作而有所不同。此外,應當理解,這種開發可能複雜且耗時,但是對於受益於本申請的本領域技術人員來說仍將會像是例行公事一般。
現在將參考附圖描述本主題。在附圖中示意性地描繪了各種結構、系統和裝置,僅用於說明的目的,以便不讓本領域技術人員所熟知的細節來混淆本申請內容。不過,附圖仍被包括用來描述和解釋本申請的示例性示例。本文所使用的字詞和詞組應當被理解為具有與相關領域的技術人員理解這些字詞和詞組的理解一致的含義。術語或詞組的任何特殊定義,即,與本領域技術人員所理解的普通和悉知含義不同的定義,旨在通過本文的術語或詞組的一致用法來暗示。如果一術語或詞組意圖具有特殊含義,即除了本領域技術人員所熟悉的含義之外的含義, 那麼在說明書中將以直接和明確地提供特定定義的方式明確的闡明該術語或詞組的特定含義。
本申請關於形成接觸結構於IC產品上的各種新穎方法和各種新穎IC產品。在本文所揭示的各種方法在形成裝置級接觸結構(例如源汲接觸結構以及閘極接觸結構)在IC產品上的說明性上下文中予以描述。然而,正如本領域技術人員將在完全閱讀本申請之後所理解的,本文所揭示的各種新穎方法以及裝置不限於裝置級接觸件的形成。本文所揭示的方法和裝置可用於使用各種技術製造產品(例如,NMOS,PMOS,CMOS等),並且可以用於製造各種不同的裝置,例如,記憶體產品、邏輯產品,ASIC等。如本領域技術人員在完全閱讀本申請之後將可理解的,本文所揭示的這些發明可以用於使用各種不同配置的電晶體裝置(例如平面型裝置、FinFET裝置,奈米線裝置等)來形成積體電路產品。在本文描述的說明性示例中,電晶體裝置將是FinFET裝置。電晶體裝置的閘極結構可以使用“閘極優先(gate first)”或“替代閘極”的製造技術而形成。因此,目前所揭示的發明不應當被認為限於任何特定形式的電晶體或形成電晶體裝置的閘極結構的方式。當然,本文所揭示的發明不應該被認為限於本文所描述或描繪的說明性示例。現將參考附圖,更詳細的描述本文所揭示的方法以及裝置的各種說明性示例。下面描述的各種材料層可以由各種不同的已知技術中的任何一種而形成,例如,化學氣相沉積(chemical vapor deposition;CVD)製程,原子層沉積 (atomic layer deposition;ALD)製程、熱生長製程、旋塗製程等。另外,在本文以及附圖中所使用的,“相鄰”一詞將被給予廣泛的解釋,並且應當被解釋為包括一個特徵實際接觸另一特徵,或與另一特徵接近的情況。
第1圖至第10圖描繪形成接觸結構(例如,源汲以及閘極接觸結構)於IC產品上的各種新穎方法以及各種新穎IC產品。第1圖是可使用本文描述的方法而形成的IC產品100的示例性實施例的簡化平面圖。第2圖至第10圖包含第1圖所示的IC產品100的兩個橫截面視圖(“X-X”以及“Y-Y”)。該橫截面視圖取自於電晶體裝置的閘極長度方向。
參考第1圖及第2圖,IC產品100通常包括形成於一基板102之中或之上的各種電晶體裝置的多個閘極106(參見第2圖,編號為1-3以便於參考)。在本文所描述的說明性示例中,電晶體裝置為FinFET裝置,但本文所揭示的發明不應當被認為限於包括FinFET電晶體裝置的IC產品。多個鰭片103通過使用傳統製造技術而形成在基板102中,且在此製程流程時刻,閘極106已形成在鰭片103上。還描繪了導電性耦合至電晶體裝置的源汲區域的說明示例性源/汲接觸結構120(例如,溝槽矽化物結構)。
第1圖還描繪了一示例性CB閘極接觸結構130,其將形成為接觸閘極1的閘極結構108-1。CB閘極接觸結構130將位於電晶體的有源區域之上。如本文中所 使用的,術語“有源區域”應理解為由兩個位於閘極1的相對兩側上的兩個導電源/汲接觸結構120(第1圖中僅示出其中的一個)以及閘極1本身位於該兩個源/汲接觸結構120之間的部分所佔據的區域或“佔用面積(footprint)”。第1圖中還顯示了多個CA接觸結構132,其將形成為接觸各種電晶體裝置的源/汲接觸結構120。最後,第1圖描繪了另一CB閘極接觸結構131,其將形成為接觸閘極3的閘極結構108-3。CB閘極接觸結構131將完全位於絕緣材料107(例如二氧化矽)之上。
如上所述,本文的附圖進一步包括兩個橫截面圖(“X-X”和“Y-Y”),其取自第1圖所示的位置。更具體而言,橫截面圖X-X是在將形成CB閘極接觸結構130以及其中一個CA接觸結構132的位置處,沿電晶體裝置的閘極長度方向上所取得的通過閘極106的截面圖。在電晶體裝置為FinFET裝置的情況下,視圖X-X應當理解為在與一FinFET裝置的該閘極長度(電路傳輸)方向對應的方向上通過該電晶體的鰭片103的長軸的截面圖;橫截面視圖Y-Y是在將形成CB閘接觸結構131於絕緣材料107之上的位置處,於該電晶體裝置的閘極長度方向上所取得的通過閘極106的橫截面圖。
基板102可具有多種結構,如所描繪的塊體矽結構。基板102還可具有絕緣體上半導體(SOI)結構,其包括一塊體半導體層,一埋入絕緣層,以及位於該埋入絕緣層上的有源半導體層,其中,半導體裝置形成於有源層 中或有源層之上。基板102可以由矽製成,也可以由矽以外的其他材料製成。因此,術語“基板”或“半導體基板”應被理解為包括所有半導體材料和這種材料的所有形式。此外,附圖中沒有描繪出各種摻雜區域,例如,光暈植入區域,阱區域等。
第2圖描繪了在執行了多個製程操作之後的IC產品100。首先,如上所述,通過執行一個或多個蝕刻製程而形成鰭片103,例如各向異性蝕刻製程,以通過一圖案化的鰭形成蝕刻遮罩(未示出)而在基板102中形成多個鰭形成溝槽,從而定義多個鰭片103。鰭片103的寬度和高度可以根據具體的應用而變化。此外,鰭形成溝槽以及鰭片103的整體尺寸、形狀和配置可根據具體應用而變化。接著,沉積一層絕緣材料107(例如二氧化矽),以過量填充該鰭形成溝槽。然後進行一化學機械拋光(chemical mechanical polishing;CMP)製程以平坦化絕緣材料107的層的上表面與鰭片103的上表面,從而移除圖案化的鰭形成硬遮罩。接著,對絕緣材料107的層執行一凹陷蝕刻製程,使其具有一凹陷的上表面107R,從而在凹陷的上表面107R之上暴露出所需數量的鰭片103。
仍參考第2圖,在凹陷絕緣材料107的層之後,閘極106形成於鰭片103之上。各閘極106包括一示意性描繪的最終閘極結構108(編號108-1、108-2、108-3以便於參考),一閘極帽110,以及一側壁間隔件112。然而,應當注意的是,在一些實施例中,閘極帽110可以省 略,即,閘極結構的頂部可以一直延伸到絕緣材料109的頂部。側壁間隔件112以及閘極帽110可以由各種不同的材料組成,例如,氮化矽、SiNC、SiN、SiCO、SiNOC等,且其可以由相同或不同的材料製成。在一示例性實施例中,側壁間隔件112可以由一低K材料(即具有一介電常數小於7的材料)製成。如本領域技術人員在完全閱讀了本申請之後將理解的,在一示例性實施例中,側壁間隔件112和閘極帽110可以由相同的材料(例如氮化矽)製成,這使得與側壁間隔件112和閘極帽110是由不同材料(即,間隔件材料可以是具有一低K值的材料)所製成的情況相比,製程顯得不那麼複雜,但他們都可以是,例如,具有類似蝕刻特性的氮化物基材料。通常而言,當使用已知替換閘極製造技術製造最終閘極結構108時,在移除一犧牲閘極電極(未示出)和一犧牲閘極絕緣層(未示出)之後,用於最終閘極結構108的材料隨後形成在側壁間隔件112之間閘極空腔中。最終閘極結構108通常包括一高K閘極絕緣層(未示出),例如,氧化鉿、具有一介電常數大於10的一材料等,以及作為最終閘極結構108的閘極電極的一個或多個導電材料層。例如,可以沉積一個或多個功函數調節金屬材料層以及一塊體導電材料以形成閘極電極結構。
仍然參考第2圖,在形成最終閘極結構108之前,通過執行一外延生長製程,形成一外延材料116於有源區域的暴露部分上(或在一FinFET裝置情況下的鰭片103),即,在裝置的源汲區域中。外延材料116可形成為 任何所需的厚度。然而,應該理解的是,外延材料116不需要在所有應用中形成。其他層的材料,例如接觸蝕刻停止層等,在附圖中未予示出。還示出了示例性的源/汲接觸結構120,其通常包括一所謂“溝槽矽化物”(TS)結構(未單獨示出)。如圖所示,源/汲接觸結構120的上表面通常與閘極帽110的上表面幾乎齊平。
在形成外延材料116後,絕緣材料109(例如,二氧化矽)的層被覆蓋沉積在基板上。此後,執行一CMP製程以使用位於犧牲閘極結構之上的原始閘極帽(未示出)作為拋光停止層而平坦化絕緣材料109的層。此時,執行傳統替換閘極製造製程以移除原始閘極帽與犧牲閘極結構,以形成最終閘極結構108。在此刻,於本特定實施例中,原始閘極帽110形成在IC產品100上。接著,移除源汲區域之上的部分絕緣材料109,並且在裝置的源汲區域中形成上述參考的源/汲接觸結構120。
第3圖描繪了在執行一個或多個CMP(化學機械拋光)或回蝕刻製程之後以移除閘極帽110和部分源/汲接觸結構120和絕緣材料109的層之後的IC產品100。在這些製程操作結束時,暴露出最終閘極結構108的上表面108S。
第4圖描繪了在執行多個製程操作之後的IC產品100。首先,至少一犧牲材料層形成在基板之上。更具體而言,在一示例性製程流程中,一相對較薄的蝕刻停止層111最初被覆蓋沉積在產品上。然後,一遮罩材料 層113,例如OPL形成在蝕刻停止層111上。接著,一ARC層(抗反射塗層)115形成在遮罩材料層113上。此後,一圖案化蝕刻遮罩117(例如,一圖案化光阻層)形成在ARC層115之上。圖案化蝕刻遮罩117具有多個開口117A-C,當從上方觀察時,各開口117A-C具有大致矩形的結構。這些不同的材料層可形成為任何期望的厚度,並可以由各種不同的材料製成。例如,蝕刻停止層111可以由各種不同的材料製成,例如,SiN,SiCO,SiC,Al2O3,HfO2等,並且其應該由相對於下方材料的構成材料表現出良好的蝕刻選擇性的一材料製成。ARC層115可例如由TiOx,SiARC,LTO等材料製成。
第5圖描繪了在執行數個製程操作之後的IC產品100。首先,執行通過圖案化蝕刻遮罩117(見圖4)的一個或多個蝕刻製程,以移除部分ARC層115和遮罩層113。此刻,在一些製程流程中,圖案化蝕刻遮罩117可被移除。然後,執行另一蝕刻製程,以移除蝕刻停止層111的暴露部分。這些製程操作導致開口119,121和123的形成。開口119暴露閘極結構108-1的軸向長度(附圖頁的平面內及平面外)的一部分的上表面108S;開口121暴露出位於閘極2和3之間的源/汲接觸結構120的軸向長度的一部分的上表面120S;開口119暴露出閘極結構108-3的軸向長度的一部分的上表面108S。
第6圖描繪了在開口119,121和123中分別形成犧牲接觸結構125A-C(使用數字125共同引用)以及移 除ARC層115之後的IC產品100。犧牲接觸結構125可以包括各種不同的材料,例如,非晶矽、氮化矽等。犧牲接觸結構125可以通過在基板上沉積用於犧牲接觸結構125的材料以過量填充開口119,121和123,然後執行一CMP或回蝕刻製程以移除犧牲接觸結構125和ARC層115的過量的材料而形成。
第7圖描繪了在執行數個製程操作之後的IC產品100。首先,執行一個或多個蝕刻製程以移除遮罩材料層113和蝕刻停止層111的剩餘部分。然後,執行一個或多個凹陷蝕刻製程以凹陷導電最終閘極結構108的暴露部分以及未被犧牲接觸結構125覆蓋的導電源/汲接觸結構120。執行這些導電結構的凹陷以便在將形成在IC產品100上的導電結構和CB閘極接觸結構130之間,CB閘極接觸結構131和CA接觸結構132之間提供增加的間隔,如下詳述。在這些製程操作結束時,最終閘極結構108的暴露部分具有一凹陷的上表面108R(包括分別位於犧牲接觸結構125A,125C的相對兩側上的閘極結構108-1,108-3的部分),而源/汲接觸結構120的暴露部分具有一凹陷的上表面120R(包括位於犧牲接觸結構125B的相對兩側上的源/汲接觸結構120的部分)。最終閘極結構108的暴露部分以及源/汲接觸結構120的暴露部分的凹陷量可以根據特定應用而有所不同(例如5-15奈米)。在一示例性實施例中,對最終閘極結構108以及源/汲接觸結構120執行的凹陷蝕刻製程可包括一定向(各向異性)蝕刻製程,隨後是 一相對短暫的各向同性蝕刻製程。在蝕刻序列的各向同性部分期間,執行這樣的一蝕刻序列,以限制從犧牲接觸結構125A和125C的邊緣下方分別移除閘極結構108-1和108-3的材料量。然而,這種材料的移除或底切未示於附圖中。類似的,在一實施例中,執行凹陷蝕刻製程以凹陷源/汲接觸結構120可以包括一定向(各向異性)蝕刻製程,隨後是一相對短暫的各向同性蝕刻製程,以限制從犧牲接觸結構125B的邊緣下方移除源/汲接觸結構120的材料量。與先前一樣,這種材料的移除或底切未示於附圖中。
第8圖描繪了執行數個製程操作之後的IC產品100。首先,沉積一層或多層絕緣材料127,例如,二氧化矽,一低k氧化物(k值小於3.9)等,以便過量填充犧牲接觸結構125之間的空間,以及最終閘極結構108的凹陷部分與源/汲接觸結構120的凹陷部分上方的空間。在一示例性製程流程中,絕緣材料127可通過執行一ALD製程而形成,以確保犧牲接觸結構125下方的基本上所有的空間被填充,而後執行一CVD製程以沉積絕緣材料127的塊體。而後,執行一CMP或回蝕刻製程,以移除位於犧牲接觸結構125的上表面之上的過量的絕緣材料127。
第9圖描繪了執行一個或多個蝕刻製程以移除犧牲接觸結構125,從而形成裝置級接觸開口140,141和142於絕緣材料127中之後的IC產品100。如圖所示,裝置級接觸開口140暴露閘極結構108-1的非凹陷的上表面108S;裝置級接觸開口141暴露閘極結構108-3的非凹 陷的上表面108S;裝置級接觸開口142暴露源/汲接觸結構120的非凹陷的上表面120S。需注意的是,在本實施例中,裝置級接觸開口140,141,142由一層或多層絕緣材料127橫向限定或定義。
第10圖描繪了在執行數個製程操作以分別形成CB閘極接觸結構130,CB閘極接觸結構131和CA接觸結構132於裝置級接觸開口140,141和142中之後的IC產品100。第10圖還包含CB閘極接觸結構130,131和CA接觸結構132的一簡化的平面視圖(未按比例)。於本實施例中,至少一層絕緣材料127位於各CB閘極接觸結構130,131和CA接觸結構132周圍。在一特定實施例中,至少一層絕緣材料127與CB閘極接觸結構130,131和CA接觸結構132實際接觸。CB閘極接觸結構130,CB閘極接觸結構131和CA接觸結構132可由各種不同的材料組成,並可通過各種製造技術而形成。於一示例性示例中,形成一個或多個共形阻障層和/或晶種層(未單獨示出)以將裝置級接觸開口140,141和142與阻障材料對齊。接著,一導電材料層(例如銅,一含金屬材料,一金屬化合物等)接著形成在IC產品100上以過量填充裝置級接觸開口140,141和142。此時,執行一CMP製程以從絕緣材料127的層的上表面之上移除導電材料的多餘部分。這些製程操作導致形成位於有源區域之上並導電接觸閘極1的閘極結構108-1的一CB閘極接觸結構130;位於絕緣材料107之上並導電地接觸閘極3的閘極結構108-3的一CB閘極接 觸結構131;以及導電接觸橫向位於閘極2和3之間的源/汲接觸結構120的一CA接觸結構132。CB閘極接觸結構130完全位於第1圖所示的上電晶體的有源區域的上方。
第11圖至第25圖描繪了形成接觸結構(例如,源/汲和閘極接觸結構)於IC產品上的其他新穎方法和其他新穎IC產品。第11圖為可使用本文所描述的方法而形成的一IC產品100的一示例性實施例的簡化平面圖。第12圖至第25圖所示的各種橫截面視圖是從第11圖擷取而來。在本實施例中,上述CB閘極接觸結構130,CB閘極接觸結構131和CA接觸結構132將形成在IC產品100上,以及一細長的CA接觸結構133上。在現代IC產品中,儘管CB閘極接觸結構130形成在源/汲接觸結構120的上表面的級別之上的一級別上,位於CB閘極接觸結構130和源/汲接觸結構120之間的間隔128(最近點到最近點)可以是非常小的,或者甚至是負的(即CB閘極接觸結構130的最近邊緣可垂直位於源/汲接觸結構120之上)。在CB閘極接觸結構131和細長的CA接觸結構133在IC產品100內相同級別內彼此橫向鄰接形成的情況下,間隔129也可能非常小。形成具有相鄰導電結構之間的這種非常小的間距的裝置級接觸件可能是有問題的,因為它可能導致電短路的形成,這可能會導致整個裝置失效。
第12圖描繪了對應於第4圖所示的製造時刻的IC產品100,即,在形成上述蝕刻停止層111,遮罩材料層113,ARC層115和圖案化蝕刻遮罩117之後。於 此實施例中,圖案化蝕刻遮罩117包括上述開口117A,117B和位於將形成細長CA接觸結構133的位置處之上的一開口117D。
第13圖描繪了執行數個製程操作之後的IC產品100。首先,執行通過圖案化蝕刻遮罩117的一個或多個蝕刻製程,以移除部分ARC層115和遮罩層113。此時,在一些製程流程中,圖案化蝕刻遮罩117可被移除。然後,執行另一蝕刻製程以移除蝕刻停止層111的暴露部分。這些製程操作導致上述開口119和121的形成以及另一開口143的形成。如前所述,開口119暴露閘極結構108-1的軸向長度的一部分的上表面108S,開口121暴露位於閘極2和3之間的源/汲接觸結構120的軸向長度的一部分的上表面120S。開口143暴露位於閘極2和3之間的絕緣材料109的一部分的上表面109S。
第14圖描繪了在各開口119,121和143中形成一內部非犧牲側壁間隔件145之後的IC產品100。側壁間隔件145可通過執行一共形沉積製程而形成,以於基板102之上以及開口119,121和143中形成間隔材料的共形層,然後進行以各向異性蝕刻製程。側壁間隔件145可以由各種不同材料中的任何一種形成,例如二氧化矽、氮化矽、SiNC、SiN、SiCO、SiNOC等,且其可形成為任何所需的厚度(在其基部)。
第15圖描繪了形成上述的犧牲接觸結構125A和125B於開口119和121中,以及形成一新的犧牲 接觸結構125D在與其各自的側壁間隔件145相鄰的開口143中之後的IC產品100。犧牲接觸結構125D與細長CA接觸結構133的形成相關。
第16圖描繪了執行數個製程操作之後的IC產品100。首先,執行一個或多個上述的蝕刻製程,以移除遮罩材料層113和蝕刻停止層111的剩餘部分。然後,執行一個或多個上述的各向異性/各向同性凹陷蝕刻製程,以凹陷最終閘極結構108的暴露部分,和未被犧牲接觸結構125覆蓋的源/汲接觸結構120。如前所述,在這些製程操作結束時,最終閘極結構108的暴露部分具有一凹陷的上表面108R,而源/汲接觸結構120的暴露部分具有一凹陷的上表面120R。需注意,位於犧牲接觸結構125A下方的閘極結構108-1的部分未被凹陷。同樣的,位於犧牲接觸結構125B下方的源/汲接觸結構120的部分也未被凹陷。
第17圖描繪了在上述的至少一層絕緣材料127形成於位於側壁間隔件145和犧牲接觸結構125周圍的產品上,以及執行一CMP或回蝕刻製程以移除位於側壁間隔件145和犧牲接觸結構125的上表面之上的至少一層絕緣材料127的過剩量之後的IC產品100。
第18圖描繪了在執行一個或多個蝕刻製程以相對於側壁間隔件145和絕緣材料127的層而選擇性移除犧牲接觸結構125之後的IC產品100。此導致裝置級接觸開口140,142和149的形成。如圖所示,裝置級接觸開 口140暴露閘極結構108-1的非凹陷的上表面108S;裝置級接觸開口142暴露位於閘極2和3之間的源/汲接觸結構120的非凹陷的上表面120S;裝置級接觸開口149暴露位於閘極2和3之間的絕緣材料109的非凹陷的上表面109S。需注意的是,在本實施例中,裝置級接觸開口140,142和149由側壁間隔件145橫向限定或定義。
第19圖描繪了執行上述的製程操作以分別形成CB閘極接觸結構130,CA接觸結構132和細長的CA接觸結構133於裝置級接觸開口140,142和149中之後的IC產品100。第19圖還包含了CB閘極接觸結構130、CA接觸結構132和細長的CA接觸結構133的一簡化的平面視圖(未按比例)。在本實施例中,與前述的實施例一樣,至少一層絕緣材料127位於各CB閘極接觸結構130、CA接觸結構132和細長的CA接觸結構133周圍。在一特定的實施例中,至少一層絕緣材料127實際接觸位於各CB閘極接觸結構130、CA接觸結構132和細長的CA接觸結構133周圍的側壁間隔件145,而CB閘極接觸結構130、CA接觸結構132和細長的CA接觸結構133實際接觸其各自的側壁間隔件145。如上所述,CB閘極接觸結構130和CA接觸結構132以及細長的CA接觸結構133可由各種不同的材料組成,並可通過各種製造技術而形成。如圖所示,CB閘極接觸結構130位於有源區域之上,並導電地接觸閘極1的閘極結構108-1;CA接觸結構132導電地接觸橫向位於閘極2和3之間的源/汲接觸結構120;細長的CA 接觸結構133導電地接觸位於第11圖所示的較低電晶體的閘極2和3之間的源/汲接觸結構120。如前所述,CB閘極接觸結構130完全位於電晶體的有源區域之上。還需注意,細長的CA接觸結構133位於閘極2和3之間的絕緣材料109的上表面109S上。在本文描述的示例性製程流程中的此刻,一閘極接觸結構還未形成至閘極3的閘極結構108-3。需注意的是,在本實施例中,裝置級接觸開口140,142和149由側壁間隔件145橫向限定或定義。
第20圖描繪了執行數個製程操作之後的IC產品100。首先,執行一個或多個凹陷蝕刻製程以凹陷CB閘極接觸結構130,CA接觸結構132以及細長的CA接觸結構133,使得在凹陷蝕刻製程結束時,其各具有一凹陷的上表面164,以及形成在各CB閘極接觸結構130、CA接觸結構132,和細長的CA接觸結構133之上的接觸凹陷。凹陷量可根據特定應用而有所不同(例如15-30奈米)。接著,一接觸帽151形成在各CB閘極接觸結構130,CA接觸結構132和細長CA接觸結構133之上的接觸凹陷中。在一示例性實施例中,各接觸帽151實際接觸其各自的側壁間隔件145。接觸帽151可由各種不同的材料製成,例如二氧化矽、氮化矽、SiNC、SiN、SiCO、SiNOC等,並且可以使用各種技術形成。在一示例性的製程流程中,沉積接觸帽151的材料以過量填充CB閘極接觸結構130,CA接觸結構132和細長CA接觸結構133的凹陷的上表面164之上的空間或凹陷。而後,執行一CMP或回蝕刻製 程以移除至少一層絕緣材料127的上表面之上的接觸帽151的過剩材料量。需注意的是,在形成接觸帽151之後,CB閘極接觸結構130,CA接觸結構132和細長CA接觸結構133中的每一個都通過下列的組合而被有效地封裝:接觸帽151,側壁間隔件145,以及CB閘極接觸機構130、CA接觸結構132和細長CA接觸結構133下方的材料(例如部分側壁間隔件112),以及在細長CA接觸結構133的情況下,通過下方的絕緣材料109。
第21圖描繪了形成至少一層絕緣材料153於絕緣材料127之上之後的IC產品100。至少一層絕緣材料153可形成為任何期望的厚度,且其可以由各種不同的材料組成,例如,二氧化矽、一低k氧化物(k值小於3.9)等。在一示例性實施例中,至少一層絕緣材料153可以由與至少一層絕緣材料127相同的材料製成,但在其他應用中也可能不同。
第22圖描繪了執行數個製程操作之後的IC產品100。首先執行通過一圖案化蝕刻遮罩(例如,一圖案化光阻層)(未示出)的一個或多個蝕刻製程,以於至少一層絕緣材料153中形成開口153A,153B。開口153A,153B暴露CB閘極接觸結構130和CA接觸結構132之上的接觸帽151的至少一部分(見圖X-X)。然後,執行一蝕刻製程以相對於周圍材料移除位於各CB閘極接觸結構130和CA接觸結構132之上的接觸帽151的至少一部分(可能是全部)。這些製程操作暴露了CB閘極接觸結構130和CA接 觸結構132的凹陷的上表面164的至少一部分。此時,圖案化蝕刻遮罩被移除。應注意的是,與開口153A,153B相似的另一開口(未示出)形成在絕緣材料153中與暴露位於細長CA接觸結構133之上的接觸帽151的一部分的開口153A,153B同時形成的位置處(未在第22圖所示的橫截面圖中示出)。此後,位於細長CA接觸結構133之上的接觸帽151的至少一部分也被移除,同時,接觸帽151(或接觸帽151的至少一部分)也在CB閘極接觸結構130及CA接觸結構132之上被移除。
第23圖描繪了形成一圖案化CB(閘極接觸)蝕刻遮罩155(例如,光阻,OPL)於至少一層絕緣材料153之上之後的IC產品100。圖案化CB蝕刻遮罩155填充開口153A,153B,並覆蓋CB閘極接觸結構130和CA接觸結構132的暴露部分。圖案化CB蝕刻遮罩155還覆蓋細長CA接觸結構133的暴露部分(未在第23圖的橫截面視圖中示出)。圖案化CB蝕刻遮罩155包括一開口155A,其位於一閘極接觸結構將形成為接觸閘極3的閘極結構108-3的一閘極接觸結構的位置之上。
第24圖描繪了執行通過圖案化CB蝕刻遮罩155的一個或多個蝕刻製程以移除部分絕緣材料153和127的層,從而形成CB閘極接觸開口157,暴露閘極結構108-3的凹陷的上表面108R的一部分之後的IC產品100。需注意的是,在形成CB閘極接觸開口157期間,封裝的細長CA接觸結構133在此蝕刻製程期間不受攻擊。雖然, 在蝕刻CB閘極接觸開口157時,可能會消耗一些接觸帽151和側壁間隔件145,但是足夠多的絕緣材料會保持在適當的位置,使得細長CA接觸結構133不會導電接觸將形成在CB閘極接觸開口157中的導電結構。
第25圖描繪了執行數個製程操作之後的產品。首先,移除圖案化CB蝕刻遮罩155。然後,分別形成導電結構160A,160B和160C(使用編號160統稱)於開口153A,153B以及CB閘極接觸開口157中。在一示例性實施例中,導電結構160A,160B和160C可以是作為IC產品100的一金屬化層(例如M1/V0)的一部分而形成的導電通孔。需注意的是,導電結構160A,160B的垂直高度比導電結構160C相對較短。在一示例性實施例中,較長的導電結構160C(例如,通孔)可能比導電結構160A,160B高約20-60奈米。在效果上,較高的導電結構160C作為導電接觸閘極結構108-3的CB閘極接觸結構131。導電結構160A,160B分別導電接觸CB閘極接觸結構130和CA接觸結構132。與較短的導電結構160A,160B在尺寸上類似的另一相對較短的導電結構(未示出)形成在與其他導電結構160同時形成的位置處(未在第25圖的橫截面視圖中示出),以建立與細長CA接觸結構133之間的電接觸。導電結構160可以由任何理想的材料製成,例如,銅、鎢等,並且可以使用傳統製造技術形成。
以上公開的特定實施例僅是示例性的,本發明可以以對受益於本文教導的本領域技術人員顯而易見 的不同但等同的方式修改和實踐。例如,上述的處理步驟可以按不同的順序執行。此外,除了所附申請專利範圍中所描述的,本文所展示的構造或設計的細節沒有限制。顯然,上面所公開的特定實施例可以被改變或修改,並且所有這些變化都被考慮在本發明的範圍和精神內。需注意的是,用於描述在本說明書和所附申請專利範圍中的各種製程或結構而使用的術語,例如“第一”、“第二”、“第三”或“第四”,僅作為對這些步驟/結構的簡略參考,並不一定意味著這樣的步驟/結構需按照該先後順序予以執行/形成。當然,取決於確切的申請專利範圍語言,這種製程的先後順序可能需要也可能不需要。因此,本申請所尋求的保護範圍是在所附申請專利範圍中提出的。
1、2、3:閘極
100:IC產品
102:基板
103:鰭片
107:絕緣材料
107R:上表面
108-1、108-2、108-3:閘極結構
108R:凹陷的上表面
108S:上表面或非凹陷的上表面
109:絕緣材料
112:側壁間隔件
116:外延材料
120:源/汲接觸結構
127:絕緣材料層或絕緣材料
130、131:CB閘極接觸結構
132:CA接觸結構
133:細長的CA接觸結構
145:側壁間隔件
151:接觸帽
153:絕緣材料
153A、153B:開口
157:CB閘極接觸開口
160A、160B、160C:導電結構

Claims (20)

  1. 一種電晶體裝置,包括:
    閘極結構,位於至少有源區域之上,該閘極結構朝對應於該電晶體裝置之閘極寬度方向的方向具有軸向長度,該閘極結構之該軸向長度的第一部分具有第一上表面以及該閘極結構之該軸向長度的第二部分具有第二上表面,其中,該第一上表面的級別位於該第二上表面的級別之上;以及
    閘極接觸結構,接觸該閘極結構之該第一上表面。
  2. 如申請專利範圍第1項所述之電晶體裝置,進一步包括:
    源/汲接觸結構,位於鄰接該閘極結構並且導電性耦合至該電晶體裝置之源/汲區域,該源/汲接觸結構朝對應於該電晶體裝置之該閘極寬度方向的方向具有軸向長度,該源/汲接觸結構之該軸向長度的第一部分具有第三上表面以及該源/汲接觸結構之該軸向長度的第二部分具有第四上表面,其中,該第三上表面的級別位於該第四上表面的級別之上;以及
    接觸結構,接觸該源/汲接觸結構之該第三上表面。
  3. 如申請專利範圍第2項所述之電晶體裝置,其中,該第一上表面和該第三上表面係位於大約相同級別。
  4. 如申請專利範圍第3項所述之電晶體裝置,其中,該第二上表面和該第四上表面係位於大約相同級別。
  5. 如申請專利範圍第1項所述之電晶體裝置,其中,當從 上方觀察時,該閘極接觸結構具有基本上矩形的結構。
  6. 如申請專利範圍第1項所述之電晶體裝置,其中,該閘極接觸結構位於該有源區域之上。
  7. 如申請專利範圍第1項所述之電晶體裝置,進一步包括:
    至少一絕緣材料層,位於該閘極結構之上;
    開口,在該至少一絕緣材料層中;以及
    內部側壁間隔件,位於該開口內,其中,該第一表面之一部分在該內部側壁間隔件內暴露,以及其中,該閘極接觸結構位於該內部側壁間隔件內,該內部側壁間隔件橫向地在該閘極接觸結構周圍。
  8. 如申請專利範圍第7項所述之電晶體裝置,其中,該內部側壁間隔件實際接觸並接合該閘極接觸結構。
  9. 一種電晶體裝置,包括:
    閘極結構,位於至少有源區域之上,該閘極結構朝對應於該電晶體裝置之閘極寬度方向的方向具有軸向長度,該閘極結構之該軸向長度的第一部分具有第一上表面以及該閘極結構之該軸向長度的第二部分具有第二上表面,其中,該第一上表面的級別位於該第二上表面的級別之上;
    閘極接觸結構,完全地位在該有源區域之上,該閘極接觸結構接觸該閘極結構之該第一上表面;
    源/汲接觸結構,位於鄰接該閘極結構並且導電性耦合至該電晶體裝置之源/汲區域,該源/汲接觸結構朝 對應於該電晶體裝置之該閘極寬度方向的方向具有軸向長度,該源/汲接觸結構之該軸向長度的第一部分具有第三上表面以及該源/汲接觸結構之該軸向長度的第二部分具有第四上表面,其中,該第三上表面的級別位於該第四上表面的級別之上;以及
    接觸結構,接觸該源/汲接觸結構之該第三上表面。
  10. 如申請專利範圍第9項所述之電晶體裝置,其中,該第一上表面和該第三上表面係位於大約相同級別。
  11. 如申請專利範圍第10項所述之電晶體裝置,其中,該第二上表面和該第四上表面係位於大約相同級別。
  12. 如申請專利範圍第10項所述之電晶體裝置,進一步包括:
    至少一絕緣材料層,位於該閘極結構之上;
    開口,在該至少一絕緣材料層中;以及
    內部側壁間隔件,位於該開口內,其中,該第一表面之一部分在該內部側壁間隔件內暴露,以及其中,該閘極接觸結構位於該內部側壁間隔件內,該內部側壁間隔件橫向地在該閘極接觸結構周圍。
  13. 如申請專利範圍第12項所述之電晶體裝置,其中,該內部側壁間隔件實際接觸並接合該閘極接觸結構。
  14. 一種裝置,包括:
    閘極結構,用於電晶體裝置;
    至少一絕緣材料層,位於該閘極結構之上,該至少一絕緣材料層具有形成於其中之開口以及位於第一級 別的上表面;
    內部側壁間隔件,位於該開口內;
    導電構件,位於該內部側壁間隔件內,該導電構件具有軸向長度和凹陷的上表面,該凹陷的上表面位於低於該第一級別的第二級別;
    絕緣接觸帽,位於該導電構件之該凹陷的上表面之上,該絕緣接觸帽係位於該導電構件之該軸向長度的第一部分之上,同時該導電構件之該軸向長度的第二部分不被該絕緣接觸帽覆蓋;以及
    閘極接觸結構,導電性接觸該閘極結構,其中,該閘極接觸結構之至少一部分係垂直地位於該內部側壁間隔件或該絕緣接觸帽的至少其中一者之上。
  15. 如申請專利範圍第14項所述之裝置,其中,該導電構件之該軸向長度朝對應於該電晶體裝置之閘極寬度方向的方向延伸。
  16. 如申請專利範圍第14項所述之裝置,其中,該閘極接觸結構之至少一部分實際接觸並接合該內部側壁間隔件或該絕緣接觸帽的至少其中一者。
  17. 如申請專利範圍第14項所述之裝置,其中,該導電構件具有底表面,該底表面的級別位於該閘極結構之上表面的級別之上。
  18. 如申請專利範圍第17項所述之裝置,其中,該內部側壁間隔件之至少一部分係垂直地位於鄰接該閘極結構的側壁間隔件之上。
  19. 如申請專利範圍第14項所述之裝置,其中,該絕緣接觸帽的上表面基本上與該至少一絕緣材料層的該上表面共平面。
  20. 如申請專利範圍第14項所述之裝置,其中,該導電構件係導電性耦合至該電晶體裝置之源/汲區域。
TW109129551A 2017-12-11 2018-11-09 電晶體裝置 TWI799734B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/837,671 2017-12-11
US15/837,671 US10497612B2 (en) 2017-12-11 2017-12-11 Methods of forming contact structures on integrated circuit products

Publications (2)

Publication Number Publication Date
TW202117877A true TW202117877A (zh) 2021-05-01
TWI799734B TWI799734B (zh) 2023-04-21

Family

ID=66629531

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107139914A TWI706483B (zh) 2017-12-11 2018-11-09 在積體電路產品上形成接觸結構之方法
TW109129551A TWI799734B (zh) 2017-12-11 2018-11-09 電晶體裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107139914A TWI706483B (zh) 2017-12-11 2018-11-09 在積體電路產品上形成接觸結構之方法

Country Status (4)

Country Link
US (2) US10497612B2 (zh)
CN (1) CN109904113B (zh)
DE (1) DE102018218869B4 (zh)
TW (2) TWI706483B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11855218B2 (en) 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11973120B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11972983B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11139203B2 (en) * 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10796947B2 (en) * 2018-12-12 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing a semiconductor device
US10756093B1 (en) * 2019-03-06 2020-08-25 Micron Technology, Inc. Methods of forming integrated assemblies
US10923590B2 (en) * 2019-03-22 2021-02-16 International Business Machines Corporation Wrap-around contact for vertical field effect transistors
KR20210096400A (ko) * 2020-01-28 2021-08-05 삼성전자주식회사 반도체 장치
KR20210120718A (ko) 2020-03-27 2021-10-07 삼성전자주식회사 집적회로 소자
EP4202986B1 (en) * 2021-12-21 2024-05-01 IMEC vzw Via formation in an integrated circuit

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670271B1 (en) 2002-01-17 2003-12-30 Advanced Micro Devices, Inc. Growing a dual damascene structure using a copper seed layer and a damascene resist structure
JP4982663B2 (ja) * 2004-06-25 2012-07-25 京セラ株式会社 表示パネル用ドライバ手段および画像表示装置
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US9059248B2 (en) * 2012-02-09 2015-06-16 International Business Machines Corporation Junction butting on SOI by raised epitaxial structure and method
US9111783B2 (en) * 2012-04-13 2015-08-18 Renesas Electronics Corporation Semiconductor devices with self-aligned source drain contacts and methods for making the same
US9059095B2 (en) * 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US9147576B2 (en) 2014-01-23 2015-09-29 International Business Machines Corporation Gate contact with vertical isolation from source-drain
US9412656B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9455178B2 (en) * 2014-03-14 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
JP6495603B2 (ja) * 2014-09-03 2019-04-03 テルモ株式会社 シート状細胞培養物とフィブリンゲルとの積層体の製造方法
US10546854B2 (en) 2015-06-05 2020-01-28 Globalfoundries Inc. Methods of forming V0 structures for semiconductor devices by forming a protection layer with a non-uniform thickness
US9905671B2 (en) 2015-08-19 2018-02-27 International Business Machines Corporation Forming a gate contact in the active area
US9691897B2 (en) 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9824921B1 (en) 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US9825031B1 (en) * 2016-08-05 2017-11-21 Globalfoundries Inc. Methods of forming a high-k contact liner to improve effective via separation distance and the resulting devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11973120B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11972983B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11855218B2 (en) 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions

Also Published As

Publication number Publication date
US20190181042A1 (en) 2019-06-13
CN109904113A (zh) 2019-06-18
TW201929109A (zh) 2019-07-16
TWI706483B (zh) 2020-10-01
DE102018218869A1 (de) 2019-06-13
DE102018218869B4 (de) 2023-03-30
TWI799734B (zh) 2023-04-21
US20200020575A1 (en) 2020-01-16
CN109904113B (zh) 2023-08-22
US10872809B2 (en) 2020-12-22
US10497612B2 (en) 2019-12-03

Similar Documents

Publication Publication Date Title
TWI706483B (zh) 在積體電路產品上形成接觸結構之方法
TWI677922B (zh) 形成用於電晶體裝置之閘極接觸結構及交叉耦合接觸結構的方法
US10211100B2 (en) Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor
US9455254B2 (en) Methods of forming a combined gate and source/drain contact structure and the resulting device
US10177241B2 (en) Methods of forming a gate contact for a transistor above the active region and an air gap adjacent the gate of the transistor
US20170309714A1 (en) Method of forming a semiconductor device with a gate contact positioned above the active region
TWI706443B (zh) 在形成半導體裝置後形成基板穿孔(tsv)及金屬化層的方法
US10008577B2 (en) Methods of forming an air-gap spacer on a semiconductor device and the resulting device
US9502286B2 (en) Methods of forming self-aligned contact structures on semiconductor devices and the resulting devices
TWI712087B (zh) 利用犧牲閘極覆蓋間隔壁形成自對準閘極與源/汲接觸以及所得裝置
US9966456B1 (en) Methods of forming gate electrodes on a vertical transistor device
US10276674B2 (en) Method of forming a gate contact structure and source/drain contact structure for a semiconductor device
TW201511283A (zh) 於鰭式場效電晶體半導體設備上形成接觸結構的方法及其所產生的設備
US10297452B2 (en) Methods of forming a gate contact structure for a transistor
US9773885B2 (en) Self aligned gate shape preventing void formation
US10546854B2 (en) Methods of forming V0 structures for semiconductor devices by forming a protection layer with a non-uniform thickness
US11011604B2 (en) Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
TWI692815B (zh) 形成閘極接觸點的導電間隔物的方法以及所得裝置
US9947589B1 (en) Methods of forming a gate contact for a transistor above an active region and the resulting device
US10763176B2 (en) Transistor with a gate structure comprising a tapered upper surface
US20230411473A1 (en) Self-aligned gate jumper connecting adjacent gates
US20230197778A1 (en) Extended lower source/drain for stacked field-effect transistor