TW202108809A - 用於基板處理系統的濾波箱 - Google Patents

用於基板處理系統的濾波箱 Download PDF

Info

Publication number
TW202108809A
TW202108809A TW109114874A TW109114874A TW202108809A TW 202108809 A TW202108809 A TW 202108809A TW 109114874 A TW109114874 A TW 109114874A TW 109114874 A TW109114874 A TW 109114874A TW 202108809 A TW202108809 A TW 202108809A
Authority
TW
Taiwan
Prior art keywords
substrate processing
filter module
panel
processing chamber
filter
Prior art date
Application number
TW109114874A
Other languages
English (en)
Inventor
麥高 班傑明 瓦斯奎斯
大衛 弗倫奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202108809A publication Critical patent/TW202108809A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0153Electrical filters; Controlling thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一種用於基板處理腔室的濾波模組包含:複數外面板,其界定一內部;複數內面板,其在該濾波模組的該內部中界定複數隔室;以及一可調電容器,其係設置於該複數隔室之第一隔室內的該複數內面板之第一面板上。該可調電容器係經由該第一面板而耦合至位在該第一隔室外的馬達,且該可調電容器係配置以接收一射頻輸入信號並基於該馬達的位置而提供一射頻電壓至該基板處理腔室。

Description

用於基板處理系統的濾波箱
[相關申請案的交互參照]  本申請案主張2019年5月6日提交的美國臨時專利申請案第62/843720號的優先權。在此將上述申請案之全部內容引入以供參照。
本發明涉及配置以從在基板處理系統中傳遞之信號中過濾RF干擾的射頻(RF)箱。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統係用以執行處理如基板(例如半導體晶圓)上的薄膜之沉積和蝕刻。例如,可利用化學氣相沉積(CVD)、電漿輔助CVD (PECVD)、原子層沉積(ALD)、電漿輔助ALD (PEALD)、及/或其他沉積處理進行沉積,以沉積導電膜、介電膜、或其他類型的薄膜。在沉積期間,將基板設置於基板支座上,並且可在一或更多處理步驟期間將一或更多前驅物氣體供應至處理腔室。在PECVD或PEALD處理中,使用電漿以在沉積期間活化處理腔室內的化學反應。
一種用於基板處理腔室的濾波模組包含:複數外面板,其界定一內部;複數內面板,其在該濾波模組的該內部中界定複數隔室;以及一可調電容器,其係設置於該複數隔室之第一隔室內的該複數內面板之第一面板上。該可調電容器係經由該第一面板而耦合至位在該第一隔室外的馬達,且該可調電容器係配置以接收一射頻輸入信號並基於該馬達的位置而提供一射頻電壓至該基板處理腔室。
在其它特徵中,該可調電容器係配置以將該射頻電壓提供至該第一隔室內的濾波電路,且該濾波電路係配置以將經濾波之射頻電壓提供至該基板處理腔室。該濾波模組更包含一絕緣間隔件,其係設置於該可調電容器與該第一面板之間。該濾波模組更包含一編碼器,其係配置以將該可調電容器的電容對映至該馬達的絕對位置。
在其它特徵中,該複數內面板之第二面板將該第一隔室與該複數隔室之第二隔室相隔開。該複數內面板之第三面板將該第二隔室與該複數隔室之第三隔室相隔開。該第二隔室及該第三隔室之其中至少一者包含濾波電路,其係配置以對一加熱器功率信號進行濾波並經濾波之加熱器功率信號提供至該基板處理腔室。
在其它特徵中,該複數外面板包含前面板、背面板、頂面板、底面板、及第一與第二側面板。該濾波模組為矩形且包含一凹口角隅,且其中該馬達係設置於該凹口角隅內。該第一側面板包含配置以接收電纜連接件的一輸入連接件,且該輸入連接件係配置以經由該電纜連接件而接收該射頻輸入信號。一葉板彈簧(leaf spring)係設置於該第一側面板上且位在該輸入連接件與該電纜連接件之間,且該電纜連接件經由該葉板彈簧中的孔洞而連接至該輸入連接件。該葉板彈簧之第一端係裝設於該第一側面板上,而該葉板彈簧之第二端延伸超過該第一側面板的周邊。
在其它特徵中,該葉板彈簧之該第二端延伸至設置於該濾波模組之該背面板上的一接觸開關上方。將該電纜連接件連接至該輸入連接件之操作使得該葉板彈簧之該第二端與該接觸開關接合。該接觸開關係配置以產生一信號,該信號指示下列其中至少一者:該濾波模組是否被安裝於該基板處理腔室上;以及該電纜連接件是否連接至該輸入連接件。該第一側面板包含一可移除通路面板,且其中該葉板彈簧之該第一端係經由該可移除通路面板而裝設於該第一側面板。
在其它特徵中,一種基板處理工具包含複數基板處理腔室及複數濾波模組。該複數濾波模組之各者係安裝在該複數處理腔室之相應者上。該等濾波模組之各者包含一可移除側面板,且其中該等濾波模組之各者的該可移除側面板面向該基板處理工具的外周。
一種用於基板處理腔室的濾波模組包含:複數外面板,其界定一內部;複數內面板,其在該濾波模組的該內部中界定複數隔室;以及一可調電容器,其係設置於該複數隔室之第一隔室內的該複數內面板之第一面板上。該可調電容器係經由該第一面板而耦合至位在該第一隔室外的馬達,且該可調電容器係配置以接收一射頻輸入信號並基於該馬達的位置而提供一射頻電壓至該基板處理腔室。該可調電容器係配置以將該射頻電壓提供至該第一隔室內的濾波電路,且該濾波電路係配置以將經濾波之射頻電壓提供至該基板處理腔室。該複數隔室之第二與第三隔室各自包含個別的電路。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
基板處理系統可包含一或更多射頻(RF)濾波模組(例如濾波箱),其包封RF濾波及/或調諧電路。該RF濾波及/或調諧電路係配置以從傳遞往返基板處理系統內之元件的電信號中過濾RF雜訊。濾波箱可被設置於處理腔室的壁部上或附近、及/或與處理腔室的壁部成一體。例如,可將濾波箱設置於處理腔室的下表面上。濾波箱之內部濾波電路的維修、安裝、及/或調整可能係困難的。
根據本發明之原理的濾波箱具有改良的外部及內部特徵之幾何與配置,以利於將濾波箱安裝於處理腔室和從處理腔室移除濾波箱。此外,濾波箱係配置以便於維修和更換內部元件(例如濾波電路,如濾波印刷電路板(PCBs)、馬達、電容器等)、及調整濾波參數(例如利用可調電容器)。
現參照圖1,顯示根據本發明之原理的基板處理系統100之範例。雖然前述範例指涉PECVD系統,但可使用其他基於電漿之基板處理腔室。基板處理系統100包含處理腔室104,其包圍基板處理系統100之其他元件。基板處理系統100包含上電極108及包含下電極116的基板支座(如底座112)。雖然顯示為單一下電極116,但下電極116可對應於設置在底座112之不同區帶中的二或更多電極。基板120被設置於底座112上且位於上電極108與下電極116之間。
僅舉例而言,上電極108可包含一噴淋頭124,其導入並分配製程氣體。或者,上電極108可包含一導電板,且可以其他方式將製程氣體導入。可將下電極116設置於非導電性底座中。或者,底座112可包含一靜電卡盤,其包含用作下電極116的導電板。
當使用電漿時,射頻(RF)產生系統126產生並輸出RF電壓至上電極108及/或下電極116。在某些範例中,上電極108及下電極116之其中一者可為DC接地、AC接地、或處於浮動電位。僅舉例而言,RF產生系統126可包含產生RF電壓的一或更多RF電壓產生器128(例如電容耦合式電漿RF功率產生器、偏壓RF功率產生器、及/或其他RF功率產生器)如第一RF產生器128-1及第二RF產生器128-2,該RF電壓係藉由一或更多匹配及配送網路130饋送至下電極116(例如經由第一匹配網路130-1)及上電極108(例如經由第二匹配網路130-2)。
第一RF產生器128-1提供RF電壓及/或偏電壓至下電極116。下電極116(其在某些範例中可包含複數電極)可替代地或額外地自其他功率源(例如功率源132)接收功率。第二RF產生器128-2可提供RF電壓,或者可僅係將上電極108連接至接地參考點。
例示性氣體輸送系統140包含一或更多氣體源144-1、144-2、…、以及144-N(統稱氣體源144),其中N為大於零之整數。氣體源144供應一或更多氣體(例如前驅物、惰性氣體等)及其混合物。亦可使用經汽化之前驅物。氣體源144之其中至少一者可包含本發明之預處理製程中所使用的氣體(例如NH3 、N2 等)。藉由閥148-1、148-2、…、以及148-N(統稱閥148)及質量流量控制器152-1、152-2、…、以及152-N(統稱質量流量控制器152)將氣體源144連接至歧管154。歧管154之輸出被饋送至處理腔室104。僅舉例而言,歧管154之輸出被饋送至噴淋頭124。在某些範例中,可在質量流量控制器152與歧管154之間設置選用性的臭氧產生器156。在某些範例中,基板處理系統100可包含液體前驅物輸送系統158。液體前驅物輸送系統158可如圖示地併入氣體輸送系統140中,或者可在氣體輸送系統140的外部。液體前驅物輸送系統158係配置以經由起泡器、直接液體注射、蒸氣吸引等而提供在室溫下為液體及/或固體的前驅物。
可將加熱器160連接至設置於底座112中的加熱器線圈(未圖示)以將底座112加熱。加熱器160可用以控制底座112及基板120的溫度。閥164及泵168可用以將反應物從處理腔室104中排空。控制器172可用以控制基板處理系統100的各種元件。僅舉例而言,控制器172可用以控制製程氣體、載氣、及前驅物氣體的流動、電漿之觸發和熄滅、反應物之移除、腔室參數之監視等。
RF濾波模組(例如RF濾波箱)176係設置於處理腔室104附近,以從傳遞往返處理腔室104內之元件的電信號中過濾RF雜訊。例如,RF濾波模組176被設置於處理腔室104下方且在處理腔室104之底表面178上、且鄰近底座112在底表面178下方延伸之部分(例如桿部)180。雖然為簡單起見而顯示為毗鄰底座112,但其他結構可被設置於底座112與RF濾波模組176之間。RF濾波模組176包封一或更多調諧電路、RF濾波電路等(未圖示於圖1)。可使調諧電路連接於(i) 第一匹配網路130-1與相應電極(如電極116)之間、及(ii) 功率源132與相應電極之間。
調諧電路控制供應至電極116的RF電壓,且可包含可針對所進行之基板處理而加以調諧的可變及/或固定的阻抗。可對供應至電極116的RF電壓及相應電流進行控制以改變所產生之電漿的態樣。例如,在某些電容耦合式電漿(CCP)系統中,可將RF電壓信號供應至下電極116以產生並維持電漿。其他電信號(例如,自加熱器160提供的功率信號)可通過RF濾波模組176。可包封於根據本發明之原理的RF濾波模組176內的例示性調諧與RF濾波電路係在2018年8月2日提交的美國專利申請案第16/052,877號中更加詳細說明,在此將其全部內容引入。
現參照圖2A及2B,顯示基板處理系統的例示性基板處理工具200之底部平面圖。工具200可包含一或更多處理站204。如圖所示,基板處理工具200包含四個站204,但在其他範例中可包含更少或更多的站204。站204之各者可配置以執行與其他的站204中所執行之製程相同或不同的製程。
站204之各者包含根據本發明之原理而配置和設置之相應的底座208及各別的RF濾波模組212。如圖所示,底座控制模組216係鄰近於底座208而設置。例如,底座控制模組216包含致動器、電路等,其係配置以控制底座208之抬升與下降及旋轉。RF濾波模組212之各者係設置以便於安裝與維修。例如,RF濾波模組212之各者相對於底座208之相應者及基板處理工具200之外周220而處於相同的位置。RF濾波模組212的面板(例如可移除之側面板或前面板)224朝外面向外周220以便於維修。
現參照圖3A、3B、4A、及4B,顯示例示性RF濾波模組(亦即,矩形RF濾波箱)300之圖示。RF濾波模組300包含外部面板,包括前面板(例如可移除之前面板)304、背面板308、側面板312及316、頂面板320、及底面板324。在圖4A及4B中,顯示前面板304與頂面板320被移除的RF濾波模組300。
雖然大致上為矩形,但RF濾波模組300包含凹口或凹入角隅328。RF濾波模組300包含至少一個可調電容器332,用以調整RF濾波模組300的參數。例如,圖4A及4B中之可調電容器332接收RF輸入信號(例如經由直角RF電纜連接件336),且由RF濾波模組300所輸出之RF電壓的大小依據電容器332之調整電容而變化。
在某些範例中,電容器332包含第一與第二圓柱,其具有可變的重疊部分。可利用編碼器340及馬達344以調整可調電容器332的電容(例如響應於來自控制器172的指示馬達344之指令位置的信號)。例如,馬達344改變電容器332之第一與第二圓柱的重疊部分以改變電容。例如,馬達344對應於一步進馬達,且編碼器340對應於一絕對編碼器,其將電容器332的電容對映至馬達344的指令位置。雖然電容器332係包封於RF濾波模組300內,但編碼器340及馬達344係位在RF濾波模組300的外部且在凹口角隅328內。因此,有助於接近馬達344(例如,以進行更換、維修等)。
RF濾波模組300包含複數風扇,包括設置於前面板304之上部上的風扇348及352、及設置於背面板308之下部上的風扇356。僅舉例而言,風扇348、352、及356對應於80 mm的風扇,且係各自經由相應的振動墊358而裝設於RF濾波模組300。背面板308亦可包含用於連接件(未圖示)的輸出接觸介面360與364,以將輸出信號分別提供至加熱器160及電極116(例如,對應於加熱器功率信號及RF輸出電壓的信號)。接觸開關368係設置以提供信號(例如至控制器172),該等信號指示是否RF濾波模組300被安裝和固定且連接件336係連接至RF濾波模組300,如以下更加詳細描述。例如,接觸開關368係設置於配接器托架(未顯示於圖3A、3B、4A、及4B中;如以下更加詳細描述)上,該配接器托架係附著於RF濾波模組300。
側面板312及316包含複數閂件372,其係設置以將RF濾波模組300裝設於基板處理工具200。底面板324包含用於連接件(未圖示)的輸入接觸介面376與378,用以接收DC功率(例如俾提供DC功率至RF濾波模組300的內部電路)及加熱器功率(例如俾提供功率至加熱器160)的輸入信號。
在某些範例中,側面板312對應於RF濾波模組300朝外面向基板處理工具200之外周220的一側以便於維修,如以上於圖2A及2B中所述。側面板312包含RF輸入連接件380,其係配置以接收RF電纜連接件336。RF輸入連接件380自RF電纜連接件336接收RF輸入信號,並將該RF輸入信號提供至如上述之可調電容器332。
諸如板片彈簧(如葉板彈簧(leaf spring))的接觸機構382係設置於RF輸入連接件380與RF電纜連接件336之間的介面處。例如,RF電纜連接件336經由葉板彈簧382中的開口384而連接至RF輸入連接件380。葉板彈簧382的第一端(例如對應於具有安裝托架386的一端)係連接至側面板312,而第二端(例如對應於接觸部分388)延伸超過側面板312周邊至接觸開關368上方。
將RF電纜連接件336連接至RF輸入連接件380(例如藉由使用螺帽390緊固連接)之操作使得葉板彈簧382朝向側面板312及接觸開關368偏置。例如,接觸開關368可包含鈕部392或其他接觸機構。因此,當使RF電纜連接件336連接至RF輸入連接件380時,葉板彈簧382的接觸部分388與接觸開關368的鈕部392接合(例如,接觸)。接觸開關368係配置以產生指示接觸部分388是否與接觸開關368相接觸(亦即,根據葉板彈簧382的位置)的信號。
藉此方式,由接觸開關368所產生的信號指示與RF濾波模組300相關聯的多種狀態。例如,該等信號指示RF濾波模組300是否被安裝在基板處理工具200上、以及RF電纜連接件336是否被連接至RF輸入連接件380。在某些範例中,側面板312可包含可移除之通路面板394,用以提供對RF濾波模組300之內部電路的通路。葉板彈簧382可經由通路面板394而安裝於側面板312。例如,安裝托架386可經由通路面板394而附著於側面板312。因此,由接觸開關368基於葉板彈簧382之位置所產生的信號可進一步指示通路面板394是否附著於側面板312。
如圖4A及4B中所示,RF濾波模組300可界定複數隔室(如隔室400、402、及404)、及內部面板(如金屬片面板)406、408、及410。例如,可調電容器332係安裝於面板406上。面板408將隔室400與隔室402相隔開。面板410將隔室402與隔室404相隔開。面板408與410防止隔室400、402、及404內之各別元件之間的信號洩漏。例如,面板408防止RF雜訊從隔室400洩漏至隔室402中,而面板410防止隔室402與404之間的洩漏。
隔室400可包封可調電容器332與編碼器340、及電路如印刷電路板(PCB) 412。PCB 412可對應於包含RF濾波電路的PCB,該RF濾波電路用以過濾RF信號(例如經由RF電纜連接件336所接收),其被提供至底座112(例如經由輸出接觸介面364)。如圖所示,可調電容器332係經由軸耦合件416而機械式地耦合至馬達344,其中軸耦合件416係配置以依據馬達344之旋轉而轉動,俾對可調電容器332進行調整。絕緣間隔件420係設置於軸耦合件416周圍且位在可調電容器332與面板406的表面之間。因此,間隔件420使得可調電容器332與面板406絕緣。
隔室402可包封電路如高頻濾波PCB(未圖示),其包含用於過濾高頻信號(例如從經由接觸介面378提供的信號中)並將經濾波之信號提供至輸出接觸介面360的電路。隔室404可包封電路如低頻濾波PCB 424,其包含用於過濾低頻信號(例如從經由接觸介面378提供的信號中)並將經濾波之信號提供至輸出接觸介面360的電路。
圖5A、5B、5C、及5D顯示根據本發明之RF濾波模組300的另一範例之圖示。在此範例中,風扇348及352係呈偏位配置。此外,開口384係配置成圍繞RF電纜連接件336及RF輸入連接件380的切口,而非提供開口384以使RF電纜連接件336穿過葉板彈簧382。與圖3A及3B中所述之範例形成對比,RF輸入連接件380係與RF電纜連接件336成一體。換言之,RF電纜連接件336並非可移除式地附著於RF輸入連接件380(例如利用螺帽390)。RF濾波模組300的頂面板320及/或其他面板可包含一或更多拉鍊束帶固定器(zip tie anchors)500及風扇托架504。
如圖5C及5D所示,RF濾波模組300的背面板308係配置以連接至配接器托架508。例如,配接器托架508包含輸入接觸介面512及516,其係配置以分別連接至輸出接觸介面360與364。例如,輸入接觸介面512及516包含銷件520,其係配置以插入輸出接觸介面360與364的相應插座524。因此,當被安裝於處理腔室上時,RF濾波模組300經由配接器托架508而提供信號(如加熱器功率及RF輸出電壓)至處理腔室的元件。自配接器托架508延伸的對準銷件528係配置以插入RF濾波模組300之背板308中的對準孔532。相反地,自背板308延伸的對準銷件536係配置以插入配接器托架508中的對準孔540。接觸開關368係設置於配接器托架508上,如圖5C中所示。
如圖5C中所示,葉板彈簧382的安裝托架386係連接至側面板312及調諧電路組件544。調諧電路組件544包含設置於安裝板552上的一或更多元件(例如電感器548),安裝板552係附著於側面板312。例如,電感器548係設置於印刷電路組件556上,印刷電路組件556係設置於自安裝板552延伸的複數支架560之間。側面板312包含開口564,其係設置以容納自安裝板552延伸之調諧電路組件544的元件。
圖5E顯示RF濾波模組300之前面板304的一部分之近視圖,其中探針介面模組568係連接至RF電纜連接件336。探針介面模組568包含用於連接RF探針(例如VI探針)的連接件570。圖5F為圖5A、5B、5C、及5D的RF濾波模組300之底部平面圖。
以上敘述在本質上僅為說明性的,而非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛指示可以各種形式實行。因此,雖本揭露內容包含特定例子,但由於當研究圖式、說明書、及以下申請專利範圍時,其他變化將更顯清楚,故本揭露內容之真實範疇不應如此受限。吾人應理解,在不改變本揭露內容之原理的情況下,可以不同次序(或同時)執行方法中之一或更多步驟。再者,雖實施例之各者係於以上描述為具有某些特徵,但關於本揭露內容之任何實施例所述之任一或更多該等特徵可在任何其他實施例中實行,及/或與任何其他實施例之特徵組合(即使並未詳細敘述該組合)。換句話說,所述之實施例並非互相排斥,且一或更多實施例彼此之間的置換維持於本揭露內容之範疇內。
元件 (例如,在模組、電路元件、半導體層等) 之間的空間及功能上之關係係使用各種用語所敘述,該等用語包含「連接」、「接合」、「耦合」、「鄰近」、「在…旁邊」、「在…之上」、「上面」、「下面」、以及「設置」。除非明確敘述為「直接」之情形下,否則當於上述揭露內容中描述第一與第二元件之間的關係時,該關係可係在第一與第二元件之間不存在其它中介元件之直接關係,但亦可係在第一與第二元件之間存在一或更多中介元件(空間上或功能上)的間接關係。如本文所使用的,詞組「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯OR之邏輯(A OR B OR C),且不應解釋為意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,控制器為系統的一部分,該系統可為上述例子的一部分。此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理用平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板之處理之前、期間、以及之後,控制其運作。電子設備可被稱為「控制器」,其可控制(複數)系統的各種元件或子部件。取決於處理需求及/或系統類型,可將控制器程式設計成控制本文所揭露之任何處理,包含製程氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統連接或接合之工具及其他轉移工具、及/或負載鎖)。
廣泛來說,可將控制器定義為具有接收指令、發佈指令、控制運作、啟動清洗操作、啟動終點量測等之許多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定處理(在半導體晶圓上,或是對半導體晶圓)定義操作參數。在一些實施例中,操作參數可係由製程工程師所定義之配方的一部分,俾在一或更多以下者(包含:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或基板的晶粒)的製造期間實現一或更多處理步驟。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、或以網路連接至系統、或以其組合之方式連接至系統。例如,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部或部分中。電腦可使系統能夠遠端存取,以監控製造運作的當前進度、檢查過去製造運作的歷史、由複數之製造運作而檢查趨勢或效能指標,以改變當前處理的參數、設定當前處理之後的處理步驟、或開始新的製程。在一些例子中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使用者介面,其可達成參數及/或設定的接取、或對參數及/或設定進行程式化,接著將該參數及/或該設定由遠端電腦傳達至系統。在一些例子中,控制器以資料的形式接收指令,該指令為將於一或更多操作期間執行之每個處理步驟指定參數。吾人應理解,參數可特定地針對將執行之製程的類型及將控制器設定以接合或控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式連接彼此且朝向共同目的(例如,本文所敘述的製程及控制)而運作的一或更多分離的控制器。用於此目的之分散式控制器的範例將係在腔室上、與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者結合以控制腔室上的製程。
範例系統可包含但不限於以下各者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可在半導體晶圓的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如上所述,取決於將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之一或更多的以下各者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰近之工具、相鄰之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
100:基板處理系統 104:處理腔室 108:上電極 112:底座 116:下電極 120:基板 124:噴淋頭 126:射頻(RF)產生系統 128-1:第一RF產生器 128-2:第二RF產生器 128:RF電壓產生器 130-1:第一匹配網路 130-2:第二匹配網路 130:匹配及配送網路 132:功率源 140:氣體輸送系統 144-1:氣體源 144-2:氣體源 144-N:氣體源 144:氣體源 148-1:閥 148-2:閥 148-N:閥 148:閥 152-1:質量流量控制器 152-2:質量流量控制器 152-N:質量流量控制器 152:質量流量控制器 154:歧管 156:臭氧產生器 158:液體前驅物輸送系統 160:加熱器 164:閥 168:泵 172:控制器 176:RF濾波模組 178:底表面 200:基板處理工具 204:處理站 208:底座 212:RF濾波模組 216:底座控制模組 220:外周 300:RF濾波模組 304:前面板 308:背面板 312:側面板 316:側面板 320:頂面板 324:底面板 328:凹口或凹入角隅 332:電容器 336:RF電纜連接件 340:編碼器 344:馬達 348:風扇 352:風扇 356:風扇 358:振動墊 360:輸出接觸介面 364:輸出接觸介面 368:接觸開關 372:複數閂件 376:輸入接觸介面 378:輸入接觸介面 380:RF輸入連接件 382:接觸機構/葉板彈簧 384:開口 386:安裝托架 388:接觸部分 390:螺帽 392:鈕部 394:通路面板 400:隔室 402:隔室 404:隔室 406:面板 408:面板 410:面板 416:軸耦合件 420:間隔件 504:風扇托架 508:配接器托架 512:輸入接觸介面 516:輸入接觸介面 520:銷件 524:插座 528:對準銷件 532:對準孔 536:對準銷件 540:對準孔 544:調諧電路組件 548:電感器 552:安裝板 556:印刷電路組件 560:間隙 564:開口 568:探針介面模組 570:連接件
本揭露內容從實施方式及隨附圖式可更完全了解,其中:
依據本發明,圖1為例示性基板處理系統的功能方塊圖;
依據本發明,圖2A及2B為包含射頻(RF)濾波模組之基板處理系統的例示性基板處理工具之底部平面圖;
依據本發明,圖3A及3B為例示性RF濾波模組之圖示;
依據本發明,圖4A及4B為圖3A及3B之RF濾波模組的例示性內部圖示;
依據本發明,圖5A、5B、5C、及5D為RF濾波模組的另一範例之圖示;
依據本發明,圖5E為RF濾波模組之一部分的圖示;及
依據本發明,圖5F為為圖5A、5B、5C、及5D的RF濾波模組之底部平面圖。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
300:RF濾波模組
304:前面板
308:背面板
312:側面板
316:側面板
320:頂面板
324:底面板
328:凹口或凹入角隅
336:RF電纜連接件
340:編碼器
344:馬達
348:風扇
352:風扇
358:振動墊
380:RF輸入連接件
382:接觸機構/葉板彈簧
384:開口
386:安裝托架
388:接觸部分
390:螺帽
394:通路面板

Claims (19)

  1. 一種用於基板處理腔室的濾波模組,包含: 複數外面板,其界定一內部; 複數內面板,其在該濾波模組的該內部中界定複數隔室;以及 一可調電容器,其係設置於該複數隔室之第一隔室內及該複數內面板之第一面板上,其中該可調電容器係經由該第一面板而耦合至位在該第一隔室外的馬達,且其中該可調電容器係配置以接收一射頻輸入信號並基於該馬達的位置而提供一射頻電壓至該基板處理腔室。
  2. 如請求項1之用於基板處理腔室的濾波模組,其中該可調電容器係配置以將該射頻電壓提供至該第一隔室內的濾波電路,且該濾波電路係配置以將經濾波之射頻電壓提供至該基板處理腔室。
  3. 如請求項1之用於基板處理腔室的濾波模組,更包含一絕緣間隔件,其係設置於該可調電容器與該第一面板之間。
  4. 如請求項1之用於基板處理腔室的濾波模組,更包含一編碼器,其係配置以將該可調電容器的電容對映至該馬達的絕對位置。
  5. 如請求項1之用於基板處理腔室的濾波模組,其中該複數內面板之第二面板將該第一隔室與該複數隔室之第二隔室相隔開。
  6. 如請求項5之用於基板處理腔室的濾波模組,其中該複數內面板之第三面板將該第二隔室與該複數隔室之第三隔室相隔開。
  7. 如請求項6之用於基板處理腔室的濾波模組,其中該第二隔室及該第三隔室之其中至少一者包含濾波電路,其係配置以對一加熱器功率信號進行濾波並將經濾波之加熱器功率信號提供至該基板處理腔室。
  8. 如請求項1之用於基板處理腔室的濾波模組,其中該複數外面板包含前面板、背面板、頂面板、底面板、及第一與第二側面板。
  9. 如請求項8之用於基板處理腔室的濾波模組,其中該濾波模組為矩形且包含一凹口角隅,且其中該馬達係設置於該凹口角隅內。
  10. 如請求項8之用於基板處理腔室的濾波模組,其中該第一側面板包含配置以接收電纜連接件的一輸入連接件,其中該輸入連接件係配置以經由該電纜連接件而接收該射頻輸入信號。
  11. 如請求項10之用於基板處理腔室的濾波模組,其中(i) 一葉板彈簧(leaf spring)係設置於該第一側面板上且位在該輸入連接件與該電纜連接件之間,且(ii) 該電纜連接件經由該葉板彈簧中的孔洞而連接至該輸入連接件。
  12. 如請求項11之用於基板處理腔室的濾波模組,其中該葉板彈簧之第一端係裝設於該第一側面板上,而該葉板彈簧之第二端延伸超過該第一側面板的周邊。
  13. 如請求項12之用於基板處理腔室的濾波模組,其中該葉板彈簧之該第二端延伸至設置於該濾波模組之該背面板上的一接觸開關上方。
  14. 如請求項13之用於基板處理腔室的濾波模組,其中將該電纜連接件連接至該輸入連接件之操作使得該葉板彈簧之該第二端與該接觸開關接合。
  15. 如請求項14之用於基板處理腔室的濾波模組,其中該接觸開關係配置以產生一信號,該信號指示下列其中至少一者:(i) 該濾波模組是否被安裝於該基板處理腔室上;以及(ii) 該電纜連接件是否連接至該輸入連接件。
  16. 如請求項14之用於基板處理腔室的濾波模組,其中該第一側面板包含一可移除通路面板,且其中該葉板彈簧之該第一端係經由該可移除通路面板而裝設於該第一側面板。
  17. 一種基板處理工具,包含複數基板處理腔室及複數如請求項1之用於基板處理腔室的濾波模組,其中該複數濾波模組之各者係安裝在該複數基板處理腔室之相應者上。
  18. 如請求項17之基板處理工具,其中該等濾波模組之各者包含一可移除側面板,且其中該等濾波模組之各者的該可移除側面板面向該基板處理工具的外周。
  19. 一種用於基板處理腔室的濾波模組,包含: 複數外面板,其界定一內部; 複數內面板,其在該濾波模組的該內部中界定複數隔室;以及 一可調電容器,其係設置於該複數隔室之第一隔室內及該複數內面板之第一面板上,其中該可調電容器係經由該第一面板而耦合至位在該第一隔室外的馬達,且其中該可調電容器係配置以接收一射頻輸入信號並基於該馬達的位置而提供一射頻電壓至該基板處理腔室, 其中該可調電容器係配置以將該射頻電壓提供至該第一隔室內的濾波電路,且該濾波電路係配置以將經濾波之射頻電壓提供至該基板處理腔室,並且 其中該複數隔室之第二與第三隔室各自包含個別的電路。
TW109114874A 2019-05-06 2020-05-05 用於基板處理系統的濾波箱 TW202108809A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962843720P 2019-05-06 2019-05-06
US62/843,720 2019-05-06

Publications (1)

Publication Number Publication Date
TW202108809A true TW202108809A (zh) 2021-03-01

Family

ID=73050720

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109114874A TW202108809A (zh) 2019-05-06 2020-05-05 用於基板處理系統的濾波箱

Country Status (7)

Country Link
US (1) US20220319810A1 (zh)
JP (1) JP2022531447A (zh)
KR (1) KR20210152579A (zh)
CN (1) CN113795905A (zh)
SG (1) SG11202112297VA (zh)
TW (1) TW202108809A (zh)
WO (1) WO2020227252A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4286404B2 (ja) * 1999-10-15 2009-07-01 東京エレクトロン株式会社 整合器およびプラズマ処理装置
KR20140137964A (ko) * 2013-05-24 2014-12-03 엘아이지에이디피 주식회사 유도 결합 플라즈마 처리 장치 및 그 제어방법
US9312832B2 (en) * 2014-07-23 2016-04-12 Lam Research Corporation High power filter with single adjust for multiple channels
KR101761257B1 (ko) * 2015-10-23 2017-07-26 주식회사 영신알에프 매칭부 및 분석센서를 가지는 일체형 고주파 상압 플라즈마 발생장치
KR102070768B1 (ko) * 2015-11-20 2020-01-30 주식회사 원익아이피에스 박막 증착 장치

Also Published As

Publication number Publication date
CN113795905A (zh) 2021-12-14
SG11202112297VA (en) 2021-12-30
KR20210152579A (ko) 2021-12-15
WO2020227252A1 (en) 2020-11-12
US20220319810A1 (en) 2022-10-06
JP2022531447A (ja) 2022-07-06

Similar Documents

Publication Publication Date Title
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI775814B (zh) 用以降低發弧的氦插塞設計
US11488810B2 (en) Showerhead shroud
TW201834139A (zh) 具有小間隙之銷升降器組件
JP7389285B2 (ja) 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置
JP2022530803A (ja) 二重周波数、直接駆動誘導結合プラズマ源
TW202108809A (zh) 用於基板處理系統的濾波箱
US20230113683A1 (en) Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system
JP2022535222A (ja) 基板処理システムの静電チャックの温度を監視するためのrf免疫センサプローブ
TWI776862B (zh) 無螺栓基板支撐組件
TW202136573A (zh) 具有整合式rf濾波器的基板支撐件
TW201833379A (zh) 用以提供電漿反應器中寄生元件之分流取消的系統及方法
US11967486B2 (en) Substrate processing system including dual ion filter for downstream plasma
TW202320152A (zh) 升降銷止擋件
TW202114051A (zh) 基板處理系統用的縮小直徑承載環硬件
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器