TW202105065A - 光子通訊平台 - Google Patents

光子通訊平台 Download PDF

Info

Publication number
TW202105065A
TW202105065A TW109107465A TW109107465A TW202105065A TW 202105065 A TW202105065 A TW 202105065A TW 109107465 A TW109107465 A TW 109107465A TW 109107465 A TW109107465 A TW 109107465A TW 202105065 A TW202105065 A TW 202105065A
Authority
TW
Taiwan
Prior art keywords
photonic
optical
module
adjacent
modules
Prior art date
Application number
TW109107465A
Other languages
English (en)
Other versions
TWI822972B (zh
Inventor
尼可拉斯C 哈里斯
卡爾 拉米
邁克爾 古爾德
湯瑪士 葛拉漢
達路斯 布南達爾
萊恩 布瑞得
米克海洛 提姆誠可
Original Assignee
美商萊特美特股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商萊特美特股份有限公司 filed Critical 美商萊特美特股份有限公司
Publication of TW202105065A publication Critical patent/TW202105065A/zh
Application granted granted Critical
Publication of TWI822972B publication Critical patent/TWI822972B/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12007Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1225Basic optical elements, e.g. light-guiding paths comprising photonic band-gap structures or photonic lattices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/34Optical coupling means utilising prism or grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/43Arrangements comprising a plurality of opto-electronic elements and associated optical interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J14/00Optical multiplex systems
    • H04J14/02Wavelength-division multiplex systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12102Lens
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12107Grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12114Prism
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12123Diode
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12145Switch

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Communication System (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Couplings Of Light Guides (AREA)

Abstract

在此描述的是能夠克服記憶體瓶頸問題的光子通訊平台,從而使得記憶體容量和頻寬的縮放遠遠超過習知計算系統所能達到的範圍。一些具體實施例提供了涉及使用光子模塊的光子通訊平台。每個光子模塊包括可編程光子電路,用於根據特定應用的需要將模塊與其他模塊進行光通訊。發明人開發的架構依賴於使用共同光罩組(或至少一個共同光罩)在單個晶圓中製造多個光子模塊。可以使用光學或電子方式將多個晶圓中的光子模塊鏈接在一起成為一個通訊平台。

Description

光子通訊平台
對於相關申請案的交互參照:本申請案根據專利法第28條之規定,主張對於申請於2020年1月15日、代理人案號為No. L0858.70013US02、名為「PHOTONICS COMMUNICATIONS PLATFORM WITH SINGLE LITHOGRAPHIC MASK SET」的美國臨時申請案第62/961,448號的優先權,在此仰賴且併入此美國臨時申請案之內容以作為參考。
本申請案根據專利法第28條之規定,主張對於申請於2019年10月21日、代理人案號為No. L0858.70013US01、名為「PHOTONICS COMMUNICATIONS PLATFORM WITH SINGLE LITHOGRAPHIC MASK SET」的美國臨時申請案第62/923,889號的優先權,在此仰賴且併入此美國臨時申請案之內容以作為參考。
本申請案根據專利法第28條之規定,主張對於申請於2019年3月6日、代理人案號為No. L0858.70013US00、名為「PHOTONICS COMMUNICATIONS PLATFORM WITH LITHOGRAPHIC MASK SET」的美國臨時申請案第62/814,444號的優先權,在此仰賴且併入此美國臨時申請案之內容以作為參考。
本申請涉及光子通訊平台和相關方法。
電腦系統包括用於儲存資料和機器碼的隨機存取記憶體(RAM)。RAM通常是揮發性記憶體,使得當移除電源時存儲的資訊丟失。在現代具體實施例中,記憶體採用積體電路的形式。每個積體電路包括數個記憶體單元。為了能夠存取所儲存的資料和機器碼,記憶體與處理器進行電性通訊。通常,這些電性通訊被實施為在其上設置記憶體和處理器的基板上形成的金屬跡線。
一些具體實施例涉及一種包括複數個光子模塊的光子系統,光子模塊包括根據至少一個共同光罩圖案化的至少第一和第二光子模塊。第一與第二光子模塊中的每一個包含:第一和第二邊界;光分配網路;第一光波導,第一光波導將光分配網路光學耦合到複數個光子模塊中的第一相鄰光子模塊,第一相鄰光子模塊與第一邊界相鄰;以及第二光波導,第二光波導將光分配網路光學耦合到複數個光子模塊中的第二相鄰光子模塊,第二相鄰光子模塊與第二邊界相鄰。
在一些具體實施例中,第一至第二邊界彼此相對。
在一些具體實施例中,第一至第二光波導被根據至少一個共同光罩來圖案化。
在一些具體實施例中,第一與第二光子模塊中的每一個進一步包含平面外光耦合器,平面外光耦合器光學耦合到光分配網路。
在一些具體實施例中,光分配網路被配置為選擇性地使第一相鄰光子模塊與第二相鄰光子模塊進行光通訊。
在一些具體實施例中,第一和第二光子模塊中的每一個被根據共同光罩組來圖案化,其中至少一個共同光罩是共同光罩組的一部分。
在一些具體實施例中,第一與第二光子模塊中的每一個包含:第三和第四邊界,其中第一和第二邊界彼此相對,並且第三和第四邊界彼此相對;第三光波導,第三光波導將光分配網路光學耦合到複數個光子模塊中的第三相鄰光子模塊,第三相鄰光子模塊與第三邊界相鄰;以及第四光波導,第四光波導將光分配網路光學耦合到複數個光子模塊中的第四相鄰光子模塊,第四相鄰光子模塊與第四邊界相鄰。
在一些具體實施例中,光分配網路被配置為選擇性地使第一相鄰光子模塊與第二相鄰光子模塊或第三相鄰光子模塊進行光通訊。
在一些具體實施例中,光分配網路包括複數個光開關。
在一些具體實施例中,第一和第二光子模塊彼此相鄰,使得第二光子模塊是第一光子模塊的第一相鄰光子模塊。
一些具體實施例相關於一種用於製造半導體晶圓的方法,包括以下步驟:使用至少一個共同光罩對半導體晶圓上的複數個光子模塊中的至少一些中的每一個進行圖案化,其中對複數個光子模塊中的至少一些中的每一個進行圖案化之步驟包括以下步驟:對光分配網路進行圖案化;對第一光波導進行圖案化,第一光波導將光分配網路光學耦合到複數個光子模塊中的第一相鄰光子模塊,第一相鄰光子模塊與光子模組的第一邊界相鄰;以及對第二光波導進行圖案化,第二光波導將光分配網路光學耦合到複數個光子模塊中的第二相鄰光子模塊,第二相鄰光子模塊與光子模組的第二邊界相鄰。
在一些具體實施例中,對複數個光子模塊中的至少一些中的每一個進行圖案化之步驟包括以下步驟:使用至少一個共同光罩來圖案化第一與第二光波導。
在一些具體實施例中,方法進一步包含:切割半導體晶圓以獲得光子基板,光子基板包含:複數個光子模塊中的第一光子模塊;第一相鄰光子模塊,第一相鄰光子模塊與第一光子模塊的第一邊界相鄰;和第二相鄰光子模塊,第二相鄰光子模塊與第一光子模塊的第二邊界相鄰。
在一些具體實施例中,第一光子模組的第一至第二邊界彼此相對。
在一些具體實施例中,圖案化複數個光子模塊中的至少一些光子模塊中的每一個之步驟進一步包括以下步驟:對第三光波導進行圖案化,第三光波導將光分配網路光學耦合到複數個光子模塊中的第三相鄰光子模塊,第三相鄰光子模塊與光子模塊的第三邊界相鄰;以及對第四光波導進行圖案化,第四光波導將光分配網路光學耦合到複數個光子模塊中的第四相鄰光子模塊,第四相鄰光子模塊與光子模塊的第四邊界相鄰。第一和第二邊界彼此相對,並且第三和第四邊界彼此相對。
在一些具體實施例中,圖案化複數個光子模塊中的至少一些光子模塊中的每一個之步驟進一步包括以下步驟:結合至少一個共同光罩使用第一光刻照射來圖案化第一光子模塊;和在第一光刻照射之後,結合至少一個共同光罩使用第二光刻照射來圖案化第二光子模塊。
一些具體實施例相關於一種計算系統,包含:圖案化有複數個光子模塊的光子基板,複數個光子模塊包括至少第一和第二光子模塊,第一和第二光子模塊中的每一個根據至少一個共同光罩被圖案化,其中第一光子模塊光學耦合到第二光子模塊;第一晶粒,第一晶粒與第一光子模塊通訊;和第二晶粒,第二晶粒與第二光子模塊通訊。
在一些具體實施例中,第一晶粒包括處理器,並且第二晶粒包括記憶體。
在一些具體實施例中,計算系統進一步包含耦合到光子基板的雷射晶粒。
在一些具體實施例中,第一與第二光子模塊中的每一個包含:第一和第二邊界;光分配網路;第一光波導,第一光波導將光分配網路光學耦合到複數個光子模塊中的第一相鄰光子模塊,第一相鄰光子模塊與第一邊界相鄰;以及第二光波導,第二光波導將光分配網路光學耦合到複數個光子模塊中的第二相鄰光子模塊,第二相鄰光子模塊與第二邊界相鄰。
在一些具體實施例中,第一至第二邊界彼此相對。
在一些具體實施例中,第一至第二光波導被根據至少一個共同光罩來圖案化。
在一些具體實施例中,第一和第二光子模塊中的每一個包括平面外光耦合器,其中:第一晶粒光學耦合到第一光子模塊的平面外光耦合器,以及第二晶粒光學耦合到第二光子模塊的平面外光耦合器。
在一些具體實施例中,第一晶粒耦合到光子基板的第一側,並且第二晶粒耦合到光子基板的與第一側相對的第二側。
在一些具體實施例中,計算系統進一步包含堆疊在第一晶粒的頂部上的第三晶粒。
在一些具體實施例中,第一和第二光子模塊共享一邊界,使得第一光子模塊與第二光子模塊相鄰。
在一些具體實施例中,第一晶粒安裝在第一光子模塊的上方或下方;且第二晶粒安裝在第二光子模塊上方或下方。
在一些具體實施例中,第一晶粒與第一光子模塊電子通訊,並且第二晶粒與第二光子模塊電子通訊。
一些具體實施例相關於一種多節點計算系統,包含:複數個計算系統,複數個計算系統包含至少第一與第二計算節點,第一與第二計算節點中的每一個包含:圖案化有複數個光子模塊的光子基板,複數個光子模塊包括至少第一和第二光子模塊,第一和第二光子模塊中的每一個根據至少一個共同光罩被圖案化,其中第一光子模塊光學耦合到第二光子模塊;第一晶粒,第一晶粒與第一光子模塊通訊;第二晶粒,第二晶粒與第二光子模塊通訊;以及光纖,光纖將第一和第二計算系統彼此連接。
在一些具體實施例中,第一和第二計算系統中的每一個進一步包括光纖耦合器,其中光纖將第一和第二計算系統的各個光纖耦合器彼此光學耦合。
在一些具體實施例中,第一晶粒包括處理器,並且第二晶粒包括記憶體。
在一些具體實施例中,第一和第二計算系統中的每一個進一步包括耦合至光子基板的雷射。
在一些具體實施例中,光子基板的第一與第二光子模塊中的每一個包含:第一和第二邊界;光分配網路;第一光波導,第一光波導將光分配網路光學耦合到複數個光子模塊中的第一相鄰光子模塊,第一相鄰光子模塊與第一邊界相鄰;以及第二光波導,第二光波導將光分配網路光學耦合到複數個光子模塊中的第二相鄰光子模塊,第二相鄰光子模塊與第二邊界相鄰。
在一些具體實施例中,第一至第二光波導被根據至少一個共同光罩來圖案化。
在一些具體實施例中,第一和第二光子模塊中的每一個包括平面外光耦合器,其中:第一晶粒光學耦合到第一光子模塊的平面外光耦合器,以及第二晶粒光學耦合到第二光子模塊的平面外光耦合器。
在一些具體實施例中,第一晶粒耦合到光子基板的第一側,並且第二晶粒耦合到光子基板的與第一側相對的第二側。
在一些具體實施例中,多節點計算系統進一步包含堆疊在第一晶粒的頂部上的第三晶粒。
在一些具體實施例中,第一和第二光子模塊共享一邊界,使得第一光子模塊與第二光子模塊相鄰。
在一些具體實施例中,第一晶粒安裝在第一光子模塊的上方或下方;且第二晶粒安裝在第二光子模塊上方或下方。
一些具體實施例相關於一種光子通訊平台,包括:光子網路,光子網路包括形成在半導體基板上的複數個光開關;與光子網路通訊的複數個晶粒;一種電子開關網路,包括與複數個光開關共同整合的複數個電晶體,電子開關網路被配置為:在第一時間,對光開關進行編程,以形成將複數個晶粒的第一子集耦合在一起的第一光通訊路徑,以及在第一時間之後的第二時間,對光開關進行編程以形成第二光通訊路徑,第二光通訊路徑將複數個晶粒的第二子集耦合在一起,第二光通訊路徑與第一光通訊路徑相異。
在一些具體實施例中,複數個電晶體形成在半導體基板上。
在一些具體實施例中,半導體基板為第一半導體基板,且其中複數個電晶體形成在第二半導體基板上,其中第一與第二半導體基板被3D接合在一起。
在一些具體實施例中,對光開關進行編程以形成第一光通訊路徑包括:識別將複數個晶粒的第一子集耦合在一起的光通訊路徑;和基於識別出的光通訊路徑對光開關進行編程。
在一些具體實施例中,識別將複數個晶粒的第一子集耦合在一起的光通訊路徑包括:監視光子網路的使用。
在一些具體實施例中,電子開關網路進一步被配置為:決定在第一光通訊路徑上的光信號的至少一個特性;基於該光信號的該至少一個特性來識別編碼方案;和基於編碼方案,使光子網路在第一光通訊路徑上進行光通訊。
在一些具體實施例中,複數個晶粒與光子網路電子通訊。
在一些具體實施例中,電子開關網路進一步被配置為使光子網路使用波長分波多工在第一光通訊路徑上進行光通訊。
I.       記憶體頻寬的可擴展性
發明人已經認識並意識到,限制資料密集型計算的傳播的主要瓶頸之一,是無法以足夠高的速率擴展現代電腦中的記憶體容量和頻寬。發明人開發了光子通訊平台,光子通訊平台能夠實現記憶體容量和頻寬的擴展,遠遠超出了習知電腦所能達到的範圍。
諸如旨在處理深度學習演算法的資料密集型計算系統需要存取大量資料,因此增加了對記憶體容量的要求。此外,大多數應用程序要求即時或準即時存取資料,從而增加了對記憶體頻寬的要求。一些習知計算系統利用圖形處理單元(GPU)來提高記憶體存取效率。一些GPU以高達256 GB / s的頻寬從記憶體傳輸資料。儘管這樣的記憶體頻寬對於大多數基於圖形的應用程序可能已經足夠,但對於深度神經網路和高頻交易等資料密集型應用程序而言,這還遠遠不夠。
深度神經網路依賴大量參數,例如權重和啟用參數。例如,具有2600萬個權重參數的典型50層網路可以在前向傳遞中計算多達1600萬次啟用。如果使用32位浮點數值儲存權重和啟用,則總儲存要求為168 MB。此外,如果資料被呈現為密集向量,則記憶體要求可以增加到數GB。在訓練期間,訓練資料集的位置很重要,因為這些大型資料集的存取頻率很高。這些資料量太大,無法存儲在GPU的內部記憶體中,因此需要使用數個外部動態隨機存取記憶體(DRAM)。每個DRAM晶片透過電子通訊載波與處理器通訊。例如,在利用矽中介層的計算系統中,其中處理器和記憶體晶片安裝在同一中介層上,記憶體-處理器的通訊由中介層上形成的導電跡線支持。近年來,由於中介層可以提供的導電跡線的密度比印刷電路板(PCB)中的密度大得多,因此矽中介層的使用已變得廣泛。但是,中介層不能無限擴展。使用微細加工技術製造的中介層具有有限的面積,因此限制了中介層可以容納的記憶體晶片的數量。
此外,寄生阻抗的存在進一步限制了頻寬可擴展性。理想情況下,中介層的導電跡線的阻抗可以忽略不計。實際上,不幸的是,跡線的阻抗很顯著。寄生阻抗由兩種方式限制頻寬的可擴展性。首先,它限制了跡線可以支援的頻寬。其次,它增加了功耗。更糟的是,寄生阻抗會隨著跡線的長度而增加,這意味著記憶體晶片與處理器之間的距離越大,頻寬越低。這就是為什麼通常將習知計算系統設計為使記憶體晶片位於處理器數公分內的原因。但是,在此範圍內只能容納有限數量的記憶體晶片。結果是習知計算系統的記憶體頻寬和記憶體容量都受到限制。 II.      光子通訊平台概述
發明人開發的通訊平台使用光子學克服了這些限制。光在波導內傳播所依據的物理學原理使光通訊固有地不受寄生阻抗的影響。對寄生阻抗的抗擾性帶來了主要好處:消除了對於將記憶體晶片放置在處理器特定範圍內的要求。
發明人開發的光子通訊平台的另一個優點,是它們可以容易地適應於不同的電腦體系結構。單節點電腦體系結構涉及一個處理器晶片(每個晶片可能具有多個處理器核心)和數個記憶體晶片。多節點電腦體系結構涉及數個處理器晶片和數個記憶體晶片。一些多節點體系結構使用環形拓撲:每個處理器與兩個相鄰處理器直接通訊,並且透過相鄰處理器與其他處理器通訊。其他多節點體系結構使用星形拓撲:中央集線器負責路由核心到核心通訊。還有另一個多節點體系結構使用多播拓撲:每個處理器都與其他數個處理器進行定向通訊。
本文所述的光子通訊平台的某些態樣,使它們易於適應於這些架構(和其他架構)中的任何一種。一些具體實施例提供使用「光子模塊」的光子通訊平台。每個光子模塊均包含可根據特定電腦體系結構的需要進行配置的可編程光子電路。一些平台是按照一維方案安排的,例如3x1模塊的方塊,5x1模塊的方塊,10x1模塊的方塊,20x1模塊的方塊等等。一些平台是按照二維方案安排的,例如3x3模塊的方塊,5x3模塊的方塊,5x5模塊的方塊,10x10模塊的方塊等等。更一般而言,平台可致能N≥1和M≥1的任何NxM模塊方塊,以及任何拓撲(例如T拓撲、L拓撲、X拓撲等)。每個光子模塊都可以用作計算系統的節點。在每個節點上,可能有一個或多個數位處理器晶片、一個或多個類比加速器、一個或多個光子加速器、一個或多個記憶體晶片、一個或多個網路晶片或其他裝置。
圖1示出了根據一些具體實施例的基於光子通訊平台的計算系統,光子通訊平台具有設置為3x3拓樸的九個光子模塊。計算系統10包括圖案化有九個光子模塊22(在本文中也稱為「光子站點」,或簡稱為「站點」)的光子基板20。此光子通訊平台支持位於光子基板20中間的一個處理器晶片(30),以及圍繞處理器晶片的八個記憶體節點。一些記憶體節點包括單個記憶體晶片(例如參見記憶體晶片32)。其他記憶體節點包括堆疊記憶體,堆疊記憶體包括多個垂直堆疊的記憶體晶粒(例如,參見堆疊記憶體34)。晶粒可以電子地(例如使用矽通孔、銅柱、微凸塊、球柵陣列或其他電互連)和/或光學地(例如使用光柵耦合器、稜鏡、透鏡或其他光耦合器)與光子模塊通訊。
如下面進一步詳細描述的,光子模塊利用光波導和光分配網路來圖案化。光子模塊的光分配網路可以選擇性地使此特定光子模塊的晶粒與計算系統的任何其他晶粒進行光通訊。例如,可以根據處理器的需求來重新配置位於處理器晶粒30下方的光子模塊的光分配網路。在例程開始時,處理器可需要存取存儲在第一記憶體節點中的資料。此讀取操作涉及配置各個光分配網路,以使處理器與第一記憶體節點進行光通訊。在例程中的後面,處理器可需要將資料寫入第二記憶體節點。此寫入操作涉及重配置各個光分配網路,以使處理器與第二記憶體節點進行光通訊。
發明人進一步認識到,大規模製造光子模塊可能是昂貴的。本文所述的光子通訊平台以限制製造成本的方式進行設計。這些平台依靠使用共同光罩組(或至少一個共同光罩)來製造多個光子模塊。這種方法藉由兩種方式降低了成本。首先,它減少了在購買幾個不同的光罩組時原本會引起的額外費用。其次,它能夠使用標準的半導體晶圓廠製造光子模塊,其中一些要求在整個晶圓上使用相同的光罩組(或至少一個光罩)。設計共享至少一個光罩的光子模塊,可以在利用標準的低成本分步重複製造製程的同時,在同一半導體晶圓上製造許多光子模塊。 III.     光子模塊
可以使用微製造技術來製造本文所述的光子模塊,這種微製造技術包括例如互補金屬氧化物半導體(CMOS)微製造技術。因此,一些具體實施例涉及基於矽光子學的光通訊平台。一些特定的微製造技術涉及重複步驟:使用步進機由模板佈局的多個副本對半導體晶圓進行圖案化。圖2A至2E示出了用於製造光子模塊的微製造技術。圖3A至3F示出了使用這些微製造技術圖案化的光子模塊的示例。
首先參照圖2A,本圖示出了半導體晶圓100。晶圓100可以由任何材料製成。例如,晶圓100可以由矽製成(或者包括矽)。在一示例中,晶圓100是絕緣層覆矽(SOI)晶圓。在另一個示例中,晶圓100是體矽晶圓。晶圓100可以具有任何尺寸。例如,晶圓100的直徑可以是150mm、300nm或450mm、或其他可能的值。然而,並非所有晶圓都需要具有圓形形狀。
圖2B示出了可以用於使用光刻技術對晶圓100進行圖案化的一組光罩。光罩組200包括三個光罩(201、202和203),儘管其他組可以包括更多或更少的光罩。每個光罩具有不透明和透明區域的特定圖案。當光罩暴露在光線下時,不透明區域會阻擋光線,從而防止光線照耀晶圓,並且透明區域會允許光線通過。結果是光罩的圖案被轉移到晶圓上。
每個光罩可以限定光子模塊的特定層。一個光罩可以用於限定光波導。當晶圓經歷蝕刻處理時,僅暴露區域(或僅非暴露區域)被蝕刻掉,而其他區域保持未蝕刻。當晶圓透過此光網路暴露於光時,可以對光罩進行圖案化以形成光波導網路。圖2C示出了可用於在晶圓100上形成波導的光罩的一部分。光罩201的線表示不透明區域。光罩201的背景是透明的。將光罩201暴露於光,使得光罩的圖像被投影到晶圓100上,使得能夠以不透明區域的形狀進行波導的圖案化。在此特定示例中,光罩的線的圖案產生波導的柵格。
一些光子模塊涉及使用不同層級的光波導。在一些這樣的具體實施例中,光罩組200可包括用於每個波導層級的專用光罩。另一光罩可用於定義n摻雜區。當晶圓經過離子植入或摻雜劑擴散處理時,僅暴露區域(或僅非暴露區域)接受摻雜,而其他區域保持未摻雜。可以使用另一種光罩使用類似的處理來定義p摻雜區域。一些光子模塊涉及使用不同的摻雜濃度。在一些這樣的具體實施例中,光罩組200可以包括用於每種摻雜濃度的專用光罩。在其他具體實施例中,光罩組200可以包括用於限定除矽之外的半導體材料(例如鍺和/或週期表的其他材料,諸如III或V族)的沉積的光罩。另一光罩可以用於限定金屬觸點。另一光罩可用於定義金屬跡線。一些光子模塊涉及使用不同層級的金屬跡線。在一些這樣的具體實施例中,光罩組200可以包括用於每個金屬跡線層級的專用光罩。
在一些具體實施例中,以逐步重複的方式對晶圓100進行構圖。當在步進機中對晶圓100進行處理時,光罩的圖案在網格中跨晶圓表面重複地暴露。此處理包括在步進機的透鏡下方來回往復移動晶圓,以及在每一步曝光光罩。結果是由光罩限定的圖案的多個副本對晶圓100進行圖案化。可以對於此組中的每個光罩(或至少一些光罩)重複此操作。
在一些具體實施例中,此處理可以用於利用模板光子模塊的多個副本來對晶圓100進行圖案化。在圖2D的示例中,晶圓100已經用光子模塊22的網格圖案化。光子模塊可以共享一個或多個光罩組200的圖案。例如,光子模塊可以共享相同的波導光罩和/或相同的m個跡線光罩的圖案。在其他具體實施例中,光子模塊共享組200的所有光罩的圖案。例如,光子模塊可以共享相同的光波導圖案、相同的n摻雜圖案、相同的p摻雜圖案、相同的觸點圖案、相同的金屬跡線圖案等等。
在一些具體實施例中,使用光罩組200對晶圓100的整個表面進行構圖。然而,並非所有具體實施例都在這方面受到限制,因為可以使用第一光罩組來圖案化晶圓100的一些部分,並且可以使用第二光罩組來圖案化晶圓100的其他部分。在一些具體實施例中,第一和第二光罩組可以共享一個或多個共同光罩,例如波導光罩。
一旦被圖案化,晶圓100可包括多個光子基板。光子模塊22可以一起分離自晶圓,以形成任何期望的形狀和大小的光子基板。例如,圖2E的晶圓已經被標記以從晶圓100獲得六個光子基板。此圖標識了僅具有一個光子模塊22的1x1光子基板,具有四個光子模塊22的2x2光子基板,具有六個光子模塊22的2x3光子基板,以及分別具有九個光子模塊22的三個3x3光子基板。將光子基板與晶圓分離,包括沿著所需的光子基板的周邊切割晶圓。晶圓100的3×3光子基板之一可以用作圖1的示例計算系統的光子基板(參見光子基板20)。
結合圖2A至圖2D描述的技術使得能夠以相對較低的成本製造光子模塊。一些半導體晶圓廠要求將相同的光罩組(或至少一個光罩)用於對整個晶圓(或晶圓的至少一部分)進行圖案化。否則,使用不同的光微影製程對晶圓的不同部分進行圖案化,將涉及在兩次光刻曝光之間將一個光罩替換為另一個光罩,這將使分步重複製造處理效率低下且成本高昂。設計共享至少一個光罩的光子模塊,可以在利用標準的低成本分步重複製造處理的同時,在同一半導體晶圓上製造許多光子模塊。
圖3A示出了示例性光子模塊22。在此示例中,光子模塊22被成形為矩形(儘管其他形狀也是可能的,例如正方形或其他多邊形)。這樣,光子模塊22由四個邊界(邊界1、2、3和4)界定。邊界1與邊界2相對,邊界3與邊界4相對。邊界1與邊界3和4相鄰,邊界2也與邊界3和4相鄰。光子模塊22包括耦合到波導111、112、113和114的光分配網路104。波導111將光分配網路104光學耦合到邊界1。這樣,從光分配網路104耦合到波導111的光信號,可以藉由越過邊界111而被傳輸到光子模塊之外。類似地,波導112將光分配網路104光耦合到邊界2,波導113將光分配網路104光耦合到邊界3,並且波導114將光分配網路104光耦合到邊界4。在一些具體實施例中,基於光刻照射定義光子模塊的邊界(例如,由用於製造光子模塊的光罩的邊界定義邊界)。然而,在其他具體實施例中,一次光刻照射可以定義一個以上的光子模塊。例如,可由模板光子模塊的多個並排實例一起圖案化光罩。在一些這樣的具體實施例中,在模板光子模塊的相鄰實例相遇的地方定義光子模塊的邊界。
雖然圖3A的例子示出了將光分配網路耦合到每個邊界的波導,但並非所有具體實施例都以這種方式佈置。在其他具體實施例中,光子模塊22可以包括這四個波導中的兩個,例如波導111和112,或者波導111和113。在其他具體實施例中,光子模塊22可以包括這四個波導中的三個,例如波導111、112和113。光分配網路104包括用於在光子模塊22的內部和外部路由光信號的光子組件(例如,光子開關)。
在一些具體實施例中,光子模塊可以包括多層光子波導。類似於多層導電跡線增加電子電路路由電信號的能力的方式,多層波導可以提高光子模塊路由光信號的能力。在一示例中,一層包括矽波導,並且一層包括氮化矽波導。在另一個示例中,多層包括矽波導。附加地或替代地,多層包括氮化矽波導。每個波導層的材料的選擇可以由將被波導路由的光的波長決定。例如,矽和氮化矽層可用於路由波長在1.3μm或1.5μm附近的電信頻段中的紅外光。在一些示例中,多層波導還可包括可用於路由可見光至UV波長的氮化鋁波導,或用於路由UV光的氧化鋁波導。可以以與圖3A所示的配置類似的配置來佈置每個層:具有在層的波導之間路由信號的光分配網路。
光子模塊22還包括一個或多個平面外(out-of-plane)耦合器105。波導117將平面外耦合器105光學耦合到光分配網路104。平面外耦合器105被配置為例如在平行於z軸的方向上或相對於z軸成角度的方向上發射從波導117接收的xy平面外部的光。平面外耦合器105可以進一步被配置為捕獲從xy平面外發出的光,並將捕獲的光傳送到波導117。平面外耦合器105使得能夠在光子模塊22和設置在光子模塊上方和/或在光子模塊下方的晶粒之間進行光通訊。平面外耦合器105可以使用任何合適的光學組件來實現,包括例如光柵、透鏡和稜鏡。在一些具體實施例中,光分配網路可以被配置為使得相同的平面外耦合器使得能夠在兩個方向上進行光學通訊:從光分配網路104到晶粒以及從晶粒到光分配網路104。在其他具體實施例中,一個平面外耦合器105可以實現一個方向的光通訊,而另一個平面外耦合器105(圖3A中未示出)可以實現反方向的光通訊。在一具體實施例中,平面外耦合器105可用於將光源耦合到光分配網路104中。光源可以是雷射(連續波或脈衝)、LED或超發光二極體中的一種。
圖3B示出了平面外耦合器105如何可以用於實現平面外光通訊。為了清楚起見,僅在光學模塊22內部示出了平面外耦合器105、波導117和光分配網路(ODN)104。在此示例中,平面外耦合器105由光柵實現。晶粒320被安裝到光子模塊22。晶粒320可以包括處理器、記憶體和/或其他電子組件(圖3B中未示出)。此外,晶粒320包括平面外耦合器351、波導317和控制器322。控制器322經由電連接324電耦合到光分配網路104,電連接324可以包括例如球柵陣列、銅柱、矽通孔、微凸塊、金屬墊等。在此示例中,平面外耦合器105在與z軸平行的方向上朝向平面外耦合器351發射光。平面外耦合器捕獲光並將捕獲的光經由波導317傳輸到控制器322。
控制器322控制光分配網路104的操作。例如,控制器322控制光分配網路104的開關的狀態。經由電連接324將控制信號提供給光分配網路104。替代地或附加地,可以在光子模塊22上直接形成控制器,並且此控制器可控制光分配網路104的操作。此控制器可以經由形成在光子模塊22上的導電跡線向光分配網路22提供控制信號。
回到圖3A,光分配網路104可以選擇性地將光子模塊22的任何組件耦合到光子模塊22的任何其他組件。例如,光分配網路104可以使光能夠在波導111與波導112之間,和/或在波導111與波導113之間,和/或在波導113與波導114之間,和/或在平面外耦合器105與耦波導111之間,和/或在平面外耦合器105和波導113之間,等等。
圖3C示出了平面外耦合器105如何可以用於在兩個光子通訊結構之間進行通訊。為了清楚起見,此圖僅示出了兩個光子模塊22,來自每個光子通訊結構,使用平面外耦合器105彼此光學耦合。控制器322使用電連接324和矽通孔125電耦合到兩個光分配網路104。將多個光子通訊結構彼此堆疊,會增加每個站點之間的光學和電子通訊通道的數量。此外,具有多個通訊結構可以減少跨光子模塊路由光信號所需的波導交叉數量,從而減少光損耗並改善總體功率預算。
光子基板可包括連接在一起以共同形成光網路的多個光子模塊。圖3D示出了包括六個光子模塊22的示例性2×3光子基板。此光子基板是藉由將一組2x3光子模塊從晶圓100上切下來而獲得的(參見圖2E)。光子模塊22被佈置為使得光學模塊的波導111與光學模塊的波導112在此光學模塊的左側對齊,光學模塊的波導112與光學模塊的波導111在此光學模塊的右側對齊,光學模塊的波導113與此光學模塊上方的光學模塊的波導114對齊,並且光學模塊的波導114與此光學模塊下方的光學模塊的波導113對齊。結果,光學模塊形成光網路。光分配網路104可以在網路內部或外部的任何地方路由光學信號。例如,假定將處理器安裝到位於光子基板的西北角的光子模塊,並將記憶體安裝到位於光子基板的東南角的光子模塊。讀取操作可涉及重新配置光分配網路,以使處理器與記憶體進行光通訊。例如,可以形成如下光學通訊路徑:1)將處理器耦合至安裝有處理器的光子模塊的平面外耦合器,2)將此光子模塊的平面外耦合器耦合至同一光子模塊的波導112,3)將此光子模塊的波導112耦合到相鄰光子模塊(中上光子模塊)的波導111,4)將中上光子模塊的波導112耦合到相鄰光子模塊的波導111(光子基板的東北角),5)將位於東北角的光子模塊的波導114耦合到安裝有記憶體的光子模塊的波導113,以及6)將安裝有記憶體的光子模塊的波導113耦合到同一光子模塊的平面外耦合器。
如上所述,相鄰光子模塊的波導彼此光學耦合,從而允許光從一個光子模塊傳遞到下一個光子模塊。在一些具體實施例中,波導可以物理連接。這種佈置在圖3E中示出,圖3E示出了在兩個相鄰的光子模塊的邊界處的區域。如圖所示,位於左側的光子模塊的波導112物理地連接到位於右側的光子模塊的波導111。在一些具體實施例中,連續的波導越過邊界並且在光子模塊的相應的光分配網路之間延伸。
在其他具體實施例中,波導之間可存在間隙。這種佈置在圖3F中示出。在此示例中,每個波導的一端都位於距離邊界一定距離的位置。因此,在邊界區域處形成間隙。儘管存在間隙,但是波導111和112仍然彼此光學耦合。實際上,在這種情況下,在波導一端發射的光藉由自由空間傳播到達另一個波導的一端。如果間隙的大小足夠小(例如,小於500μm),則一個波導輻射的大部分光功率會耦合到另一波導。
在其他具體實施例中,如圖3G中所示,可使用光子橋以使波導彼此光學耦合。在此示例中,波導的端部耦合到相應的平面外耦合器152。光子橋晶粒300安裝到邊界區域。光子橋晶粒300包括一對平面外耦合器352和將平面外耦合器彼此耦合的光波導354。假設處理器晶粒302需要向記憶體晶粒304發送讀出訊息。這可以由以下步驟來實現:1)將光從處理器晶粒302以平面外的方式傳輸到相應的光子模塊(例如以圖3B所示的方式);2)將光傳輸到波導112,並因此傳輸到平面外耦合器152;3)將光傳輸到平面外耦合器352;4)將光傳輸到波導354,因此傳輸到另一個平面外耦合器352;5)傳輸光到另一個平面外耦合器152;6)將光以平面外的方式從此光子模塊傳輸到記憶體晶粒304(例如以圖3B所示的方式)。
在一些具體實施例中,可以根據共同的金屬跡線光罩對光子模塊22進行圖案化。結果,光子模塊共享相同的金屬跡線圖案。在一些具體實施例中,光子模塊22根據多個共同光罩被圖案化。結果,多層金屬跡線在不同的光子模塊之間共享相同的圖案。一些金屬跡線可用於在光子基板上傳遞功率。一些金屬跡線可用於在光子基板上傳遞電信號。
圖3H示出了2×3光子基板,其中每個光子模塊22共享相同圖案的金屬跡線。為了說明,在此圖中僅示出了金屬跡線,儘管每個光子模塊進一步包括波導、一個或多個平面外耦合器和光分配網路,例如在圖3D所示的佈置中。在此示例中,存在兩層金屬跡線。在不同的光子模塊上使用相同的光罩製作了每層金屬跡線。金屬跡線層級1的金屬跡線在水平方向上延伸,從而電耦合在水平方向上彼此相鄰的光子模塊。金屬跡線層級2的金屬跡線在垂直方向上延伸,從而電耦合在垂直方向上彼此相鄰的光子模塊。當然,其他佈置也是可能的。例如,在其他具體實施例中,相同層級的金屬跡線可以將一個光子模塊電耦合到與其相鄰的所有光子模塊。
金屬跡線被佈置為在光子模塊的邊界上攜帶電(例如信號和/或功率)。這可以藉由將金屬跡線圖案化成在光子模塊的邊界上連續來實現。在此示例中,層級1的金屬跡線在垂直邊界上連續,層級2的金屬跡線在水平邊界上連續。可以使用通孔(圖3H中未示出)將不同層級的金屬跡線彼此連接。在一些具體實施例中,光子模塊可以共享相同的通孔圖案。換句話說,相同的通孔光罩可以用於每個光子模塊。在一些具體實施例中,光子模塊可以具有更多(數十到數百個)金屬跡線。這些金屬跡線中的一些可以被佈置為在光子模塊上是連續的,但是在一些具體實施例中,大多數金屬跡線不需要被圖案化為在模塊上是連續的。
金屬跡線可用於在光子基板上傳遞功率和/或電信號。在一示例中,電源連接到一個特定的光子模塊。可以使用金屬跡線將電源產生的功率從此特定光子模塊傳遞到其他光子模塊。在另一個示例中,控制器晶片可以被接合(例如3D接合)到特定的光子模塊。可以使用金屬跡線將控制器產生的控制信號從此特定光子模塊傳遞到其他光子模塊。控制信號可控制光子模塊的光分配網路的狀態。
如上所述,電子控制電路可用於控制光子模塊的操作。這些電子控制電路可以控制例如光分配網路104如何路由光信號。電子控制電路可以由各種方式與光子模塊共同整合。在一些具體實施例中,光子模塊可以形成在第一基板上,並且電子控制電路可以形成在第二基板上。兩個基板可以接合在一起,以使電子控制電路與光分配網路電連通。然而,在其他具體實施例中,可以直接在與光子模塊相同的基板上製造電子控制電路。在同一基板上製造光子模塊和電子控制電路可以降低成本,因為不需要依賴兩個個別的製造處理和一個接合處理,只需要一個製造處理即可。
圖3I是將光子模塊與電晶體共同整合的光子基板的橫截面。電晶體可以彼此連接以限定電子控制電路。在此示例中,光子基板形成在SOI基板上,但是其他類型的基板也是可能的,包括體矽基板。在矽基板上形成絕緣體層(例如二氧化矽層)。在絕緣體層上形成矽層。矽層被圖案化以形成波導和其他光學組件,例如結合圖3A描述的組件。此橫截面說明了馬赫曾德爾(Mach-Zehnder)干涉儀的一部分,其臂由波導370和371限定。此馬赫曾德爾干涉儀定義了光分配網路104的開關之一。電晶體方塊380與波導370和371形成在同一矽層中。電晶體方塊380包括連接在一起以形成電子控制電路的數個電晶體(例如數萬個、數十萬個或更多)。光子基板進一步包括數層金屬跡線(儘管此示例僅顯示了兩層金屬跡線)。通孔將金屬跡線連接到波導和電晶體。金屬跡線使電子控制電路能夠控制Mach-Zehnder干涉儀的操作。
圖4是基於光子基板20(例如3x3光子基板)的示例計算系統400的截面圖。包括晶粒420、421和422的晶粒堆疊被安裝到位於光子基板20的左側的光子模塊。這些晶粒可以形成例如堆疊的記憶體單元。雷射晶粒430安裝在基板中間的光子模塊的一側,而晶粒431安裝在同一光子模塊的相對側。為了在相對的側面上支撐晶粒,光子模塊可以包括至少一個在向上方向發光的平面外耦合器和至少一個在向下方向發光的平面外耦合器。晶粒440和441並排安裝到同一光子模塊上。晶粒440和441可包括例如處理器或記憶體。如結合圖3D所描述的,光子模塊提供了用於將光信號從一個晶粒分配到另一晶粒的平台。
雷射晶粒430包括一個或多個雷射。雷射產生的光可以分佈在整個計算系統中,並且可以用作參考光,並用資料進行調變。雷射晶粒430可以包括III-V族雷射,例如基於InP的雷射。可以例如使用表面安裝技術將雷射晶粒430接合到光子基板。可以使用平面外耦合器將雷射晶粒430的雷射耦合到半導體基板。在一些具體實施例中,可以使用球透鏡將在平行於晶片表面的方向上發射的雷射射向平面外耦合器。
III-V族雷射到基於矽光子的晶圓的晶粒到晶圓接合的最新進展表明,此製程的良率可遠低於100%。為了解決這個問題,可以將兩個或多個雷射指向光子基板的相同輸入。一次只使用一個雷射,但是如果一個雷射發生故障,則可以打開另一個雷射並將其饋入光子基板。每個光子基板具有多個雷射,可以提高平台的可靠性,以免一個或多個雷射發生故障。
基於晶片的III-V雷射不是光通訊平台的唯一外部光源選項。可以使用光纖或使用諸如透鏡之類的自由空間光學元件,將其他雷射(例如基於半導體的雷射,例如光通訊中使用的雷射)耦合到平台中。在一些具體實施例中,可以使用1對N分離器來使單個雷射能夠向多個光子模塊提供光,從而減少雷射晶粒的數量。
一些應用可能需要多個雷射,並且可進一步要求由雷射發射的光信號是相互同調的(例如,時間同調的)。在一些這樣的具體實施例中,本文描述的類型的光通訊平台可以用於將一個或多個雷射的相位鎖定到單個主雷射。一個或多個光分配網路105可被配置為使得主雷射與從雷射之一混合,並且測量它們的拍頻干涉(beat interference)圖案。拍頻干涉圖案用作鎖定相位的誤差信號,使得整個系統是同調的。
在一些具體實施例中,可以使用以不同波長發射的雷射來支援波長多工方案。例如,波長分波多工(WDM)方案可用於增加每個波導的頻寬利用率。其他方案包括多模波導、分時多工和/或極化分集。這些技術使用相同的光路支持多個獨立的通訊通道。
在一些具體實施例中,可以使用除了雷射之外的適當光源,諸如LED或超發光二極體,來代替上述雷射。光源的選擇還受到光子通訊結構中波長的選擇的影響。如果結構打算使用可見光進行通訊,則應選擇光源以輸出適當波長的光。 IV.     光分配網路
可以使用光開關來實現光分配網路104。光開關的示例包括馬赫曾德爾(Mach-Zehnder)干涉儀、光諧振器、多模干涉(MMI)波導、陣列波導光柵(AWG)、熱光開關、聲光開關、磁光開關、MEMS光開關、非線性光開關、液晶開關、壓電束轉向開關、光柵開關、色散開關等等。
光分配網路104可以是靜態的或動態的(例如,可基於電或光控制信號重新配置)。靜態網路可以例如從同一輸入波導接收多個波長,並將每個波長路由到不同的輸出波導。另一個靜態網路可以從同一輸入波導接收兩個正交極化,並將每個極化路由到不同的輸出波導。另一個靜態網路可以從同一輸入多模波導接收多個模態,並將每個模態路由到不同的輸出波導。
可以根據計算系統的需求來重新配置動態光分配網路。圖5A示出了動態光分配網路的示例。在此示例中,光分配網路104包括3x1開關602、兩個1x2開關606和光耦合元件107。此光子模塊還包括波導111-114和平面外耦合器105,他們可以實現與雷射晶粒或其他晶粒的光通訊。開關602從波導111、波導113和平面外耦合器105中選擇一個作為輸入。第一開關604將從開關602接收的輸入路由到光耦合元件107或開關604。光耦合元件107將光功率引導至圖6所示的光子發射器。在一些具體實施例中,光學耦合元件107包括用於將光耦合到晶粒的平面外耦合器。第二開關604將從第一開關604接收的輸入路由到波導112或波導114。在一些具體實施例中,使用馬赫曾德爾干涉儀實現開關604。
圖5B示出了包括圖5A所示類型的光子模塊的3×3光子基板。在此示例中,位於光子基板西北角的光子晶片耦合到雷射600。在一些具體實施例中,雷射600形成在雷射晶粒上並且光學耦合到平面外耦合器105。在其他具體實施例中,雷射600被整合為此光子模塊的一部分(例如,被放置在穿過光子模塊的頂表面形成的溝槽中)。雷射600可以發射單個波長或多個波長。在一些具體實施例中,雷射600向整個光子基板提供光,儘管在其他具體實施例中,其他光子模塊也可以具有雷射。
圖5C和5D示出了光分配網路104的其他可能的實施方式。圖5C的例子已完全連接:光子模塊的所有邊界相互耦合。從邊界入射的光通過多個1x2開關604,開關604決定光是向左還是向右直行。在一些具體實施例中,可以針對每個光通道(例如每個波導模態、偏振或波長)執行路由。
然而,在一些具體實施例中,完全連接的路由拓撲可能不是必需的或不可行的。為了降低光分配網路的複雜性,可以將路由限制為較少的選項,如圖5D的示例所示(包括兩個1x2開關)。減少路由選項可減少每個光模塊的開關數量,從而降低功耗和通道串擾,並改善訊雜比(SNR)。但是,這些增益是以資料頻寬為代價的。 V.      光學互連結構
圖6A示出了可重配置的光子通訊結構的示例。此通訊結構包括數個開關,其中「2:2」表示2x2開關,「3:3」表示3x3開關。可以根據計算系統的需求來配置開關。收發器700包括光電轉換器和電光轉換器。在一些具體實施例中,收發器700體現圖5A的光耦合元件107。圖6B示出了收發器700的示例。為了清楚起見,僅示出了一對發送器/接收器,儘管對於耦合到收發器700的每個波導可能存在一對發送器/接收器。開關702在發送器(TX)704和接收器(RX)706之間進行仲裁。TX 704包括電光轉換器,例如光調變器。RX 706包括光電轉換器,例如光接收器。圖6C示出了3×3光子基板,其中每個節點包括圖6A的光子模塊。 VI.     電子開關網路
發明人已經認識到,將本文中描述的光子基板與晶粒(例如記憶體、處理器等)介接產生了兼容性問題。理想地,晶粒預先定義有控制電路與引腳,控制電路用於控制光分配網路的操作,引腳與光子基板的引腳完美對準。以這種方式,一旦晶粒和光子基板接合在一起,就固有地傾向於彼此連通。然而,這種方法可能是不切實際的,因為晶粒和光子基板通常由不同的實體製造。例如,美國的一個實體可以製造光子基板,而日本的另一實體可以製造晶粒。這種方法增加了實體製造晶粒以包括與光子基板兼容的控制電路和引腳的負擔,這會大大增加晶粒製造商的成本。認識到這個問題,發明人已開發了用作光子基板和晶粒之間的介面的電子開關網路。這些電子開關網路的排列使得晶粒不需要重新設計就可與光子基板兼容,從而節省了晶粒製造商的成本。本質上,電子開關網路定義了用於光子通訊平台的開關和控制協定。
一些電子開關網路與光子模塊共同製造。在一些具體實施例中,在其上形成有光子模塊的同一基板上形成電子開關網路。回到圖3I,例如,電子開關網路可以由電晶體380定義。在其他具體實施例中,光子模塊形成在第一基板上,電子開關網路形成在第二基板上,並且基板被接合(例如3D接合)在一起。不管其形成方式如何,都可以使用電子開關網路對光分配網路104進行編程。電子開關網路的使用使得能夠以最少的介面電路系統設計晶粒,從而降低了晶粒製造商為使其晶粒與光子基板兼容而必須承擔的成本。
圖7A示出了與電子開關網路共同整合(例如接合,或直接在同一基板上形成)的光子基板。此光子基板包括以2x3配置排列的六個光子模塊。如上所述,每個光子模塊都包括一個光分配網路104。電子開關網路包括多個控制器740、類比數位轉換器(DAC)750、記憶體742和除錯單元744。每個控制器740透過DAC 750控制光分配網路。控制器740可控制光分配網路的開關的狀態,以根據需要動態地重新配置光鏈路。再次參考圖6C的示例,控制器740可以用於控制2x2開關和3x3開關的狀態。
電子開關網路可以基於存儲在記憶體742中的資料對光分配網路進行編程。例如,記憶體可以存儲指令,這些指令在被執行時使光分配網路執行預定的切換序列。或者,記憶體可以存儲指令,這些指令在被執行時使光分配網路基於特定應用的需求動態地優化光鏈路。在一些具體實施例中,電子開關網路可以監視光鏈路的使用,並且可以決定正在使用哪些光鏈路以及哪些光鏈路可用,或者哪些光鏈路可以提供超過閾值頻寬的頻寬。電子開關網路可以使用此資訊來決定如何將光鏈路分配給特定的資料串流。這在連接例如數百個記憶體晶粒和處理器的光子通訊平台中尤其有用。這樣的光子通訊平台可以依靠電子開關網路,來決定允許特定處理器晶粒存取來自特定記憶體晶粒的資訊的最佳光路。在一些具體實施例中,可以使用機器學習演算法來識別最佳光學鏈路。
在一些具體實施例中,電子開關網路被配置為執行以下步驟。在第一時間,電子開關網路對光子基板的光開關進行編程,以形成將複數個晶粒的第一子集耦合在一起的第一光通訊路徑(例如,將第一晶粒耦合到第二晶粒)。在第一時間對光開關進行編程可以涉及改變一些開關(例如開關的第一子集)的狀態而不改變其他開關的狀態,或者可以涉及改變所有開關的狀態。在第一時間之後的第二時間,電子開關網路對光開關進行編程以形成第二光通訊路徑,第二光通訊路徑將複數個晶粒的第二子集耦合在一起(例如第三晶粒到第一晶粒,或第三晶粒到第四晶粒)。電子開關網路可以根據需要繼續對光開關進行重新編程。在第二時間對光開關進行編程可以涉及改變一些開關(例如開關的第一子集,或開關的第二子集)的狀態而不改變其他開關的狀態,或者可以涉及改變所有開關的狀態。改變開關的狀態可以涉及以下任何操作:將開關的輸出從斷開狀態改變為導通狀態,將開關的輸出從斷開狀態改變為部分導通狀態(例如一種狀態,其中開關將一部分輸入功率傳遞到輸出,且將一部分輸入功率傳遞給一個或多個其他輸出),將開關的輸出從導通狀態更改為部分導通狀態,將開關的輸出從導通狀態改變為斷開狀態,選擇先前未選擇的開關的一個或多個輸入,和/或取消選擇先前選擇的開關的一個或多個輸入。
在圖7B的示例中,電子開關網路已經對光子基板進行編程以形成從第一晶粒到第二晶粒的光路。隨後,如圖7C所示,電子開關網路已經對光子基板進行了重新編程以形成從第三晶粒到第一晶粒的光路。在一些具體實施例中,電子開關網路可以利用波長分波多工和/或分時多工來對光分配網路進行編程。
節點之間的典型連接可以由電子開關網路上的請求訊息開始。可以透過電子開關的網格來仲裁此請求以建立連接。在每個網路躍點上,一旦電子請求贏得仲裁,就建立了光鏈路。一旦在來源和目的地之間完全建立了連接,就向電子網路上的請求者傳回應答,並且在光鏈路上進行資料傳輸。
控制器740可以使用除錯單元744來對金屬跡線、觸點、引腳、焊盤等進行測試、診斷和故障隔離。在一些具體實施例中,使用聯合測試行動小組(JTAG)行業標準來實現除錯單元。在一些具體實施例中,製造缺陷可能導致通訊通道不起作用。可以包括冗餘連接(光學和/或電性)並將其映射為替換品,因此不需要丟棄具有少量缺陷的平台。
以動態方式動態地重新配置光子通訊平台的能力,允許電子開關網路以相對較低的頻寬工作,從而導致相對較低的功耗。當在切換事件之間通過光子模塊承載的資料量相對較大時,低功耗特別有利。在一些具體實施例中,低功率打開了可以監視訓練或重新配置序列的「始終在線」操作的機會。
在一些具體實施例中,跨多個光子模塊傳播的光信號的功率和傳真度,可以取決於信號所穿過的波導交叉的數量。因此,光信號傳播的距離越遠、功耗越大,則傳真度越低。認識到這個問題,發明人已開發出可以根據信號應該越過的光子模塊邊界的數量或預期的光損耗,或者光路的任何其他特徵,來自適應地選擇具有更大或更小的字母(每個符號較多或較少的位元數)的編碼方案的配置。例如,當僅預期少數交叉或少量損耗時,可以使用具有較大字母的編碼方案。這樣的編碼方案的示例包括使用16點(16-QAM)、64點(64-QAM)或256點(256-QAM)星座的正交幅度調變(QAM)和脈衝幅度調變(PAM)(具有許多層級,例如PAM-16或PAM-32)。反之,當預期有許多交叉或大量損耗時,可以使用字母較小的編碼方案。這樣的編碼方案的示例包括具有很少層級的二進制相移鍵控(BPSK)或PAM,例如PAM-2或PAM-4。在一些具體實施例中,可以根據改變的通訊模式或取決於信號應該越過的光子模塊邊界的數量,來設置光路的頻寬。
電子開關網路的時控可以使用單個分佈式時脈來完成。可以在光子域中調整時脈相位,以在每個接收器處實現極低的抖動(jitter)。這避免了對嵌入式時脈的需求,因此可能不需要對資料進行編碼。非編碼資料可以增加頻寬,減少等待時間並降低功耗。 VII.    基於光子通訊平台的計算系統
可以形成利用本文描述的光子通訊平台的計算系統。與電分配網路不同,這些光通訊平台可以將同一訊息的副本同時提供給多個位置,而不會由於寄生阻抗而引起問題。此特性允許光分配網路形成多播和/或廣播通訊方案。可以將光分配網路動態地重新配置為將訊息路由到單個節點或多個節點。利用廣播和/或多播功能,一些具體實施例能夠直接使用光通訊平台來執行MapReduce操作。
這些類型的計算系統可用於多種應用,包括例如高效能計算、神經網路、機器學習和深度學習網路、圖形渲染、大規模可視化、遊戲、高頻交易和視訊串流等等。
圖8A示出了示例計算系統800。此計算系統形成在4x4光子基板上。當然,其他尺寸和拓撲也是可能的。在此示例中,有四個處理器晶粒704位於光子基板的中間,並且十二個記憶體晶粒702圍繞處理器晶粒。每個晶粒都安裝在光子模塊上。每個晶粒使用例如平面外耦合器(例如,如圖3B或圖3C所示)與相應的光子模塊通訊。其他具體實施例可以包括不同數量的處理器和不同數量的記憶體,和/或可包括其他類型的晶粒,包括例如類比加速器、光子加速器、光子記憶體、網路晶片等等。在一些具體實施例中,計算系統800被設置在中介層上,並且可以使用矽通孔與中介層進行通訊。
圖8A的底部插圖更詳細地示出了光子模塊722。可以使用(或包括)以上描述的任何光子模塊來實現光子模塊722。例如,光子模塊722包括光分配網路104。光子模塊722可進一步包括允許與相鄰的光子模塊進行光通訊的波導(圖8A中未示出)(例如參見圖3A的波導111-114)。光子模塊722還包括至少一個光纖耦合器710,其可包括邊緣耦合器和/或平面外耦合器。光纖耦合器710可以耦合到光纖的末端,從而使得能夠與其他系統通訊。邊緣耦合器實現了光子模塊平面內的光耦合。邊緣耦合器的例子包括錐形波導、V形槽和U形槽。在一些具體實施例中,邊緣耦合器僅包括光子基板的邊緣處的波導的端部。相比之下,平面外耦合器(例如光柵耦合器和稜鏡)實現了光子晶片平面外部的光學耦合。佈置此特定的計算系統,使得最下面的光子模塊連接到光纖(如標籤「光纖通道」所示)。光子模塊722可進一步包括一個或多個平面外耦合器(圖8A中未示出),使得能夠與安裝在光子模塊上的晶粒進行光通訊(例如,參見圖3A的平面外耦合器105)。
圖8A的頂部插圖更詳細地示出了記憶體晶粒702。記憶體晶粒702包括記憶體方塊720,其包括數個記憶體單元(例如,諸如NAND、DRAM、SRAM、HBM等的固態記憶體)。記憶體晶粒702還包括通訊方塊724,通訊方塊724可包括用於與安裝有記憶體晶粒的光子模塊通訊的光學部件。例如,通訊方塊724可以包括與光子模塊的平面外耦合器耦合的平面外耦合器。記憶體晶粒702進一步包括串行器/解串器(SERDES)方塊722。SERDES方塊722將資料從串列轉換為並列,反之亦然。在此特定實施方案中,SERDES方塊位於記憶體晶粒702的外邊緣附近,並且記憶體方塊720位於記憶體晶粒的中間。當然,其他佈置也是可能的。儘管圖8A未詳細示出處理器晶粒704,但是處理器晶粒還可包括與各個光子模塊的平面外耦合器耦合的平面外耦合器。
結合圖8A描述的計算系統可以用作獨立的計算系統,或者可以與其他計算系統結合使用。計算系統的組合在本文中稱為多節點計算系統。圖8B示出了包括四個計算系統800的多節點計算系統的示例。其他多節點計算系統可以包括許多計算系統,例如以數十或數百個單元或更多為單位。光纖712用於使計算系統彼此通訊。光纖的每一端耦合到計算系統800的光纖耦合器710。在此示例中,多節點計算系統的計算系統共享相同的佈局(相同數量的光子模塊、處理器晶粒和記憶體晶粒)。然而,並非所有具體實施例都受限於此態樣。在一些具體實施例中,許多多節點計算系統之間的通訊可以藉由使用矽中介層以電子方式完成。如果計算系統彼此相鄰放置或相距幾公分以減少電容和其他寄生電阻,則此通訊策略可能會消耗相當少量的功率。
發明人進一步認識到,本文描述的類型的光學模塊可以用作收發器,使得能夠從計算系統到另一計算系統或者從電腦系統到主機進行通訊。此收發器可以是光學的或電子的。示例性光學介面包括藉由光纖的板對板通訊或利用更高級別協定(例如乙太網路或Infiniband)的遠端機架對機架通訊。主機系統的電子介面包括基於SERDES的標準,例如PCI Express。外部I/O模塊可以管理主機和本端系統之間的通訊。這包括直接記憶體存取卸載功能,可實現遠程和本端內存之間的高速資料移動。外部I/O模塊可以進一步提供用於管理、校準、引導以及可靠性和可維護性(RAS)的本端介面。
本文所述類型的光通訊平台可以提供分層的網路堆疊。一個示例堆疊的配置如下。物理層包括光互連,光互連包括光電轉換器、電光轉換器和光分配網路。資料鏈路層包括允許模塊之間連接的電子開關網路。在一些具體實施例中,網路節點之間的通訊可以以電子開關網路上的請求訊息開始。資料鏈路層處理此請求,並藉由電子開關的網格進行仲裁以建立連接。在每個光子模塊邊界處,如果請求贏得仲裁,則形成一條光鏈路。一旦在來源和目的地之間完全建立了連接,就向電子開關網路上的請求者傳回應答,並且在光子模塊上進行資料傳輸。傳輸層涉及封裝、資料完整性和緩衝區分配。傳輸層使用封裝在資料鏈路層上實現上層協定。可以使用緩衝信用來實現流控制。如果可靠性指示對物理層的錯誤進行額外的保護,則可以使用資料完整性,包括循環冗餘校驗(CRC)(例如,重發)和/或前向糾錯(FEC)方案。
在已說明了本申請案的數個態樣與說明性具體實施例之後,應理解到,在本發明所屬技術領域中具有通常知識者將輕易思及各種變異、修改與改良。此種變異、修改與改良意為在本申請案所述計數的精神與範圍之內。因此,應該理解,前述具體實施例僅作為示例呈現,並且在所附申請專利範圍及其均等範圍內,本發明的具體實施例可以由不同於具體描述的方式來實施。此外,如果本文所述的這些特徵、系統、物品、材料、及(或)方法不相互矛盾,則這些兩個或更多個特徵、系統、物品、材料、及(或)方法的任何組合都包括在本揭示內容的範圍內。
而且,如所描述的,一些態樣可以體現為一種或多種方法。作為方法部分所執行的步驟,可由任何適合的方式排序。因此,可建置其中以不同於所描述的順序執行步驟的具體實施例,其可包括同時執行某些動作,即使在說明性具體實施例中被描述為循序動作。
如本文定義和使用的所有定義,應理解為控制字典定義,藉由引用併入的文獻中的定義,及(或)定義的術語的普通含義。如本文定義和使用的所有定義,應理解為控制字典定義,藉由引用併入的文獻中的定義,及(或)定義的術語的普通含義。
除非明確相反指出,否則本說明書和申請專利範圍中使用的不定冠詞「一(a)」和「一(an)」應理解為表示「至少一個」。
本說明書和申請專利範圍中使用的用語「及(或)」,應理解為表示如此結合的元件中的「一個或兩個」,即在某些情況下為結合存在而在其他情況下為分離存在的元件。
如本說明書和申請專利範圍中所使用的,關於一個或多個要素的列表的用詞「至少一個」,應理解為表示選自要素列表中的任何一個或多個要素中的至少一個要素,但不一定包括元素列表中具體列出的每個元素中的至少一個元素,並且不排除元素列表中元素的任何組合。此定義還允許除了在用詞「至少一個」所指的元素列表內具體標識的元素之外,可選地存在元素,無論是與具體標識的那些元素相關還是不相關。
用詞「約」與「大約」,在一些具體實施例中可用於表示目標值的正負20%,在一些具體實施例中可用於表示目標值的正負10%,在一些具體實施例中可用於表示目標值的正負5%,且在一些具體實施例中可用於表示目標值的正負2%。用詞「大約」和「約」可包括目標值。
1:邊界 2:邊界 3:邊界 4:邊界 10:計算系統 20:光子基板 22:光子模塊 30:處理器晶片 32:記憶體晶片 34:堆疊記憶體 100:晶圓 104:光分配網路 105:平面外(out-of-plane)耦合器 107:光耦合元件 111:波導 112:波導 113:波導 114:波導 117:波導 125:矽通孔 152:平面外耦合器 200:光罩組 201:光罩 202:光罩 203:光罩 300:光子橋晶粒 302:處理器晶粒 304:記憶體晶粒 317:波導 320:晶粒 322:控制器 324:電連接 351:平面外耦合器 352:平面外耦合器 354:光波導 370:波導 371:波導 380:電晶體方塊 400:計算系統 420:晶粒 421:晶粒 422:晶粒 430:雷射晶粒 431:晶粒 440:晶粒 441:晶粒 600:雷射 602:3x1開關 604:第一開關 606:1x2開關 700:收發器 702:開關 704:發送器(TX) 706:接收器(RX) 710:光纖耦合器 712:光纖 720:記憶體方塊 722:串行器/解串器(SERDES)方塊 724:通訊方塊 740:控制器 742:記憶體 744:除錯單元 750:類比數位轉換器(DAC)750
將參考以下附圖描述本申請的各個態樣和具體實施例。應該理解的是,附圖不一定按比例繪製。出現在多個圖中的項目在它們出現的圖式中用相同的附圖標記表示。
圖1示出了根據一些具體實施例的基於光子通訊平台的計算系統。
圖2A示出了根據一些具體實施例的半導體晶圓。
圖2B示出了根據一些具體實施例的一組光罩。
圖2C示出了根據一些具體實施例的用於形成光波導的示例性光罩。
圖2D示出了根據一些具體實施例的根據圖2B的光罩組圖案化的圖2A的晶圓。
圖2E標識根據一些具體實施例的形成在圖2D的圖案化晶圓上的光子基板。
圖3A示出了根據一些具體實施例的圖2E的圖案化晶片的示例性光子模塊。
圖3B示出了根據一些具體實施例的光子模塊與晶粒的光學平面外耦合(out-of-plane coupling)。
圖3C示出了根據一些具體實施例的光子通訊結構之間的光學平面外耦合。
圖3D示出了根據一些具體實施例的圖3A所示類型的一組光子模塊。
圖3E示出了根據一些具體實施例的在一對相鄰的光子模塊之間的邊界處的波導佈置。
圖3F示出了根據一些具體實施例的在一對相鄰的光子模塊之間的邊界處的波導佈置。
圖3G示出了根據一些具體實施例的在一對相鄰的光子模塊之間的邊界處的波導佈置。
圖3H示出了根據一些具體實施例的共享相同金屬跡線圖案的一組光子模塊。
圖3I示出了根據一些具體實施例的光子基板的橫截面。
圖4示出了根據一些具體實施例的光子系統,系統包括安裝至光子基板的晶粒。
圖5A示出了根據一些具體實施例的示例性光分配網路。
圖5B示出了根據一些具體實施例的複數個光子模塊,每個光子模塊包括圖5A的光分配網路。
圖5C示出了根據一些具體實施例的另一示例性光分配網路。
圖5D示出了根據一些具體實施例的另一示例性光分配網路。
圖6A示出了根據一些具體實施例的包括收發器和複數個開關的光子模塊。
圖6B示出了根據一些具體實施例的圖6A的收發器,並更詳細地示出其細節。
圖6C示出了根據一些具體實施例的圖6A所示類型的複數個光子模塊。
圖7A示出了根據一些具體實施例的包括電子切換網路的光子通訊平台。
圖7B示出了根據一些具體實施例的圖7A的光子通訊平台,光子通訊平台被控制為形成第一光路。
圖7C示出了根據一些具體實施例的圖7A的光子通訊平台,光子通訊平台被控制為形成第二光路。
圖8A示出了根據一些具體實施例的基於光子通訊平台的計算系統。
圖8B示出了根據一些具體實施例的包括複數個圖8A中示出的類型的計算系統的多節點計算系統。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
10:計算系統
20:光子基板
22:光子模塊
30:處理器晶片
32:記憶體晶片
34:堆疊記憶體

Claims (47)

  1. 一種光子系統,包括: 複數個光子模塊,該複數個光子模塊包含根據至少一個共同光罩來圖案化的至少第一與第二光子模塊,該等第一與第二光子模塊中的每一個包含: 第一和第二邊界; 一光分配網路; 一第一光波導,該第一光波導將該光分配網路光學耦合到該複數個光子模塊中的一第一相鄰光子模塊,該第一相鄰光子模塊與該第一邊界相鄰;以及 一第二光波導,該第二光波導將該光分配網路光學耦合到該複數個光子模塊中的一第二相鄰光子模塊,該第二相鄰光子模塊與該第二邊界相鄰。
  2. 如請求項1所述之光子系統,其中該等第一至第二邊界彼此相對。
  3. 如請求項1所述之光子系統,其中該等第一至第二光波導被根據該至少一個共同光罩來圖案化。
  4. 如請求項1所述之光子系統,其中該等第一與第二光子模塊中的每一個進一步包含一平面外光耦合器,該平面外光耦合器光學耦合到該光分配網路。
  5. 如請求項1所述之光子系統,其中該光分配網路被配置為選擇性地使該第一相鄰光子模塊與該第二相鄰光子模塊進行光通訊。
  6. 如請求項1所述之光子系統,其中該等第一和第二光子模塊中的每一個被根據一共同光罩組來圖案化,其中該至少一個共同光罩是該共同光罩組的一部分。
  7. 如請求項1所述之光子系統,其中該等第一和第二光子模塊中的每一個進一步包括: 第三和第四邊界,其中該等第一和第二邊界彼此相對,並且該等第三和第四邊界彼此相對; 一第三光波導,該第三光波導將該光分配網路光學耦合到該複數個光子模塊中的一第三相鄰光子模塊,該第三相鄰光子模塊與該第三邊界相鄰;以及 一第四光波導,該第四光波導將該光分配網路光學耦合到該複數個光子模塊中的一第四相鄰光子模塊,該第四相鄰光子模塊與該第四邊界相鄰。
  8. 如請求項7所述之光子系統,其中該光分配網路被配置為選擇性地使該第一相鄰光子模塊與該第二相鄰光子模塊或該第三相鄰光子模塊進行光通訊。
  9. 如請求項1所述之光子系統,其中該光分配網路包括複數個光開關。
  10. 如請求項1所述之光子系統,其中該等第一和第二光子模塊彼此相鄰,使得該第二光子模塊是該第一光子模塊的該第一相鄰光子模塊。
  11. 一種用於製造一半導體晶圓的方法,包括以下步驟: 使用至少一個共同光罩對該半導體晶圓上的複數個光子模塊中的至少一些中的每一個進行圖案化,其中對該複數個光子模塊中的至少一些中的每一個進行圖案化之步驟包括以下步驟: 對一光分配網路進行圖案化; 對一第一光波導進行圖案化,該第一光波導將該光分配網路光學耦合到該複數個光子模塊中的一第一相鄰光子模塊,該第一相鄰光子模塊與該光子模組的一第一邊界相鄰;以及 對一第二光波導進行圖案化,該第二光波導將該光分配網絡光學耦合到該複數個光子模塊中的一第二相鄰光子模塊,該第二相鄰光子模塊與該光子模組的一第二邊界相鄰。
  12. 如請求項11所述之方法,其中對該複數個光子模塊中的至少一些中的每一個進行圖案化之步驟包括以下步驟:使用該至少一個共同光罩來圖案化該等第一與第二光波導。
  13. 如請求項11所述之方法,該方法進一步包含以下步驟:切割該半導體晶圓以獲得一光子基板,該光子基板包含: 該複數個光子模塊中的一第一光子模塊; 一第一相鄰光子模塊,該第一相鄰光子模塊與該第一光子模塊的該第一邊界相鄰;和 一第二相鄰光子模塊,該第二相鄰光子模塊與該第一光子模塊的該第二邊界相鄰。
  14. 如請求項13所述之方法,其中該第一光子模組的該等第一至第二邊界彼此相對。
  15. 如請求項11所述之方法,其中圖案化該複數個光子模塊中的至少一些光子模塊中的每一個之步驟進一步包括以下步驟: 對一第三光波導進行圖案化,該第三光波導將該光分配網路光學耦合到該複數個光子模塊中的一第三相鄰光子模塊,該第三相鄰光子模塊與該光子模塊的一第三邊界相鄰;以及 對一第四光波導進行圖案化,該第四光波導將該光分配網路光學耦合到該複數個光子模塊中的一第四相鄰光子模塊,該第四相鄰光子模塊與該光子模塊的一第四邊界相鄰, 其中該等第一和第二邊界彼此相對,並且該等第三和第四邊界彼此相對。
  16. 如請求項11所述之方法,其中圖案化該複數個光子模塊中的至少一些光子模塊中的每一個之步驟進一步包括以下步驟: 結合該至少一個共同光罩使用一第一光刻照射來圖案化一第一光子模塊;和 在該第一光刻照射之後,結合該至少一個共同光罩使用一第二光刻照射來圖案化一第二光子模塊。
  17. 一種計算系統,包含: 圖案化有複數個光子模塊的一光子基板,該複數個光子模塊包括至少第一和第二光子模塊,該等第一和第二光子模塊中的每一個根據至少一個共同光罩被圖案化,其中該第一光子模塊光學耦合到該第二光子模塊; 一第一晶粒,該第一晶粒與該第一光子模塊通訊;和 一第二晶粒,該第二晶粒與該第二光子模塊通訊。
  18. 如請求項17所述之計算系統,其中該第一晶粒包括一處理器,並且該第二晶粒包括一記憶體。
  19. 如請求項17所述之計算系統,該計算系統進一步包含耦合到該光子基板的一雷射晶粒。
  20. 如請求項17所述之計算系統,其中該等第一和第二光子模塊中的每一個包括: 第一和第二邊界; 一光分配網路; 一第一光波導,該第一光波導將該光分配網路光學耦合到該複數個光子模塊中的一第一相鄰光子模塊,該第一相鄰光子模塊與該第一邊界相鄰;以及 一第二光波導,該第二光波導將該光分配網路光學耦合到該複數個光子模塊中的一第二相鄰光子模塊,該第二相鄰光子模塊與該第二邊界相鄰。
  21. 如請求項20所述之計算系統,其中該等第一至第二邊界彼此相對。
  22. 如請求項20所述之計算系統,其中該等第一至第二光波導被根據該至少一個共同光罩來圖案化。
  23. 如請求項17所述之計算系統,其中該等第一和第二光子模塊中的每一個包括一平面外光耦合器,其中: 該第一晶粒光學耦合到該第一光子模塊的該平面外光耦合器,以及 該第二晶粒光學耦合到該第二光子模塊的該平面外光耦合器。
  24. 如請求項17所述之計算系統,其中該第一晶粒耦合到該光子基板的一第一側,並且該第二晶粒耦合到該光子基板的與該第一側相對的一第二側。
  25. 如請求項17所述之計算系統,該計算系統進一步包含堆疊在該第一晶粒的頂部上的一第三晶粒。
  26. 如請求項17所述之計算系統,其中該等第一和第二光子模塊共享一邊界,使得該第一光子模塊與該第二光子模塊相鄰。
  27. 如請求項17所述之計算系統,其中: 該第一晶粒安裝在該第一光子模塊的上方或下方;和 該第二晶粒安裝在該第二光子模塊上方或下方。
  28. 如請求項17所述之計算系統,其中: 該第一晶粒與該第一光子模塊電子通訊,並且 該第二晶粒與該第二光子模塊電子通訊。
  29. 一種多節點計算系統,包含: 複數個計算系統,該複數個計算系統包含至少第一與第二計算節點,該第一與第二計算節點中的每一個包含: 圖案化有複數個光子模塊的一光子基板,該複數個光子模塊包括至少第一和第二光子模塊,該等第一和第二光子模塊中的每一個根據至少一個共同光罩被圖案化,其中該第一光子模塊光學耦合到該第二光子模塊; 一第一晶粒,該第一晶粒與該第一光子模塊通訊; 一第二晶粒,該第二晶粒與該第二光子模塊通訊;以及 一光纖,該光纖將該等第一和第二計算系統彼此連接。
  30. 如請求項29所述之多節點計算系統,其中該等第一和第二計算系統中的每一個進一步包括一光纖耦合器,其中該光纖將該等第一和第二計算系統的各個光纖耦合器彼此光學耦合。
  31. 如請求項29所述之多節點計算系統,其中該第一晶粒包括一處理器,並且該第二晶粒包括一記憶體。
  32. 如請求項29所述之多節點計算系統,其中該等第一和第二計算系統中的每一個進一步包括耦合至該光子基板的一雷射。
  33. 如請求項29所述之多節點計算系統,其中該光子基板的該等第一和第二光子模塊中的每一個包括: 第一和第二邊界; 一光分配網路; 一第一光波導,該第一光波導將該光分配網路光學耦合到該複數個光子模塊中的一第一相鄰光子模塊,該第一相鄰光子模塊與該第一邊界相鄰;以及 一第二光波導,該第二光波導將該光分配網路光學耦合到該複數個光子模塊中的一第二相鄰光子模塊,該第二相鄰光子模塊與該第二邊界相鄰。
  34. 如請求項33所述之多節點計算系統,其中該等第一至第二光波導被根據該至少一個共同光罩來圖案化。
  35. 如請求項29所述之多節點計算系統,其中該等第一和第二光子模塊中的每一個包括一平面外光耦合器,其中: 該第一晶粒光學耦合到該第一光子模塊的該平面外光耦合器,以及 該第二晶粒光學耦合到該第二光子模塊的該平面外光耦合器。
  36. 如請求項29所述之多節點計算系統,其中該第一晶粒耦合到該光子基板的一第一側,並且該第二晶粒耦合到該光子基板的與該第一側相對的一第二側。
  37. 如請求項29所述之多節點計算系統,該多節點計算系統進一步包含堆疊在該第一晶粒的頂部上的一第三晶粒。
  38. 如請求項29所述之多節點計算系統,其中該等第一和第二光子模塊共享一邊界,使得該第一光子模塊與該第二光子模塊相鄰。
  39. 如請求項29所述之多節點計算系統,其中: 該第一晶粒安裝在該第一光子模塊的上方或下方;和 該第二晶粒安裝在該第二光子模塊上方或下方。
  40. 一種光子通訊平台,包括: 一光子網路,該光子網路包括形成在一半導體基板上的複數個光開關; 與該光子網路通訊的複數個晶粒; 一電子開關網路,包括與該複數個光開關共同整合的複數個電晶體,該電子開關網路被配置為: 在一第一時間,對該等光開關進行編程,以形成將該複數個晶粒的一第一子集耦合在一起的一第一光通訊路徑,以及 在該第一時間之後的一第二時間,對該等光開關進行編程以形成一第二光通訊路徑,該第二光通訊路徑將該複數個晶粒的一第二子集耦合在一起,該第二光通訊路徑與該第一光通訊路徑相異。
  41. 如請求項40所述之光子通訊平台,其中該複數個電晶體形成在該半導體基板上。
  42. 如請求項40所述之光子通訊平台,其中該半導體基板為一第一半導體基板,且其中該複數個電晶體形成在一第二半導體基板上,其中該等第一與第二半導體基板被3D接合在一起。
  43. 如請求項40所述之光子通訊平台,其中對該等光開關進行編程以形成一第一光通訊路徑包括: 識別將複數個晶粒的第一子集耦合在一起的一光通訊路徑;和 基於識別出的該光通訊路徑對該等光開關進行編程。
  44. 如請求項43所述之光子通訊平台,其中識別將該複數個晶粒的第一子集耦合在一起的一光通訊路徑包括:監視該光子網路的一使用。
  45. 如請求項40所述之光子通訊平台,其中該電子開關網路進一步被配置為: 決定在該第一光通訊路徑上的一光信號的至少一個特性; 基於該光信號的該至少一個特性來識別一編碼方案;和 基於該編碼方案,使該光子網路在該第一光通訊路徑上進行光通訊。
  46. 如請求項40所述之光子通訊平台,其中該複數個晶粒與該光子網路電子通訊。
  47. 如請求項40所述之光子通訊平台,其中該電子開關網路進一步被配置為使該光子網路使用波長分波多工在該第一光通訊路徑上進行光通訊。
TW109107465A 2019-03-06 2020-03-06 光子通訊平台 TWI822972B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962814444P 2019-03-06 2019-03-06
US62/814,444 2019-03-06
US201962923889P 2019-10-21 2019-10-21
US62/923,889 2019-10-21
US202062961448P 2020-01-15 2020-01-15
US62/961,448 2020-01-15

Publications (2)

Publication Number Publication Date
TW202105065A true TW202105065A (zh) 2021-02-01
TWI822972B TWI822972B (zh) 2023-11-21

Family

ID=72336351

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112140737A TW202409745A (zh) 2019-03-06 2020-03-06 光子通訊平台
TW109107465A TWI822972B (zh) 2019-03-06 2020-03-06 光子通訊平台

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112140737A TW202409745A (zh) 2019-03-06 2020-03-06 光子通訊平台

Country Status (9)

Country Link
US (8) US11036002B2 (zh)
EP (1) EP3935763A4 (zh)
JP (1) JP2022523995A (zh)
KR (1) KR20220004966A (zh)
CN (1) CN113853753A (zh)
CA (1) CA3131615A1 (zh)
SG (1) SG11202108868TA (zh)
TW (2) TW202409745A (zh)
WO (1) WO2020181097A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3518280B1 (en) * 2018-01-25 2020-11-04 Murata Manufacturing Co., Ltd. Electronic product having embedded porous dielectric and method of manufacture
CA3100481A1 (en) 2018-05-17 2019-11-21 Lightmatter, Inc. Optically interfaced stacked memories and related methods and systems
CN113853753A (zh) * 2019-03-06 2021-12-28 轻物质公司 光子通信平台
US20210096311A1 (en) * 2019-09-27 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
US11947164B2 (en) 2020-02-03 2024-04-02 Lightmatter, Inc. Photonic wafer communication systems and related packages
TW202209323A (zh) * 2020-02-14 2022-03-01 美商爾雅實驗室公司 藉由單體式封裝光學i/o實施的遠端記憶體架構
US11490177B1 (en) * 2020-06-05 2022-11-01 Luminous Computing, Inc. Optical link system and method for computation
WO2022032105A1 (en) 2020-08-06 2022-02-10 Inorganic Intelligence, Inc. Coherent photonic computing architectures
US20220199600A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Optical multichip package with multiple system-on-chip dies
WO2022256904A1 (en) * 2021-06-11 2022-12-15 Huawei Technologies Canada Co., Ltd. Photonic computation device using contra-directional coupler
WO2023023106A2 (en) 2021-08-18 2023-02-23 Lyte Technologies Inc. Optical transceiver arrays
US20230085268A1 (en) * 2021-09-13 2023-03-16 Lightmatter, Inc. Yield enhancement techniques for photonic communications platform
WO2023064337A1 (en) * 2021-10-13 2023-04-20 Lightmatter, Inc. Multi-tenant isolation on a multi-reticle photonic communication platform
TW202401062A (zh) 2022-03-18 2024-01-01 美商天聖Ai公司 光學多晶粒互連橋接(omib)
WO2023224109A1 (ja) * 2022-05-20 2023-11-23 アトナープ株式会社 光処理システム
US20240045464A1 (en) 2022-08-04 2024-02-08 Lightmatter, Inc. Optical computing system with disaggregated memory
WO2024112869A1 (en) * 2022-11-25 2024-05-30 Lightmatter, Inc. Photonic programmable interconnect configurations

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0547262A1 (en) * 1991-12-18 1993-06-23 International Business Machines Corporation Modular photonic waveguide distribution system
US5771323A (en) 1996-08-28 1998-06-23 Hewlett-Packard Company Micro-photonics module
US5930429A (en) 1997-07-01 1999-07-27 Hewlett-Packard Company Micro-photonics module integrated on a single substrate
US6202165B1 (en) 1998-07-23 2001-03-13 Conexant Systems, Inc. Photonic clock distribution method and apparatus for electronic systems
US6684007B2 (en) 1998-10-09 2004-01-27 Fujitsu Limited Optical coupling structures and the fabrication processes
US6650803B1 (en) 1999-11-02 2003-11-18 Xros, Inc. Method and apparatus for optical to electrical to optical conversion in an optical cross-connect switch
US6477285B1 (en) * 2000-06-30 2002-11-05 Motorola, Inc. Integrated circuits with optical signal propagation
US7941056B2 (en) 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
US20080044128A1 (en) 2001-10-09 2008-02-21 Infinera Corporation TRANSMITTER PHOTONIC INTEGRATED CIRCUITS (TxPICs) AND OPTICAL TRANSPORT NETWORK SYSTEM EMPLOYING TxPICs
US7251386B1 (en) * 2004-01-14 2007-07-31 Luxtera, Inc Integrated photonic-electronic circuits and systems
JP3987500B2 (ja) 2004-02-17 2007-10-10 浜松ホトニクス株式会社 光配線基板および光配線基板の製造方法
US7894699B2 (en) 2006-10-16 2011-02-22 Hewlett-Packard Development Company, L.P. Photonic based interconnects for interconnecting multiple integrated circuits
JP4825739B2 (ja) 2007-06-22 2011-11-30 株式会社日立製作所 光電気混載基板と光電気パッケージとの構造体
US7898885B2 (en) 2007-07-19 2011-03-01 Micron Technology, Inc. Analog sensing of memory cells in a solid state memory device
US8346087B2 (en) * 2007-09-28 2013-01-01 Oracle America, Inc. Wavelength-division multiplexing for use in multi-chip systems
US8064739B2 (en) 2007-10-23 2011-11-22 Hewlett-Packard Development Company, L.P. Three-dimensional die stacks with inter-device and intra-device optical interconnect
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
US9869836B2 (en) 2008-01-30 2018-01-16 Hewlett Packard Enterprise Development Lp Optical interconnects
US8831437B2 (en) 2009-09-04 2014-09-09 Luxtera, Inc. Method and system for a photonic interposer
ES2939310T3 (es) 2008-10-27 2023-04-20 Genalyte Inc Biosensores basados en sondeo y detección ópticos
US8450186B2 (en) 2009-09-25 2013-05-28 Intel Corporation Optical modulator utilizing wafer bonding technology
US8862178B2 (en) 2010-02-24 2014-10-14 Qualcomm Incorporated Methods and systems for managing participation in multiple wireless networks
WO2011143548A2 (en) 2010-05-14 2011-11-17 Cornell University Electro-optic modulator structures, related methods and applications
WO2012049273A1 (en) 2010-10-14 2012-04-19 Rwth Aachen Laser to chip coupler
WO2012072726A1 (en) 2010-12-01 2012-06-07 Stmicroelectronics S.R.L. Integrated driver and related method
US8222084B2 (en) 2010-12-08 2012-07-17 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding
JP5897414B2 (ja) 2011-08-23 2016-03-30 日本オクラロ株式会社 光デバイスの製造方法
WO2013064592A2 (en) 2011-11-04 2013-05-10 Technische Universiteit Eindhoven Wafer scale technique for interconnecting vertically stacked dies
DE112011105905B4 (de) 2011-12-02 2016-10-06 Intel Corporation Speichergerät mit gestapeltem Speicher, der Veränderlichkeit bei Zusammenschaltungen von Geräten erlaubt
WO2013086047A1 (en) * 2011-12-06 2013-06-13 Cornell University Integrated multi-chip module optical interconnect platform
US9570883B2 (en) 2011-12-28 2017-02-14 Intel Corporation Photonic package architecture
US9697147B2 (en) 2012-08-06 2017-07-04 Advanced Micro Devices, Inc. Stacked memory device with metadata management
US9236958B2 (en) 2012-08-10 2016-01-12 Skorpios Technologies, Inc. Method and system for performing testing of photonic devices
US9922887B2 (en) 2012-12-11 2018-03-20 Acacia Communications, Inc. Wafer-scale testing of photonic integrated circuits using horizontal spot-size converters
KR102048251B1 (ko) 2013-03-14 2019-11-25 삼성전자주식회사 메모리 칩 패키지, 그것을 포함하는 메모리 시스템, 그것의 구동 방법
US9094135B2 (en) 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Die stack with optical TSVs
US9766409B2 (en) * 2013-06-10 2017-09-19 Nxp Usa, Inc. Optical redundancy
CN104092500A (zh) * 2014-07-13 2014-10-08 潘国新 一体式光收发组件
WO2016008771A1 (en) 2014-07-14 2016-01-21 University Of Copenhagen Optical device having efficient light-matter interface for quantum simulations
US9671572B2 (en) 2014-09-22 2017-06-06 Oracle International Corporation Integrated chip package with optical interface
US9558779B2 (en) 2014-12-22 2017-01-31 Mohammad A Mazed System on chip (SoC) based on phase transition and/or phase change material
US20160191188A1 (en) 2014-12-31 2016-06-30 Alcatel-Lucent Usa Inc. System and method for local interconnection of optical nodes
US9678271B2 (en) * 2015-01-26 2017-06-13 Oracle International Corporation Packaged opto-electronic module
US9976844B2 (en) 2015-02-06 2018-05-22 Medlumics S.L. Miniaturized OCT package and assembly thereof
US9606308B2 (en) 2015-02-27 2017-03-28 International Business Machines Corporation Three dimensional self-alignment of flip chip assembly using solder surface tension during solder reflow
US9910232B2 (en) 2015-10-21 2018-03-06 Luxtera, Inc. Method and system for a chip-on-wafer-on-substrate assembly
WO2017120272A1 (en) 2016-01-04 2017-07-13 Infinera Corporation Photonic integrated circuit package
JP6849907B2 (ja) 2016-12-01 2021-03-31 富士通株式会社 光モジュール及び光モジュールの製造方法
CN106817323B (zh) * 2017-03-06 2023-08-22 南京曦光信息科技有限公司 一种可片上集成的物理层组播光交换节点装置及网络
JP2018195723A (ja) 2017-05-18 2018-12-06 富士通株式会社 光モジュールおよびその製造方法並びに光トランシーバ
US11239377B2 (en) 2017-08-07 2022-02-01 Rockley Photonics Limited Optoelectronic module package
US10371893B2 (en) * 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10490503B2 (en) 2018-03-27 2019-11-26 Intel Corporation Power-delivery methods for embedded multi-die interconnect bridges and methods of assembling same
US10284291B1 (en) 2018-04-25 2019-05-07 Western Digital Technologies, Inc. Node configuration in optical network
CA3100481A1 (en) 2018-05-17 2019-11-21 Lightmatter, Inc. Optically interfaced stacked memories and related methods and systems
US10930628B2 (en) 2018-06-27 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US20200111720A1 (en) 2018-10-05 2020-04-09 Intel Corporation Dual side die packaging for enhanced heat dissipation
CN113853753A (zh) 2019-03-06 2021-12-28 轻物质公司 光子通信平台
US11947164B2 (en) 2020-02-03 2024-04-02 Lightmatter, Inc. Photonic wafer communication systems and related packages
US20230085268A1 (en) 2021-09-13 2023-03-16 Lightmatter, Inc. Yield enhancement techniques for photonic communications platform
WO2023064337A1 (en) 2021-10-13 2023-04-20 Lightmatter, Inc. Multi-tenant isolation on a multi-reticle photonic communication platform

Also Published As

Publication number Publication date
CA3131615A1 (en) 2020-09-10
CN113853753A (zh) 2021-12-28
US20240103219A1 (en) 2024-03-28
US11754783B2 (en) 2023-09-12
US20240111094A1 (en) 2024-04-04
US20230114847A1 (en) 2023-04-13
SG11202108868TA (en) 2021-09-29
KR20220004966A (ko) 2022-01-12
US20230408764A1 (en) 2023-12-21
EP3935763A1 (en) 2022-01-12
TWI822972B (zh) 2023-11-21
TW202409745A (zh) 2024-03-01
US11860413B2 (en) 2024-01-02
WO2020181097A1 (en) 2020-09-10
US11036002B2 (en) 2021-06-15
US20230358957A1 (en) 2023-11-09
JP2022523995A (ja) 2022-04-27
US20210278590A1 (en) 2021-09-09
US20200284981A1 (en) 2020-09-10
US20230400632A1 (en) 2023-12-14
EP3935763A4 (en) 2022-12-07

Similar Documents

Publication Publication Date Title
TWI822972B (zh) 光子通訊平台
Wade et al. TeraPHY: a chiplet technology for low-power, high-bandwidth in-package optical I/O
Pasricha et al. A survey of silicon photonics for energy-efficient manycore computing
US11367711B2 (en) Optically interfaced stacked memories and related methods and systems
US20010030782A1 (en) Security mapping and auto reconfiguration
TW202209323A (zh) 藉由單體式封裝光學i/o實施的遠端記憶體架構
JP2017516148A (ja) チップ間相互接続を可能にするための電気光学トランシーバデバイス
US11947164B2 (en) Photonic wafer communication systems and related packages
US20230314711A1 (en) Photonic communication platform, packages and related fabrication
Xu et al. Silicon nanophotonics for future multicore architectures: opportunities and challenges
CN117501643A (zh) 利用微型led用于光学通道的逐位反向多路复用
Duan et al. Chip-to-chip interconnects based on 3D stacking of optoelectrical dies on Si
WO2001043195A1 (en) Security mapping and auto reconfiguration
Schares et al. Terabus and beyond–prospects of waveguide-based optical interconnects