TW202103260A - 半導體裝置 - Google Patents
半導體裝置 Download PDFInfo
- Publication number
- TW202103260A TW202103260A TW109120335A TW109120335A TW202103260A TW 202103260 A TW202103260 A TW 202103260A TW 109120335 A TW109120335 A TW 109120335A TW 109120335 A TW109120335 A TW 109120335A TW 202103260 A TW202103260 A TW 202103260A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- contact
- air gap
- etching
- drain
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims description 23
- 238000002955 isolation Methods 0.000 claims abstract description 122
- 229910052751 metal Inorganic materials 0.000 claims abstract description 72
- 239000002184 metal Substances 0.000 claims abstract description 72
- 238000000034 method Methods 0.000 abstract description 148
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 abstract description 42
- 229910052814 silicon oxide Inorganic materials 0.000 abstract description 37
- 229910052581 Si3N4 Inorganic materials 0.000 abstract description 31
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 abstract description 31
- 229910021417 amorphous silicon Inorganic materials 0.000 abstract description 21
- 239000010410 layer Substances 0.000 description 691
- 238000005530 etching Methods 0.000 description 160
- 239000011229 interlayer Substances 0.000 description 129
- 230000008569 process Effects 0.000 description 114
- 239000000463 material Substances 0.000 description 85
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 57
- 229910052710 silicon Inorganic materials 0.000 description 55
- 239000010703 silicon Substances 0.000 description 55
- 239000007789 gas Substances 0.000 description 51
- 239000000758 substrate Substances 0.000 description 45
- 239000003566 sealing material Substances 0.000 description 44
- 239000003989 dielectric material Substances 0.000 description 42
- 238000005137 deposition process Methods 0.000 description 40
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 35
- 230000004888 barrier function Effects 0.000 description 30
- 238000005229 chemical vapour deposition Methods 0.000 description 25
- 238000004519 manufacturing process Methods 0.000 description 25
- 238000000151 deposition Methods 0.000 description 22
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 20
- 229910052760 oxygen Inorganic materials 0.000 description 20
- 239000001301 oxygen Substances 0.000 description 20
- 229910021332 silicide Inorganic materials 0.000 description 19
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 19
- 125000006850 spacer group Chemical group 0.000 description 18
- 229910052757 nitrogen Inorganic materials 0.000 description 17
- 229920002120 photoresistant polymer Polymers 0.000 description 16
- 238000007789 sealing Methods 0.000 description 16
- 239000002019 doping agent Substances 0.000 description 15
- 230000006870 function Effects 0.000 description 15
- 238000001039 wet etching Methods 0.000 description 15
- 238000000231 atomic layer deposition Methods 0.000 description 14
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 13
- 239000002243 precursor Substances 0.000 description 12
- 239000010936 titanium Substances 0.000 description 12
- 230000008021 deposition Effects 0.000 description 11
- 238000013461 design Methods 0.000 description 11
- 239000000203 mixture Substances 0.000 description 11
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 11
- 238000005516 engineering process Methods 0.000 description 10
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 10
- 239000005360 phosphosilicate glass Substances 0.000 description 10
- 229910052715 tantalum Inorganic materials 0.000 description 10
- 229910052719 titanium Inorganic materials 0.000 description 10
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 9
- 229910017052 cobalt Inorganic materials 0.000 description 9
- 239000010941 cobalt Substances 0.000 description 9
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 9
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 8
- -1 SiO 2 ) Chemical compound 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 8
- 229910052732 germanium Inorganic materials 0.000 description 8
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 8
- 239000011810 insulating material Substances 0.000 description 8
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 239000004020 conductor Substances 0.000 description 7
- 238000011161 development Methods 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- 238000000407 epitaxy Methods 0.000 description 7
- 239000012212 insulator Substances 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 6
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 6
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 229910052707 ruthenium Inorganic materials 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 5
- 238000011049 filling Methods 0.000 description 5
- 230000009969 flowable effect Effects 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 229910052750 molybdenum Inorganic materials 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 239000004964 aerogel Substances 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 3
- 229910052794 bromium Inorganic materials 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 229910052740 iodine Inorganic materials 0.000 description 3
- 239000011630 iodine Substances 0.000 description 3
- 239000011733 molybdenum Substances 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 229910052697 platinum Inorganic materials 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 230000001737 promoting effect Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910000531 Co alloy Inorganic materials 0.000 description 2
- 229910001182 Mo alloy Inorganic materials 0.000 description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 2
- 229910000929 Ru alloy Inorganic materials 0.000 description 2
- 229910001362 Ta alloys Inorganic materials 0.000 description 2
- 229910004166 TaN Inorganic materials 0.000 description 2
- 229910004200 TaSiN Inorganic materials 0.000 description 2
- 229910001069 Ti alloy Inorganic materials 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 229940104869 fluorosilicate Drugs 0.000 description 2
- 239000012634 fragment Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 125000000896 monocarboxylic acid group Chemical group 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 229920000052 poly(p-xylylene) Polymers 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 238000005549 size reduction Methods 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- YGVYHUGLHHFGNN-UHFFFAOYSA-N (2-phenylcyclobuten-1-yl)benzene Chemical compound C1CC(C=2C=CC=CC=2)=C1C1=CC=CC=C1 YGVYHUGLHHFGNN-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017107 AlOx Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910003134 ZrOx Inorganic materials 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 239000000075 oxide glass Substances 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- GPPXJZIENCGNKB-UHFFFAOYSA-N vanadium Chemical compound [V]#[V] GPPXJZIENCGNKB-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/4821—Bridge structure with air gap
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/764—Air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49833—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49866—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
- H01L23/49894—Materials of the insulating layers or coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4983—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
- H01L29/4991—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1042—Formation and after-treatment of dielectrics the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
- H01L2221/1063—Sacrificial or temporary thin dielectric films in openings in a dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
在此揭露有助於降低電容及/或電阻的互連以及相應的互連形成技術。示範的互連係設置在絕緣層內。互連具有金屬接觸物、環繞金屬接觸物的側壁的接觸隔離層,以及設置於接觸隔離層與絕緣層之間的氣隙。氣隙的氣隙密封件具有設置在接觸隔離層的頂面上且未設置在絕緣層的頂面上的第一部分,以及設置在接觸隔離層與絕緣層之間的第二部分,使得第二部分環繞金屬接觸物的側壁的頂部。氣隙密封件可包含非晶矽及/或氧化矽。接觸隔離層可包含氮化矽。絕緣層可包含氧化矽。
Description
本發明實施例係有關於一種半導體裝置及其製造方法,特別是有關於具有氣隙密封件的半導體裝置及其製造方法。
積體電路(integrated circuit,IC)產業已歷經了指數式成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,通常增加了功能密度(即每晶片面積中內連接的積體電路裝置數量),而降低了幾何尺寸(即積體電路部件的尺寸及/或大小及/或這些積體電路部件之間的間距)。一般而言,尺寸縮小僅受到用以微影地定義出不斷降低幾何尺寸之積體電路部件的能力的限制。然而,隨著實施降低的幾何尺寸以達到具有更快運作速度的積體電路(例如藉由降低電子訊號傳遞的距離),電阻電容延遲(resistance-capacitance (RC) delay)已成為一項重要的挑戰,從而抵消了一些縮小所達到的優勢並進一步限制積體電路的尺寸縮小。電阻電容延遲通常表示由於電阻(R)(即材料對於電流通過的阻礙)和電容(C)(即材料儲存電荷的能力)的乘積所導致通過積體電路的電子訊號速度延遲。為了降低電阻電容延遲並使縮小尺寸的積體電路效能最佳化,希望能同時降低電阻和電容。積體電路的互連在物理上及/或電性上連接積體電路之積體電路組件及/或積體電路部件,且積體電路的互連在導致電阻電容延遲的方面特別有問題。因此,有改進積體電路的互連及/或製造積體電路互連的方法的需求。
在一實施例中,提供半導體裝置。半導體裝置包含互連,設置在絕緣層內。互連具有金屬接觸物、環繞金屬接觸物的側壁的接觸隔離層和環繞金屬接觸物的側壁的氣隙,且氣隙設置在接觸隔離層與絕緣層之間。半導體裝置也包含氣隙密封件,具有第一部分設置在第二部分的上方。第一部分係設置在接觸隔離層的頂面的上方且未設置在絕緣層的頂面上,第二部分環繞金屬接觸物的側壁的頂部,且第二部分係設置在接觸隔離層與絕緣層之間。
在又一實施例中,提供半導體裝置。半導體裝置包含第一絕緣層,設置在基底的上方,以及裝置級接觸物,設置在第一絕緣層內。半導體裝置也包含介電層,沿著裝置級接觸物的側壁設置,以及氣隙密封件,設置在第一絕緣層與沿著裝置級接觸物的側壁設置的介電層的第一部分之間。半導體裝置更包含氣隙,設置在第一絕緣層、沿著裝置級接觸物的側壁設置的介電層的第二部分和氣隙密封件之間。此外,半導體裝置包含第二絕緣層,設置在第一絕緣層、裝置級接觸物、介電層和氣隙密封件的上方,以及導孔,設置在裝置級接觸物上的第二絕緣層內。氣隙密封件的材料與介電層的材料、第一絕緣層的材料和第二絕緣層的材料不同。
在另一實施例中,提供半導體裝置的製造方法。方法包含在第一絕緣層內形成互連。互連包含金屬接觸物、沿著金屬接觸物的側壁設置的接觸隔離層,以及沿著金屬接觸物的側壁設置的虛設接觸層。虛設接觸層係設置在第一絕緣層與接觸隔離層之間。方法也包含從互連移除虛設接觸層以沿著金屬接觸物的側壁形成氣隙。氣隙係設置在第一絕緣層與接觸隔離層之間。方法更包含藉由實施沉積製程以密封氣隙,沉積製程選擇性地在接觸隔離層上沉積氣隙密封材料,且在第一絕緣層上不沉積氣隙密封材料。
本發明實施例一般是關於積體電路裝置,特別是關於積體電路裝置的互連。
以下揭露提供了許多不同的實施例或範例,用於實施本發明實施例中的不同部件。組件和配置的具體範例描述如下,以簡化本揭露的說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,以下敘述中提及第一部件形成於第二部件之上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。
此外,本揭露可在各個範例中重複參考數字及/或字母,此重複是為了簡化和清楚,並非在討論的各個實施例及/或組態之間指定其關係。再者,以下敘述中提及將部件形成在另一部件上、將部件形成與另一部件連接,將部件形成與另一部件耦接,可能包含部件彼此直接接觸的實施例,也可能包含形成額外的部件介插於部件之間,使得部件彼此未直接接觸的實施例。此外,使用空間相關用詞,例如「下方的」、「上方的」、「水平的」、「垂直的」、「在……上方」、「在……上」、「在……下方」、「在……下」、「上」、「下」、「頂」、「底」等及類似的用詞(例如「水平地」、「向下地」、「向上地」等)以助於表示本發明的實施例中部件與另一部件之間的關係。這些空間相關用詞係用以涵蓋包含部件之裝置的不同方位。
積體電路生產製造流程一般分為三類:前段製程(front-end-of-line,FEOL)、中段製程(middle-end-of-line,MEOL)和後段製程(back-end-of-line,BEOL)。前段製程通常包含與製造積體電路裝置(例如電晶體)相關的製程。舉例來說,前段製程可以包含形成隔離部件、閘極結構以及源極和汲極部件(通常稱為源/汲極部件)。中段製程通常包含與製造積體電路裝置中連接至導電部件(或導電區域)之接觸相關的製程,例如連接至閘極結構及/或源/汲極部件的接觸(也稱為互連)。後段製程通常包含與製造互連相關的製程,前述的互連係指物理上及/或電性上內連接由前段製程製造之積體電路部件(在此稱為前段製程部件或結構)及/或中段製程製造之積體電路部件(在此稱為中段製程部件或結構),藉此使積體電路裝置能夠運作。舉例來說,後段製程可以包含形成多層互連部件的互連,例如導孔及/或導線。中段製程和後段製程的互連物理上及/或電性上連接積體電路裝置的積體電路組件及/或積體電路部件,藉此促使積體電路裝置的運作。隨著積體電路技術朝向更小的技術節點發展,與互連相關的電阻和電容對降低積體電路裝置的電阻電容延遲(RC delay)帶來了挑戰。
藉由使用電阻較低且有助於提高電流的互連材料及/或互連配置已能降低與互連相關的電阻,前述的互連材料例如取代銅及/或鉭的鈷及/或釕,而前述的互連配置例如降低厚度之互連阻障/內襯層及/或修改互連的輪廓。降低電容則較為困難,原因在於對任兩個相鄰的導電部件(例如兩個相鄰的互連、與閘極相鄰的互連等)而言,電容是環繞兩個導電部件之絕緣材料的介電常數和兩個導電部件之間的距離的函數。由於縮小的積體電路使得導電部件之間的距離(間距)降低(因此導致電容的增加),降低電容的技術因此聚焦在降低絕緣材料的介電常數上。舉例來說,發展低介電常數(low-k)的介電材料,像是具有小於氧化矽(例如SiO2
)之介電常數的介電材料,以降低互連與相鄰的導電部件(像是相鄰的互連或相鄰的裝置部件(例如閘極))之間的寄生電容及/或電容耦合。
近來,由於空氣具有明顯低於許多低介電常數之介電材料的介電常數,已探討使用空氣來對互連進行絕緣。舉例來說,可以在互連與相鄰的導電部件之間插入氣隙(也稱為空氣間隙物)。儘管與低介電常數的介電材料相比,氣隙能提供期望的電容減少量,但傳統的氣隙製造仍具有缺點。前述的缺點之一與後續的製程相關。舉例來說,在氣隙介插於裝置級(device-level)接觸物(例如源/汲極接觸物)與閘極之間的情況下,後續的製程可能涉及在氣隙和裝置級接觸物的上方沉積介電密封層(例如氧化層)以將氣隙密封,在介電密封層的上方沉積層間介電層(通常包含低介電常數的介電材料),圖案化和蝕刻層間介電層和介電密封層以形成導孔開口,且用金屬填充導孔開口以形成導孔,使得導孔與裝置級接觸物連接。在一種情況下,在圖案化的期間可能發生未對準(misalignment),導致蝕刻移除氣隙上的介電密封層,並將氣隙暴露於填充製程中。在另一種情況下,導孔的尺寸可能有意地設置為大於裝置級接觸物(例如為了形成特大尺寸的導孔),因此使得形成特大尺寸導孔之導孔開口所需的圖案化和蝕刻將氣隙暴露於填充製程中。在又一情況下,由於製程上的變化,導孔的尺寸可能會意外地大於裝置級接觸物,因此使得形成導孔之導孔開口所需的圖案化和蝕刻意外地將氣隙暴露於填充製程中。這些情況分別可能在填充導孔開口以形成導孔時將金屬導入氣隙中,使得金屬接觸氣隙。這樣會降低藉由氣隙以實現的電容降低效果,並阻礙相應積體電路裝置之電阻電容延遲(RC delay)的改善。本發明的實施例因此提出一種氣隙密封件及製造氣隙密封件的方法以克服這些挑戰,並保持氣隙的完整性,如本文中所詳細描述的。
第1圖是根據本發明實施例的各種樣態,製造積體電路裝置之互連的方法10的流程圖。方法10製造的互連能降低與積體電路裝置相關的電容及/或電阻,藉此降低相關的電阻電容延遲。在方框20中,方法10包含在第一絕緣層內形成第一互連。第一互連包含金屬接觸物、沿著金屬接觸物的側壁設置的接觸隔離層,以及設置在第一絕緣層與接觸隔離層之間的虛設(dummy)接觸層。虛設隔離層沿著金屬接觸物的側壁設置。一些實施例中,接觸隔離層為氮化矽層,且第一絕緣層為氧化矽層。在方框30中,方法10包含從第一互連移除虛設接觸層以在第一絕緣層與接觸隔離層之間形成氣隙。一些實施例中,蝕刻製程選擇性地移除虛設接觸層,但不移除或實質上不移除接觸隔離層和第一絕緣層。在方框40中,方法10包含藉由實施沉積製程以形成氣隙密封件,前述的沉積製程選擇性地在接觸隔離層上沉積氣隙密封材料,且在第一絕緣層上不沉積氣隙密封材料。一些實施例中,沉積製程在氮化矽表面上形成氣隙密封材料,但在氧化矽表面上不形成氣隙密封材料。一些實施例中,氣隙密封材料包含非晶矽。
在方框50中,方法10包含在第一互連、第一絕緣層和氣隙密封件的上方形成第二絕緣層。在方框60中,方法10包含在第二絕緣層內形成暴露出第一互連的互連開口。在方框70中,方法10包含在第二絕緣層內形成物理性地接觸第一互連且在第一互連的上方的第二互連。一些實施例中,第一互連為裝置級接觸物,像是閘極接觸或源/汲極接觸,且第二互連為導孔。一些實施例中,第一互連為導孔且第二互連為導線。一些實施例中,在形成第二互連之前,對氣隙密封件實施氧化製程。一些實施例中,在氧化製程之後,氣隙密封件包含氧化矽。一些實施例中,在氧化製程之後,氣隙密封件包含非晶矽部分和氧化矽部分。本發明實施例預期可進行額外的處理。可以在方法10的前、中、後提供額外的步驟,且可以在方法10之額外的實施例移動、取代或刪除敘述的一些步驟。以下討論提供了根據方法10可以製造出的互連。
第2-7圖是根據本發明實施例的各種樣態,在製造積體電路(IC)裝置200之互連的各個階段(例如與第1圖之方法10相關的那些)中,積體電路裝置200之整體或部分的片段示意圖。積體電路裝置200可包含在微處理器、記憶體及/或其他積體電路裝置中。一些實施例中,積體電路裝置200可為積體電路晶片的一部分、系統單晶片(system-on-chip,SoC)或前述組合的部分,包含各種被動和主動微電子裝置,像是電阻、電容、電感、二極體、P型場效電晶體(p-type FET,PFET)、N型場效電晶體(n-type FET,NFET)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor FET,MOSFET)、互補式金屬氧化物半導體(complementary MOS,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor,BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高電壓電晶體、高頻電晶體、其他合適的組件或前述之組合。根據積體電路裝置200的設計需求,各種電晶體可為平面電晶體或多閘極電晶體,像是鰭式場效電晶體(FinFET)。為了清楚說明以更好地理解本發明實施例的發明概念,將第2-7圖簡化。可以在積體電路裝置200內加入額外的部件,且可以在積體電路裝置200的其他實施例中取代、修改或刪除一些下方敘述的部件。
參見第2圖,積體電路裝置200包含基底(晶圓)210。在所述的實施例中,基底210包含矽。或者或更甚者,基底210包含其他元素半導體,例如鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,例如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。或者,基底210為絕緣體上覆半導體基底,例如絕緣體上覆矽(silicon-on-insulator,SOI)基底、絕緣體上覆矽鍺(silicon germanium-on-insulator,SGOI)基底或絕緣體上覆鍺(germanium-on-insulator,GOI)基底。絕緣體上覆半導體基底能使用佈植氧隔離(separation by implantation of oxygen,SIMOX)、晶圓接合及/或其他合適的方法以製造。基底210可以包含依據積體電路裝置200的設計需求,由離子佈植製程、擴散製程及/或其他合適的摻雜製程以形成的摻雜區。一些實施例中,基底210包含植入P型摻質(例如硼、銦、其他P型摻質或前述之組合)的P型摻雜區(例如P型井)。一些實施例中,基底210包含植入N型摻質(例如磷、砷、其他N型摻質或前述之組合)的N型摻雜區(例如N型井)。一些實施例中,基底210包含由P型摻質和N型摻質的組合以形成的摻雜區。可以在基底210上及/或內直接形成各種摻雜區,例如提供P型井結構、N型井結構、雙井結構、抬升的結構或前述之組合。
可以在基底210的上方及/或內形成隔離部件以將積體電路裝置200的各區(例如裝置區)隔開。舉例來說,隔離部件定義出主動裝置區及/或被動裝置區,且將主動裝置區及/或被動裝置區彼此電性隔離。隔離部件包含氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如包含矽、氧、氮、碳或其他合適的隔離成分)或前述之組合。隔離部件能包含不同的結構,例如淺溝槽隔離(shallow trench isolation,STI)結構、深溝槽隔離(deep trench isolation,DTI)結構及/或局部矽氧化(local oxidation of silicon,LOCOS)結構。一些實施例中,隔離部件的形成係藉由蝕刻基底210內的(多個)溝槽並以絕緣材料填充溝槽(例如此使用化學氣相沉積(chemical vapor deposition,CVD)製程或旋塗式玻璃(spin-on glass)製程)。可實施化學機械研磨(chemical mechanical polishing,CMP)製程以移除過量的絕緣材料及/或平坦化隔離部件的頂面。一些實施例中,在形成鰭片結構之後,可以藉由在基底210的上方沉積絕緣材料以形成隔離部件(一些實施例中,使得絕緣材料層填充鰭片結構之間的間隙(溝槽)),並回蝕刻絕緣材料層。一些實施中,隔離部件包含填充溝槽的多層結構,例如設置在內襯介電層的上方的塊材(bulk)介電層,塊材介電層和內襯介電層包含視設計需求而定的材料(舉例來說,在包含熱氧化物的內襯介電層的上方設置包含氮化矽的塊材介電層)。一些實施例中,隔離部件包含設置在摻雜內襯層(例如包含硼矽酸鹽玻璃(boron silicate glass,BSG)或磷矽酸鹽玻璃(phosphosilicate glass,PSG))的上方的介電層。
在基底210的上方設置各個閘極結構,例如閘極結構230A和閘極結構230B。閘極結構230A、230B分別與各自的通道區接合,通道區定義在各自的源極區與各自的汲極區之間,使得電流在運作期間可以在各自的源/汲極區之間流動。一些實施例中,在鰭片結構的上方形成閘極結構230A、230B,使得閘極結構230A、230B分別包覆鰭片結構的一部分,且插入鰭片結構各自的源極區與各自的汲極區(合稱為源/汲極區)。閘極結構230A、230B各自包含金屬閘極(metal gate,MG)堆疊物,例如金屬閘極堆疊物232。金屬閘極堆疊物343的形成係藉由沉積製程、微影製程、蝕刻製程、其他合適的製程或前述之組合。沉積製程包含化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)、金屬有機化學氣相沉積(metal organic CVD,MOCVD)、遠程電漿化學氣相沉積(remote plasma CVD,RPCVD)、電漿輔助化學氣相沉積(plasma enhanced CVD,PECVD)、低壓化學氣相沉積(low-pressure CVD,LPCVD)、原子層化學氣相沉積(atomic layer CVD,ALCVD)、大氣壓化學氣相沉積(atmospheric pressure CVD,APCVD)、電漿輔助原子層沉積(plasma enhanced ALD,PEALD)、電鍍、其他合適的方法或前述之組合。微影圖案化製程包含光阻塗布(例如旋轉塗布)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、烘乾(例如硬烤)、其他合適的製程或前述之組合。或者,微影曝光製程可由其他方法來輔助、實施或取代,像是無遮罩微影、電子束刻寫(electron-beam writing)或離子束刻寫(ion-beam writing)。蝕刻製程包含乾式蝕刻製程、濕式蝕刻製程、其他蝕刻製程或前述之組合。金屬閘極堆疊物232的製造係依據閘極後製(gate last)製程、閘極先製(gate first)製程或混合閘極後製/閘極先製的製程。在閘極後製製程的實施例中,閘極結構230A、230B包含隨後由金屬閘極堆疊物232所取代的虛設閘極堆疊物。虛設閘極堆疊物例如包含界面層(例如包含氧化矽)和虛設閘極電極層(例如包含多晶矽)。在這樣的實施例中,移除虛設閘極電極層,藉此形成後續由金屬閘極堆疊物232所填充的開口(溝槽)。
根據積體電路裝置200之設計需求,配置金屬閘極堆疊物232以實現期望的功能,使得閘極結構230A的金屬閘極堆疊物232可包含與閘極結構230B的金屬閘極堆疊物232相同或不同的層及/或材料。一些實施例中,金屬閘極堆疊物232包含閘極介電質(例如閘極介電層)和閘極電極(例如功函數層和塊材導電層)。金屬閘極堆疊物232可包含許多的其他層,例如蓋層、界面層、擴散層、阻障層、硬遮罩層或前述之組合。一些實施例中,閘極介電層係設置在界面層(包含介電材料,例如氧化矽)的上方,且閘極電極係設置在閘極介電層的上方。閘極介電層包含介電材料,例如氧化矽、高介電常數(high-k)的介電材料、其他合適的介電材料或前述之組合。高介電常數的介電材料的範例包含二氧化鉿(HfO2
)、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2
-Al2
O3
)合金、其他合適的高介電常數的介電材料或前述之組合。高介電常數的介電材料通常指相對於二氧化矽的介電常數(k ≈ 3.9)而言具有高介電常數(k value)的介電材料。舉例來說,高介電常數的介電材料具有大於約3.9的介電常數。一些實施例中,閘極介電層為高介電常數的介電層。閘極電極包含導電材料,例如多晶矽、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鉬(Mo)、鈷(Co)、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他導電材料或前述之組合。一些實施例中,功函數層為經過調整以具有期望之功函數(例如N型功函數或P型功函數)的導電層,且導電塊材層為形成在功函數層上的導電層。一些實施例中,功函數層包含N型功函數材料,例如鈦(Ti)、銀(Ag)、錳(Mn)、鋯(Zr)、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、其他合適的N型功函數材料或前述之組合。一些實施例中,功函數層包含P型功函數材料,例如釕(Ru)、Mo、Al、TiN、TaN、WN、ZrSi2
、MoSi2
、TaSi2
、NiSi2
、WN、其他合適的P型功函數材料或前述之組合。塊材(或填充)導電層包含合適的導電材料,例如Al、W及/或Cu。塊材導電層可額外或共同包含多晶矽、Ti、Ta、金屬合金、其他合適的材料或前述之組合。
閘極結構230A、230B更包含閘極間隙物236,閘極間隙物236係設置相鄰於金屬閘極堆疊物232(例如沿著金屬閘極堆疊物232的側壁)。閘極間隙物236由任何合適的製程形成,且可包含介電材料。介電材料可以包含矽、氧、碳、氮、其他合適的材料或前述之組合(例如氧化矽、氮化矽、氮氧化矽或碳化矽)。舉例來說,在所述的實施例中,可以將包含矽和氮(像是氮化矽層)的介電層沉積在基底210的上方,且隨後進行異向性蝕刻以形成閘極間隙物236。一些實施例中,閘極間隙物236包含多層結構,例如包含氮化矽的第一介電層和包含氧化矽的第二介電層。一些實施例中,形成與金屬閘極堆疊物232相鄰的多組間隙物,例如密封間隙物、偏移間隙物、犧牲間隙物、虛設間隙物及/或主間隙物。在這樣的實施例中,各組間隙物能包含具有不同蝕刻速率的材料。舉例來說,可以在基底210的上方沉積包含矽和氧(例如氧化矽)的第一介電層,隨後將第一介電層異向性蝕刻以形成與金屬閘極堆疊物232(或者在一些實施例中為虛設金屬閘極堆疊物)相鄰的第一組間隙物,且可以在基底210的上方沉積包含矽和氮(例如氮化矽)的第二介電層,隨後將第二介電層異向性蝕刻以形成與第一組間隙物相鄰的第二組間隙物。根據積體電路裝置200的設計需求,在形成閘極間隙物236之前及/或之後,可實施佈植、擴散及/或退火以在基底210內形成輕摻雜源極和汲極(LDD)部件及/或重摻雜源極和汲極(HDD)部件。
在基底210的源/汲極區內設置磊晶源極部件和磊晶汲極部件(稱為磊晶源/汲極部件),例如磊晶源/汲極部件240A、磊晶源/汲極部件240B和磊晶源/汲極部件240C)。閘極結構230A插入磊晶源/汲極部件240A與磊晶源/汲極部件240B之間,使得磊晶源/汲極部件240A與磊晶源/汲極部件240B之間定義出通道區。閘極結構230B插入磊晶源/汲極部件240A與磊晶源/汲極部件240C之間,使得磊晶源/汲極部件240A與磊晶源/汲極部件240C之間定義出通道區。一些實施例中,閘極結構230A、磊晶源/汲極部件240A和磊晶源/汲極部件240B形成積體電路裝置200的第一電晶體的一部分,而閘極結構230B、磊晶源/汲極部件240A和磊晶源/汲極部件240C形成積體電路裝置200的第二電晶體的一部分。一些實施例中,在基底210上磊晶成長半導體材料及/或自基底210磊晶成長半導體材料,以在基底210的源/汲極區的上方形成磊晶源/汲極部件240A-240C。一些實施例中,對基底210的源/汲極區實施蝕刻製程以形成源/汲極凹陷,在此成長磊晶源/汲極部件240A-240C以填充源/汲極凹陷。一些實施例中,基底210代表鰭片結構的一部分,根據積體電路裝置200之設計需求,磊晶源/汲極部件240A-240C包覆鰭片結構的源/汲極區,及/或將磊晶源/汲極部件240A-240C設置在鰭片結構的源/汲極凹陷內。磊晶製程可以實施化學氣相沉積(CVD)技術(例如氣相磊晶(vapor-phase epitaxy,VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、低壓化學氣相沉積(LPCVD)及/或電漿輔助化學氣相沉積(PECVD))、分子束磊晶、其他合適的選擇性磊晶成長(SEG)製程或前述之組合。磊晶製程能使用氣態及/或液態的前驅物與基底210的成分進行反應。磊晶源/汲極部件240A-240C經N型摻質及/或P型摻質摻雜。一些實施例中,磊晶源/汲極部件240A-240C為包含矽及/或碳的磊晶層,在此情況下含矽的磊晶層或含矽和碳的磊晶層經磷、其他N型摻質或前述之組合的摻質摻雜。一些實施例中,磊晶源/汲極部件240A-240C為包含矽和鍺的磊晶層,在此情況下含矽和鍺的磊晶層經硼、其他P型摻質或前述之組合的摻質摻雜。一些實施例中,磊晶源/汲極部件240A-240C包含在通道區內達到期望之拉伸應力及/或壓縮應力的材料及/或摻質。一些實施例中,磊晶源/汲極部件240A-240C在沉積期間藉由對磊晶製程的來源材料添加雜質以進行摻雜。一些實施例中,磊晶源/汲極部件240A-240C藉由沉積製程後接續的離子佈植製程以進行摻雜。一些實施例中,實施退火製程以活化磊晶源/汲極部件240A-240C內及/或積體電路裝置200的其他源/汲極區(例如HDD區及/或LDD區)內的摻質。
在基底210的上方設置多層互連(multilayer interconnect,MLI)部件250。多層互連部件250電性耦接積體電路裝置200的各種裝置(例如電晶體、電阻、電容及/或電感)及/或組件(例如閘極結構及/或源/汲極部件),使得各種裝置及/或組件能按照積體電路裝置200的設計需求來運作。多層互連部件250包含用以形成各種互連之介電層和導電層的組合。導電層係用以形成垂直的互連,像是裝置級接觸物及/或導孔,及/或水平的互連,像是導線。垂直的互連一般連接多層互連部件250之不同層(或不同平面)的水平的互連。一些實施例中,垂直的互連和水平的互連分別具有沿相同方向測量的長度和寬度,垂直的互連的長度大於其寬度,而水平的互連的長度小於其寬度。在積體電路裝置200的運作期間,互連係用以在裝置及/或積體電路裝置200的組件之間傳送訊號及/或分配訊號(例如時脈訊號、電壓訊號及/或接地訊號)至裝置及/或積體電路裝置200的組件。值得注意的是,雖然所示的多層互連部件250具有給定數量的介電層和導電層,本發明實施例預期根據積體電路裝置200的裝置需求,多層互連部件250可具有更多或更少的介電層及/或導電層。
多層互連(MLI)部件250包含設置在基底210的上方的一或多個絕緣層,例如層間介電(interlayer dielectric,ILD)層252(ILD-0)、層間介電(ILD)層254(ILD-1)、接觸蝕刻停止層(contact etch stop layer,CESL)262和接觸蝕刻停止層(CESL)264。層間介電層252係設置在基底210的上方,且層間介電層254係設置在層間介電層252的上方。接觸蝕刻停止層262係設置在層間介電層252與基底210之間、層間介電層252與磊晶源/汲極部件240A-240C之間及/或層間介電層252與閘極結構230A、230B(特別是間隙物236)之間。接觸蝕刻停止層264係設置在層間介電層252與層間介電層254之間。一些實施例中,層間介電層252的厚度約5 nm至約50 nm,層間介電層254的厚度約2 nm至約100 nm,接觸蝕刻停止層262的厚度約1 nm至約10 nm,且接觸蝕刻停止層264的厚度約1 nm至約10 nm。藉由沉積製程在基底210的上方形成層間介電層252、254及/或接觸蝕刻停止層262、264,沉積製程例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠程電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、大氣壓化學氣相沉積(APCVD)、電漿輔助原子層沉積(PEALD)、其他合適的方法或前述之組合。一些實施例中,藉由流動式化學氣相沉積(flowable CVD,FCVD)製程以形成層間介電層252、254,流動式化學氣相沉積例如包含在基底210的上方沉積可流動的材料(例如液態化合物),並藉由合適的技術將可流動的材料轉變為固態材料,合適的技術例如熱退火及/或以紫外線輻射處理可流動的材料。沉積層間介電層252、254及/或接觸蝕刻停止層262、264後,接續實施化學機械研磨(CMP)製程及/或其他的平坦化製程,使得層間介電層252、254及/或接觸蝕刻停止層262、264具有實質上平坦的表面。
層間介電層252、254包含介電材料,介電材料例如包含氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)氧化物、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數的介電材料、其他合適的介電材料或前述之組合。低介電常數的介電材料通常指相對於二氧化矽的介電常數(k ≈ 3.9)而言具有低介電常數的介電材料。舉例來說,低介電常數的介電材料具有小於約3.9的介電常數。一些實施例中,低介電常數的介電材料具有小於約2.5的介電常數,可以稱為極低介電常數(extreme low-k)的介電材料。低介電常數之介電材料的範例包含氟矽酸鹽玻璃(fluorosilicate glass,FSG)、摻碳氧化矽、黑鑽石(Black Diamond®)(應用材料公司(Applied Materials of Santa Clara,California))、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶形氟化碳、聚對二甲苯(Parylene)、雙-苯環丁烯(BCB)、多孔高分子材料SiLK(陶氏化學(Dow Chemical,Midland,Michigan)、聚亞醯胺(polyimide)、其他低介電常數的介電材料或前述之組合。在所述的實施例中,層間介電層252、254包含低介電常數的介電材料,且通常稱為低介電常數的介電層。接觸蝕刻停止層262、264包含與層間介電層252、254不同的材料,像是與層間介電層252、254的介電材料不同的介電材料。層間介電層252、254及/或接觸蝕刻停止層262、264可以包含具有多種介電材料的多層結構。在所述的實施例中,層間介電層252、254包含矽和氧(例如SiCOH、SiOx
或其他含矽和氧的材料)( 可以因此稱為氧化矽層),而接觸蝕刻停止層262、264包含矽和氮及/或碳(例如SiN、SiCN、SiCON、SiON、SiC及/或SiCO)(可以因此稱為氮化矽層)。
多層互連(MLI)部件250更包含設置在絕緣層內的裝置級接觸物。裝置級接觸物(也稱為局部互連或局部接觸物)將積體電路裝置部件電性耦接及/或物理耦接至多層互連部件250的其他導電部件(例如導孔)。裝置級接觸物(也稱為局部互連或局部接觸物)包含金屬至多晶矽(metal-to-poly,MP)接觸物以及金屬至裝置(metal-to-device,MD)接觸物,金屬至多晶矽接觸物通常指連接至閘極結構的接觸物,例如多晶矽閘極(poly gate)結構或金屬閘極結構,而金屬至裝置接觸物通常指連接至積體電路裝置200之導電區的接觸物,例如源/汲極區。在第2圖中,多層互連(MLI)部件250與金屬至裝置(MD)接觸物一起繪示,例如設置在磊晶源/汲極部件240A上的互連270。互連270包含矽化物層272、源/汲極接觸274(例如包含接觸阻障層276和接觸塊材層278)、接觸隔離層280和虛設接觸層282。互連270延伸穿過層間介電(ILD)層254、接觸蝕刻停止層(CESL)264、層間介電(ILD)層252和接觸蝕刻停止層(CESL)262至磊晶源/汲極部件240A。一些實施例中,互連270部分地延伸進入磊晶源/汲極部件240A,如圖所顯示。一些實施例中,互連270為中段製程(MEOL)的導電部件,將前段製程(FEOL)的導電部件(例如磊晶源/汲極部件240A)電性及/或物理耦接至後段製程(BEOL)的導電部件(例如導孔)。根據互連270及/或積體電路裝置200的設計需求,本發明實施例預期有互連270延伸穿過更多或更少之多層互連(MLI)部件250的層間介電(ILD)層及/或接觸蝕刻停止層(CESL)的實施例,以及互連270包含更多或更少層的實施例。
矽化物層272係設置在磊晶源/汲極部件240A上。矽化物層27延伸穿過接觸蝕刻停止層(CESL)262。在所示的實施例中,相對於基底210的頂面,矽化物層272的頂面係設置高於接觸蝕刻停止層262的頂面。一些實施例中,相對於基底210的頂面,矽化物層272的頂面係設置低於及/或實質上齊平於接觸蝕刻停止層262的頂面。一些實施例中,矽化物層272的頂面係設置低於基底210的頂面。矽化物層272的形成可藉由在磊晶源/汲極部件240A上沉積金屬層,並加熱積體電路裝置200(例如對積體電路裝置200進行退火處理)使磊晶源/汲極部件240A的成分(例如矽及/或鍺)與金屬層的金屬成分進行反應。金屬層包含任何適合促進矽化物之形成的金屬成分,例如鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯、其他合適的金屬或前述之組合。矽化物層272因此包含金屬成分和磊晶源/汲極部件240A的成分,例如矽及/或鍺。舉例來說,矽化物層272包含鎳矽化物、鈦矽化物或鈷矽化物。可相對於矽化物層272及/或介電材料選擇性地移除(例如藉由蝕刻製程)任何未反應的金屬,像是金屬層的剩餘部分。
源/汲極接觸274延伸穿過層間介電(ILD)層254、接觸蝕刻停止層(CESL)264和層間介電(ILD)層252至矽化物層272,使得源/汲極接觸274設置在矽化物層272上。在所示的實施例中,相對於基底210的頂面,源/汲極接觸274的底面係設置高於接觸蝕刻停止層262的頂面。一些實施例中,相對於基底210的頂面,源/汲極接觸274的底面係設置低於及/或實質上平面於接觸蝕刻停止層262的頂面。一些實施例中,源/汲極接觸274的底面係設置低於基底210的頂面。接觸阻障層276包含促進介電材料(此處為接觸隔離層280)與接觸塊材層278之間的黏著性的材料。舉例來說,接觸阻障層276包含鈦、鈦合金、鉭、鉭合金、鈷、鈷合金、釕、釕合金、鉬、鉬合金、其他適合用以促進及/或增加金屬材料與介電材料之間的黏著性的成分或前述之組合。一些實施例中,接觸阻障層276包含鉭和氮(例如氮化碳)或鈦和氮(例如氮化鈦)。一些實施例中,接觸阻障層276包含多層。舉例來說,接觸阻障層276可包含第一子層和第二子層,第一子層包含鈦,且第二子層包含氮化鈦。在另一範例中,接觸阻障層276可包含含鉭的第一子層及含氮化鉭的第二子層。接觸塊材層278包含鎢、釕、鈷、銅、鋁、銥、鈀、鉑、鎳、低電阻的金屬成分、前述之合金或前述之組合。在所述的實施例中,接觸塊材層278包含鎢或鈷。一些實施例中,源/汲極接觸274不包含接觸阻障層276,使得接觸塊材層278與接觸隔離層280物理性地接觸。一些實施例中,源/汲極接觸274是部分無阻障的,在此情況下,接觸阻障層276係設置在接觸隔離層280和一部分的接觸塊材層278之間。一些實施例中,接觸塊材層278包含多層。
接觸隔離層280環繞源/汲極接觸274。舉例來說,接觸隔離層280係沿源/汲極接觸274的側壁設置,且設置在源/汲極接觸274的側壁上。接觸隔離層280延伸穿過層間介電(ILD)層254、接觸蝕刻停止層(CESL)264和層間介電(ILD)層252至矽化物層272,使得接觸隔離層280設置在矽化物層272的頂面上。一些實施例中,根據互連270的設計需求,接觸隔離層延伸至接觸蝕刻停止層262,使得接觸隔離層280設置在接觸蝕刻停止層262的頂面上。在所示的實施例中,接觸隔離層280沿著源/汲極接觸274的側壁且沿著源/汲極接觸274整體的側壁延伸具有實質上均勻的厚度。然而,本發明實施例預期有接觸隔離層280沿著源/汲極接觸274的側壁及/或接觸隔離層280沿著源/汲極接觸274一部分的側壁延伸具有變化之厚度的實施例。一些實施例中,接觸隔離層280沿著x方向定義的厚度t1為約0.5 nm至約5 nm。在所述的實施例中,接觸隔離層280為含氮的層。舉例來說,接觸隔離層280包含矽和氮,且可選擇包含碳,例如SiN、SiCN、摻碳的SiN、高密度SiN、低密度SiN、其他包括矽和氮的材料或前述之組合。一些實施例中,接觸隔離層280為高密度SiN層,而虛設接觸層282包含促進接觸隔離層280與虛設接觸層282在隨後製程期間的蝕刻選擇比的材料,例如矽、鍺、矽鍺、多晶矽、非晶矽、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、摻雜矽(例如原位(in-situ)摻雜矽)、其他合適的材料或前述之組合。一些實施例中,接觸隔離層280的成分與接觸蝕刻停止層262、264的成分相同。
虛設接觸層282環繞源/汲極接觸274。舉例來說,虛設接觸層282係沿源/汲極接觸274的側壁設置,且在接觸隔離層280與多層互連(MLI)部件250的絕緣層(此處指層間介電(ILD)層252、254和接觸蝕刻停止層(CESL)264)之間。虛設接觸層282經由接觸隔離層280與源/汲極接觸274的側壁隔開。虛設接觸層282延伸穿過層間介電層254、接觸蝕刻停止層264和層間介電層252至接觸蝕刻停止層262,使得虛設接觸層282設置在接觸蝕刻停止層262的頂面上。在所示的實施例中,虛設接觸層282沿著源/汲極接觸274的側壁具有實質上均勻的厚度。然而,本發明實施例預期有虛設接觸層282沿著源/汲極接觸274的側壁及/或虛設接觸層282沿著源/汲極接觸274一部分的側壁延伸具有變化之厚度的實施例。一些實施例中,虛設接觸層282沿著x方向定義的厚度t2為約0.5 nm至約5 nm。一些實施例中,定製虛設接觸層282沿著源/汲極接觸274之側壁的厚度t2及長度以控制互連270之氣隙的尺寸。虛設接觸層282的成分不同於環繞虛設接觸層282的層(例如層間介電層252、254及/或接觸蝕刻停止層280)的成分,以在隨後的蝕刻製程期間實現蝕刻選擇比,例如那些用以形成互連270之氣隙的蝕刻製程。換言之,虛設接觸層282和環繞虛設接觸層282的層包含對給定的蝕刻劑具有不同蝕刻敏感性的材料。舉例來說,虛設接觸層282包含的材料對蝕刻劑的蝕刻速率大於層間介電層252、254、接觸蝕刻停止層262、264及/或接觸隔離層280的材料對蝕刻劑的蝕刻速率。一些實施例中,定製虛設接觸層282和環繞虛設接觸層282的層的材料以實現約10:1至約1000:1的蝕刻選擇比(即虛設接觸層282的蝕刻速率與環繞虛設接觸層282的層的蝕刻速率的比例)。虛設接觸層282包含矽、鍺、氧、氮、碳、其他合適的成分或前述之組合。在所述的實施例中,虛設接觸層282為多晶矽層。一些實施例中,虛設接觸層282為矽層、鍺層、或矽鍺層,且在一些實施例中經合適之摻質的摻雜以實現期望的蝕刻選擇比。一些實施例中,虛設接觸層282為非晶矽層。一些實施例中,虛設接觸層282為硼矽酸鹽玻璃(BSG)層或磷矽酸鹽玻璃(PSG)層。一些實施例中,虛設接觸層282為例如相對於接觸隔離層280及/或接觸蝕刻停止層262、264的低密度氮化矽層,其中的一或多者可配置為高密度氮化矽層。一些實施例中,虛設接觸層282為例如相對於層間介電層252、254的低密度氧化矽層,其中的一或多者可配置為高密度氧化矽層。可以配置實現「高密度」和「低密度」的密度程度以實現隨後之蝕刻製程期望的蝕刻選擇比。
參見第3圖,藉由蝕刻製程選擇性地移除虛設接觸層282以形成互連270的氣隙(air gap)284。氣隙284係定義在接觸隔離層280與多層互連(MLI)部件250的絕緣層(此處指層間介電(ILD)層254、接觸蝕刻停止層(CESL)264和層間介電(ILD)層252)之間。在所述的實施例中,氣隙284為高深寬比(aspect ratio)溝槽,具有由接觸蝕刻停止層262的頂面所定義的底部,以及由接觸隔離層280和多層互連部件250的絕緣層所定義的側壁。一些實施例中,高深寬比溝槽的底部及/或側壁進一步由矽化物層272所定義。因此,氣隙284係沿源/汲極接觸274之側壁設置且延伸穿過層間介電層254、接觸蝕刻停止層264和層間介電層252至接觸蝕刻停止層262,使得氣隙284環繞源/汲極接觸274。高深寬比溝槽通常指具有一個尺寸實質上大於另一個尺寸的溝槽。舉例來說,氣隙284具有長度l(沿源/汲極接觸274的縱向方向定義(例如沿著z方向))和寬度w(沿源/汲極接觸274的橫向方向定義(例如沿著x方向)),且長度l實質上大於寬度w。一些實施例中,長度l與寬度w的比值大於約10。一些實施例中,長度l為約10 nm至約160 nm。一些實施例中,寬度w為約0.5 nm至約5 nm。在所示的實施例中,寬度w實質上與移除的虛設接觸層282的厚度t2相同。
使用蝕刻製程,相對於層間介電(ILD)層252、254、接觸蝕刻停止層(CESL)262、264及/或接觸隔離層280選擇性地移除虛設接觸層282。換言之,蝕刻製程實質上移除虛設接觸層282,但不移除或實質上不移除層間介電層252、254、接觸蝕刻停止層262、264及/或接觸隔離層280。可調整各種蝕刻參數以實現對虛設接觸層282的選擇性蝕刻,例如蝕刻劑成分、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、電源功率、射頻(radio frequency,RF)偏壓、射頻偏壓功率、蝕刻劑流速、其他合適的蝕刻參數或前述之組合。舉例來說,選擇與蝕刻接觸隔離層280、接觸蝕刻停止層264、262和層間介電層252、254之材料(在所示的實施例中為氮化矽和氧化矽)相比,以較高的速率蝕刻虛設接觸層282之材料(在所示的實施例中為多晶矽)的蝕刻製程的蝕刻劑(即蝕刻劑對於虛設接觸層282的材料具有高蝕刻選擇比)。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或前述之組合。乾式蝕刻製程可實施含氫的蝕刻氣體、含氧的蝕刻氣體、含氟的蝕刻氣體(例如CF4
、SF6
、CH2
F2
、CHF3
及/或C2
F6
)、含氯的蝕刻氣體(例如Cl2
、CHCl3
、CCl4
及/或BCl3
)、含溴的蝕刻氣體(例如HBr及/或CHBr3
)、含碘的蝕刻氣體、其他合適的蝕刻氣體及/或蝕刻電漿或前述之組合。濕式蝕刻製程可實施濕式蝕刻溶液,包含稀釋氫氟酸(diluted hydrofluoric acid,DHF)、氫氧化鉀(potassium hydroxide,KOH)、氫氧化銨(ammonium hydroxide,NH4
OH)、氨(ammonia,NH3
)、氫氟酸(hydrofluoric acid,HF)、硝酸(nitric acid,HNO3
)、醋酸(acetic acid,CH3
COOH)、水(H2
O)、其他合適的濕式蝕刻溶液成分或前述之組合。一些實施例中,蝕刻製程為多步驟的蝕刻製程。
由於空氣的介電常數約為1(k ≈ 1),低於一般在多層互連部件250內使用之絕緣材料(例如氧化矽或氮化矽)的介電常數,氣隙284降低了閘極結構230A與源/汲極接觸274之間的電容和閘極結構230B與源/汲極接觸274之間的電容。結果,藉由以氣隙284環繞源/汲極接觸274,使得積體電路裝置200之相關的電阻電容延遲和寄生電容大幅降低。再者,由於氣隙284將源/汲極接觸274與層間介電層252、254和接觸蝕刻停止層262、264隔開,使得源/汲極接觸274並未物理性地接觸層間介電層252、254和接觸蝕刻停止層262、264,氣隙284使從源/汲極接觸274進入層間介電層252、254和接觸蝕刻停止層262、264的金屬擴散最小化(在一些實施例中,消除前述的金屬擴散)。一些實施例中,源/汲極接觸274可以因此配置為無阻障層,這可以減輕製造需求及/或降低與互連270相關的電阻。已經觀察到,有時在隨後的製程期間,導電材料可以進入氣隙284並抵銷前述的優勢。本發明實施例因此提出下文中的氣隙密封技術,保持氣隙284的完整性,使得積體電路裝置200可以維持氣隙284提供之降低的電容及/或電阻特性。
參見第4圖,實施選擇性沉積製程以形成密封(關閉)氣隙284的氣隙密封件(air gap seal)290。氣隙密封件290填充氣隙284的最頂部,使得在隨後的製程期間形成在互連270上的材料(例如來自多層互連部件250的絕緣層及/或導電層的材料)不會滲入或進入氣隙284,並降低或改變由氣隙284所提供之積體電路裝置200的電容及/或電阻降低的特性。在第4圖中,氣隙密封件290定義氣隙284的頂部且降低氣隙284的長度l,使得氣隙284沿著源/汲極接觸274之側壁的一部分設置,而非沿著源/汲極接觸274之側壁的整體。氣隙密封件290的成分與多層互連部件(例如層間介電層及/或接觸蝕刻停止層)的絕緣層的成分不同,以在隨後的蝕刻製程期間實現蝕刻選擇比,例如那些用以在互連270上形成多層互連部件250之導孔。換言之,氣隙密封件290和環繞氣隙密封件290的層包含對給定的蝕刻劑具有不同蝕刻敏感性的材料。舉例來說,氣隙密封件290包含的材料對蝕刻劑的蝕刻速率小於多層互連部件250的層間介電層及/或接觸蝕刻停止層的材料對蝕刻劑的蝕刻速率。一些實施例中,定製氣隙密封件290和環繞氣隙密封件290的層(隨後形成在氣隙密封件290和層間介電層254上的接觸蝕刻停止層和層間介電層)的材料以實現約1:10至約1:1000的蝕刻選擇比(即氣隙密封件290的蝕刻速率與環繞氣隙密封件290的層的蝕刻速率的比例)。氣隙密封件290更包含相對於層間介電層254可以選擇性地沉積在接觸隔離層280上的材料。在所述的實施例中,氣隙密封件290包含非晶矽(a-Si),通常指非結晶形式的矽(即具有無序的原子結構)。本發明實施例預期氣隙密封件290包含其他可以同時實現在此所述之選擇性沉積特性和選擇性蝕刻特性的材料。
沉積製程係用以在接觸隔離層280上相對於層間介電層254選擇性地成長氣隙密封材料(例如非晶矽)。換言之,在接觸隔離層280上成長氣隙密封材料,但不在層間介電層254上或實質上不在層間介電層254上成長氣隙密封材料。防止(或最小化)氣隙密封材料在層間介電層254(和層間介電層252)上的成長確保氣隙密封材料不會填充氣隙284,使得氣隙284維持環繞源/汲極接觸274。在所述的實施例中,層間介電層254為氧化物層且接觸隔離層280為氮化矽層,沉積製程係用以在氮化矽表面上,而不是,或實質上不是在氧化物表面上選擇性地成長非晶矽。舉例來說,由於層間介電層254和接觸隔離層280具有不同的鍵結表面(一些實施例中,層間介電層254具有-OH懸鍵,而接觸隔離層280具有-NH懸鍵),沉積製程將接觸隔離層280和層間介電層254暴露於含矽的前驅物氣體中,與氧化物表面(即層間介電層254)相比,在氮化矽表面(即接觸隔離層280)上可以較快速的成核和成長。沉積製程為化學氣相沉積(CVD)、原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、電漿輔助原子層沉積(PEALD)、低壓化學氣相沉積(LPCVD)、其他合適的製程或前述之組合。一些實施例中,含矽的前驅物氣體包含矽烷(SiH4
),矽烷可以在氮化矽表面也可以在氧化物表面上成核和成長,但在氮化矽表面上成核和成長較快。一些實施例中,含矽的前驅物氣體包含矽烷(SiH4
)、二矽烷(Si2
H6
)、三矽烷(Si3
H8
)、四矽烷(Si4
H10
)、二氯矽烷(DCS)、其他含矽的前驅物氣體或前述之組合。一些實施例中,沉積製程進一步將接觸隔離層280和層間介電層254的表面暴露於可增進氣隙密封材料在氮化矽表面上的選擇性成長的蝕刻氣體中。舉例來說,相較於氮化矽表面上良好成核的氣隙密封材料,蝕刻氣體可更快地移除氧化矽表面上不良成核的氣隙密封材料。一些實施例中,蝕刻氣體包含含氫的蝕刻氣體(例如H2
及/或NH3
)、含氟的蝕刻氣體(例如HF、F2
、NF3
、CF4
、SF6
、CH2
F2
、CHF3
及/或C2
F6
)、含氯的蝕刻氣體(例如Cl2
、CHCl3
、CCl4
及/或BCl3
)、含氧的蝕刻氣體(例如O2
)、含溴的蝕刻氣體(例如HBr及/或CHBr3
)、含碘的蝕刻氣體、其他合適的蝕刻氣體及/或蝕刻電漿或前述之組合。一些實施例中,沉積製程可以使用承載氣體以傳送含矽的前驅物氣體、蝕刻氣體及/或其他氣體。一些實施例中,承載氣體包含氮(N2
)、氬(Ar)、氦(He)、氙(Xe)、其他合適的承載氣體成分或前述之組合。沉積製程可以涉及同時及/或依序地向積體電路裝置200的表面供應含矽的前驅物氣體和蝕刻氣體(例如循環地供應含矽前驅物氣體和蝕刻氣體)。
可以調整沉積製程的各種參數以實現氣隙密封材料之期望的成長特性,例如沉積氣體的流速(包含含矽的前驅物氣體、承載氣體及/或蝕刻氣體)、含矽的前驅物氣體的濃度(或劑量)、承載氣體的濃度(或劑量)、蝕刻氣體的濃度(或劑量)。含矽的前驅物氣體濃度與承載氣體濃度的比例、含矽的前驅物氣體濃度與蝕刻氣體濃度的比例、承載氣體濃度與蝕刻氣體濃度的比例、射頻(RF)源(例如在沉積製程期間使用以產生電漿)的功率、偏壓(例如在沉積製程期間施加以激發電漿)、壓力(例如在積體電路裝置200上實施沉積製程之腔室的壓力)、沉積製程的持續時間、其他合適的沉積參數或前述之組合。例如定製沉積製程的持續時間、沉積氣體的流速、沉積製程的溫度和沉積製程的壓力以確保氣隙密封材料在氮化矽表面(即接觸隔離層280)上與在氧化物表面(例如層間介電層254)上相比成長(沉積)較快。一些實施例中,沉積製程的持續時間為約1分鐘至約30分鐘。一些實施例中,沉積製程的流速為約10 sccm (標準立方公分(standard cubic centimeters))至約20,000 sccm。一些實施例中,沉積製程實行之前驅物氣體的流速與承載氣體的流速的比值為約0.001至約0.5。一些實施例中,沉積製程在約0.01 Torr至約100 Torr的壓力下實施。一些實施例中,沉積製程為低溫沉積製程,例如在小於約700°C的溫度下實施。一些實施例中,溫度約為室溫(例如約20°C至約25°C)至約700°C。一些實施例中,沉積製程的各種參數係用以實現氣隙密封材料在氮化矽表面上的沉積速率大於氣隙密封材料在氮化矽表面上的蝕刻速率,且氣隙密封材料在氧化矽表面上的沉積速率等於氣隙密封材料在氧化矽表面上的蝕刻速率,使得氣隙密封材料(例如非晶矽)沉積在氮化矽表面(例如接觸隔離層280)上,但不沉積在氧化矽表面(例如層間介電層254)上。
實施沉積製程直至氣隙密封件290填充氣隙284的頂部且延伸至層間介電層254的頂面292上。在所示的實施例中,氣隙密封件290具有三個部分-具有沿x方向定義之厚度ta的區段A、具有沿x方向定義之厚度tb的區段B,以及具有沿x方向定義之厚度tc的區段C。區段A和區段B結合以形成氣隙密封件290具有沿z方向定義之厚度t3且設置於層間介電層254的頂面292下的部分,而區段C為氣隙密封件290具有沿z方向定義之厚度t4且設置於層間介電層254的頂面292上的部分。由於可以調整沉積製程以在接觸隔離層280上選擇性地沉積氣隙密封材料,且由於氣隙284為高深寬比的溝槽,因此形成這樣的配置。舉例來說,在沉積製程的期間,相較於接觸隔離層280定義氣隙284之底部的表面,沉積氣體將與接觸隔離層280定義氣隙284之頂部的表面較快地產生接觸。可因此在氣隙密封材料可以在接觸隔離層280定義氣隙284之底部的表面上成核與成長之前,從接觸隔離層280的表面以填充氣隙284之頂部的方式成長氣隙密封材料。一些實施例中,在沉積氣體能抵達接觸隔離層280定義氣隙284之底部的表面之前,形成氣隙密封材料290。一些實施例中,厚度t3為約1 nm至約5 nm,且厚度t4為約0.5 nm至約5 nm。一些實施例中,氣隙密封件290沿z方向的整體厚度t5為約1.5 nm至約10 nm。
具有實質上均勻之厚度的區段A,完全地填充氣隙284定義於接觸隔離層280與層間介電層254之間的最頂部。舉例來說,厚度ta實質上與氣隙284沿源/汲極接觸274之側壁的寬度w相同,例如約0.5 nm至約5 nm。具有漸細之厚度的區段B,部分地填充氣隙284定義於接觸隔離層280與層間介電層254之間的一部分。舉例來說,厚度tb沿源/汲極接觸274之側壁,從實質上等於氣隙284之寬度w的厚度漸細至小於氣隙284之寬度w的厚度。舉例來說,厚度tb從第一厚度降低至小於第一厚度的第二厚度。一些實施例中,厚度tb沿源/汲極接觸274之側壁朝基底210的頂面從約0.5 nm至約5 nm降至0。具有大於氣隙284之寬度w的厚度的區段C,設置在接觸隔離層280的頂面294上,但不在層間介電層254的頂面292上。舉例來說,厚度tc大於厚度ta。在所示的實施例中,區段C覆蓋接觸隔離層280之頂面294的整體,然而本發明實施例預期有區段C覆蓋接觸隔離層280之頂面294的一部分的實施例。一些實施例中,區段C側向地成長以覆蓋源/汲極接觸274之頂面的一部分,例如源/汲極接觸274之阻障層276頂面的整體或部分。一些實施例中,厚度tc實質上與氣隙284的寬度w相同,使得區段C未設置在接觸隔離層280之頂面294或層間介電層254之頂面292上。再者,一些實施例中,由於區段C的氣隙密封材料並未受限於接觸隔離層280與層間介電層254之間,氣隙密封件290的頂面296可為曲線的。
參見第5圖,在氣隙密封件290、互連270和層間介電(ILD)層254的上方形成多層互連(MLI)部件250的絕緣層。舉例來說,在氣隙密封件290、互連270和層間介電層254的上方沉積接觸蝕刻停止層(CESL)300,且在接觸蝕刻停止層300的上方沉積層間介電層(ILD)310。接觸蝕刻停止層300沿z方向定義的厚度t6大於氣隙密封件290之區段C的厚度t4,使得接觸蝕刻停止層300設置在氣隙密封件290的頂面296的上方,且覆蓋氣隙密封件290的頂面296。一些實施例中,厚度t6為約1 nm至約10 nm。一些實施例中,層間介電層310沿z方向定義的厚度t7為約2 nm至約50 nm。接觸蝕刻停止層300和層間介電層310包含不同的成分及/或材料以實現蝕刻選擇比。舉例來說,接觸蝕刻停止層300包含的介電材料與層間介電層310的介電材料不同。一些實施例中,接觸蝕刻停止層300包含矽和氮及/或碳(例如SiN、SiCN、SiCON、SiON、SiC及/或SiCO)。一些實施例中,接觸蝕刻停止層300包含金屬氧化物,例如AlOx、AlZrOx、ZrOx、其他合適的金屬氧化物或前述之組合。除了提供蝕刻停止的作用之外,接觸蝕刻停止層300也可改善蝕刻均勻性。一些實施例中,層間介電層310包含氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(TEOS)形成的氧化物、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數的介電材料、其他合適的介電材料或前述之組合。低介電常數之介電材料的範例包含氟矽酸鹽玻璃(FSG)、摻碳氧化矽、黑鑽石(Black Diamond®)(應用材料公司(Applied Materials of Santa Clara,California))、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶形氟化碳、聚對二甲苯(Parylene)、雙-苯環丁烯(BCB)、多孔高分子材料SiLK(陶氏化學(Dow Chemical,Midland,Michigan)、聚亞醯胺(polyimide)、其他低介電常數的介電材料或前述之組合。接觸蝕刻停止層300及/或層間介電層310可以包含具有多種介電材料的多層結構。在所述的實施例中,接觸蝕刻停止層300包含矽和氮(因此稱為氮化矽層),且層間介電層310包含矽和氧,例如SiCOH、SiOx
或其他含矽和氧的材料(因此稱為氧化矽層)。接觸蝕刻停止層300和層間介電層310係由沉積製程形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠程電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、大氣壓化學氣相沉積(APCVD)、流動式化學氣相沉積(FCVD)、電漿輔助原子層沉積(PEALD)、其他合適的方法或前述之組合。在沉積接觸蝕刻停止層300及/或層間介電層310後,接續實施化學機械研磨(CMP)製程及/或其他平坦化製程,使得接觸蝕刻停止層300及/或層間介電層310具有實質上平坦的表面。
參見第6圖,將多層互連(MLI)部件250的絕緣層圖案化以形成暴露出互連270的互連開口。導孔開口320延伸穿過層間介電層310和接觸蝕刻停止層300以暴露出互連270,特別是源/汲極接觸274。導孔開口320具有由層間介電層310和接觸蝕刻停止層300定義的側壁以及由互連270定義的底部。由於氣隙密封件290具有在層間介電層254的頂面292上的區段C,導孔開口320進一步由氣隙密封件290所定義,且導孔開口320暴露出氣隙密封件290。在第6圖中,導孔開口320具有梯形的形狀,然而本發明實施例預期導孔開口320可具有其他形狀,例如矩形的形狀。一些實施例中,導孔開口320的形成包含實施微影製程以在層間介電層310上形成圖案化的遮罩層330(圖案化的遮罩層330內具有與互連270重疊的開口335),並實施蝕刻製程以將圖案化的遮罩層330內定義的圖案轉移至層間介電層310和接觸蝕刻停止層300。微影製程可以包含在層間介電層310上形成光阻層(例如藉由旋轉塗布),實施曝光前烘烤,使用遮罩實施曝光製程,實施曝光後烘烤,以及實施顯影製程。在曝光製程的期間,光阻層曝露於輻射能(例如紫外(ultraviolet,UV)光、深紫外(deep UV,DUV)光或極紫外(extreme UV,EUV)光),依據遮罩的遮罩圖案及/或遮罩類型(例如二元(binary)遮罩、相位移(phase shift)遮罩或EUV遮罩),遮罩塊將輻射傳送及/或反射至光阻層,因此將圖案投影至與遮罩圖案相應的光阻層上。由於光阻層對輻射能敏感,光阻層曝光的部分發生化學變化,且依據光阻層的特性和顯影製程中使用之顯影溶液的特性,光阻層曝光(或未曝光)的部分在顯影製程期間溶解。在顯影之後,圖案化的光阻層包含與遮罩相應的光阻圖案(例如與互連270重疊的開口)。一些實施例中,圖案化的光阻層為圖案化的遮罩層330。一些實施例中,圖案化的光阻層係形成在沉積於層間介電層310的上方之遮罩層的上方,且使用圖案化的光阻層作為移除部分之遮罩層的蝕刻遮罩,因此形成圖案化的遮罩層330。或者,可以由其他方法實施或取代曝光製程,例如無遮罩微影、電子束刻寫、離子束刻寫及/或奈米壓印(nanoimprint)技術。
蝕刻製程使用圖案化的遮罩層330作為移除部分的層間介電(ILD)層310及/或接觸蝕刻停止層(CESL)300的蝕刻遮罩,藉此暴露出互連270(例如源/汲極接觸274)。蝕刻製程係用以相對於氣隙密封件290和源/汲極接觸274選擇性地移除層間介電層310和接觸蝕刻停止層300。換言之,蝕刻製程實質上移除層間介電層310和接觸蝕刻停止層300,但不移除或實質上不移除氣隙密封件290和源/汲極接觸274。可以調整各種蝕刻參數以實現層間介電層310和接觸蝕刻停止層300的選擇性蝕刻,例如蝕刻劑成分、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、電源功率、射頻(RF)偏壓、射頻偏壓功率、蝕刻劑流速、其他合適的蝕刻參數或前述之組合。舉例來說,選擇與氣隙密封件290和源/汲極接觸274之材料(在所示的實施例中為非晶矽和金屬)相比,以較高的速率蝕刻層間介電層310和接觸蝕刻停止層300之材料(在所示的實施例中為氧化矽和氮化矽)的蝕刻製程的蝕刻劑(即蝕刻劑對於層間介電層310和接觸蝕刻停止層300的材料具有高蝕刻選擇比)。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或前述之組合。乾式蝕刻製程可實施含氫的蝕刻氣體、含氧的蝕刻氣體、含氟的蝕刻氣體(例如CF4
、SF6
、CH2
F2
、CHF3
及/或C2
F6
)、含氯的蝕刻氣體(例如Cl2
、CHCl3
、CCl4
及/或BCl3
)、含溴的蝕刻氣體(例如HBr及/或CHBr3
)、含碘的蝕刻氣體、其他合適的蝕刻氣體及/或蝕刻電漿或前述之組合。濕式蝕刻製程可實施濕式蝕刻溶液,包含稀釋氫氟酸(DHF)、氫氧化鉀(KOH)、氫氧化銨(NH4
OH)、氨(NH3
)、氫氟酸(HF)、硝酸(HNO3
)、醋酸(CH3
COOH)、水(H2
O)、其他合適的濕式蝕刻溶液成分或前述之組合。一些實施例中,蝕刻製程為多步驟的蝕刻製程,包含選擇性蝕刻層間介電層310的第一蝕刻步驟和選擇性蝕刻接觸蝕刻停止層300的第二蝕刻步驟。舉例來說,第一蝕刻步驟係用以移除層間介電層310,但不移除或實質上不移除接觸蝕刻停止層300,而第二蝕刻步驟係用以移除接觸蝕刻停止層300,但不移除或實質上不移除層間介電層310、氣隙密封件290和源/汲極接觸274。一些實施例中,第一蝕刻步驟為乾式蝕刻製程,使用包含CF4
、O2
及/或N2
之蝕刻氣體以選擇性地蝕刻層間介電層310。在這樣的實施例中,可調整CH4
對O2
及/或N2
的比例、蝕刻溫度及/或射頻功率以實現期望的蝕刻選擇比。一些實施例中,第一蝕刻步驟為濕式蝕刻製程,使用包含氫氟酸以選擇性蝕刻層間介電層310的濕式蝕刻溶液。在這樣的實施例中,可調整蝕刻溫度及/或蝕刻時間(例如積體電路裝置200浸入濕式蝕刻溶液的時間)以實現期望的蝕刻選擇比。一些實施例中,第二蝕刻步驟為乾式蝕刻製程,使用包含SF6
、CH4
、H2
、O2
及/或N2
的蝕刻氣體以選擇性地蝕刻接觸蝕刻停止層300。在這樣的實施例中,可調整SF6
對CH4
、O2
及/或N2
的比例、CH4
對H2
、O2
及/或N2
的比例、蝕刻溫度及/或射頻功率以實現期望的蝕刻選擇比。一些實施例中,第二蝕刻步驟為濕式蝕刻製程,使用包含H3
PO4
和H2
O以選擇性蝕刻接觸蝕刻停止層300的濕式蝕刻溶液。在這樣的實施例中,可調整H3
PO4
對H2
O的比例、蝕刻溫度及/或蝕刻時間(例如積體電路裝置200浸入濕式蝕刻溶液的時間)以實現期望的蝕刻選擇比。一些實施例中,在蝕刻製程之後,自層間介電層310移除圖案化的遮罩層330(一些實施例中,藉由光阻剝除的製程)。一些實施例中,在蝕刻層間介電層310及/或接觸蝕刻停止層300的期間移除圖案化的遮罩層330。
由於氣隙密封件290和多層互連(MLI)部件250的絕緣層(此處為層間介電(ILD)層310和接觸蝕刻停止層(CESL)300)包含在導孔開口320的形成期間有助於高蝕刻選擇比的材料,氣隙密封件290維持完整且保持氣隙284的可靠度以降低電容及/或電阻。舉例來說,氣隙密封件290能承受在形成導孔至互連270時有時會出現的未對準問題及/或互連尺寸過大的問題。對於未對準問題,如圖所示,導孔開口320可能未對齊及/或覆蓋互連270而向左或向右移動,使得導孔開口320與空氣間隙物284顯著地重疊並暴露出封閉空氣間隙物284之部分的氣隙密封件290。對於互連尺寸過大的問題,有意地(或有時是無意地)將導孔的寬度配置為比互連270寬,使得導孔開口320明顯與空氣間隙物284重疊,並暴露出封閉空氣間隙物284之部分的氣隙密封件290。對於這兩個問題,傳統氣隙密封件通常包含無法協助提供足夠之蝕刻選擇比的材料,在用以形成導孔開口320的蝕刻製程期間可能移除傳統氣隙密封件,因此將氣隙284暴露於隨後沉積在導孔開口320中的導電材料。相反地,在所述的實施例中,由於氣隙密封件290包含非晶矽,且用以形成導孔開口320的蝕刻製程具有高蝕刻選擇比,氣隙密封件290在導孔開口320形成的期間維持完整。氣隙密封件290因此有效地防止導電材料(例如金屬)進入氣隙284,例如沉積在導孔開口320內以在互連270上形成導孔的那些。
參見第7圖,在互連開口內形成互連。舉例來說,在導孔開口320內形成導孔340。導孔340延伸穿過層間介電(ILD)層310和接觸蝕刻停止層(CESL)300至源/汲極接觸274,然而本發明實施例預期有導孔340延伸穿過不只一個多層互連部件250的層間介電層及/或接觸蝕刻停止層的實施例。在所示的實施例中,導孔340係設置於源/汲極接觸274和氣隙密封件290上(特別在氣隙密封件290之頂面296的一部分上),且導孔340的一部分係設置在氣隙密封件290之間。導孔340將源/汲極接觸274電性耦接及/或物理耦接至多層互連(MLI)部件250的導電部件,例如多層互連部件250的金屬層的導線(例如第一金屬(metal one,M1)層)。藉由任何合適的製程以形成具有任何合適之配置的導孔340。舉例來說,在導孔開口320內沉積一或多個導電層(例如金屬層)。一些實施例中,導孔340包含導孔阻障層(也稱為導孔襯層)和導孔塊材層。在這樣的實施例中,在層間介電層310、接觸蝕刻停止層300、氣隙密封件290和源/汲極接觸274定義導孔開口320的表面的上方沉積導孔阻障層,且在導孔阻障層的上方沉積導孔塊材層。導孔阻障層可部分地填充導孔開口320,且在一些實施例中共形地(conformally)沉積導孔阻障層,使得導孔阻障層沿著定義導孔開口320的各個表面的厚度實質上均勻。導孔塊材層可填充導孔開口320的剩餘部分。一些實施例中,導孔阻障層及/或導孔塊材層的沉積係藉由物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、無電電鍍、其他合適的沉積製程或前述之組合。之後,可藉由平坦化製程(例如化學機械研磨製程)以移除任何過量的導電材料(例如任何設置在層間介電層310之頂面的上方的導孔阻障層及/或導孔塊材層),藉此將層間介電層310和導孔340的頂面平坦化。
導孔阻障層包含促進介電材料(此處為層間介電層310及/或接觸蝕刻停止層300)與導孔塊材層之間黏著性的材料。舉例來說,導孔阻障層包含鈦、鈦合金、鉭、鉭合金、鈷、鈷合金、釕、釕合金、鉬、鉬合金、其他適合用以促進及/或增加金屬材料與介電材料之間黏著性的成分或前述之組合。一些實施例中,導孔阻障層包含鉭和氮(例如氮化碳)或鈦和氮(例如氮化鈦)。一些實施例中,導孔阻障層包含多層。舉例來說,導孔阻障層可包含第一子層和第二子層,第一子層包含鈦,且第二子層包含氮化鈦。在另一範例中,導孔阻障層可包含含鉭的第一子層及含氮化鉭的第二子層。導孔塊材層包含鎢、釕、鈷、銅、鋁、銥、鈀、鉑、鎳、低電阻的金屬成分、前述之合金或前述之組合。一些實施例中,導孔340為無阻障的導孔,因此僅包含導孔塊材層。一些實施例中,是部分無阻障的導孔,因此包含僅設置在導孔塊材層的一部分與其周遭環繞的層(例如層間介電層310、接觸蝕刻停止層300、氣隙密封件290及/或源/汲極接觸274)之間的導孔阻障層。
參見第8A和8B圖,一些實施例中,為了進一步降低源/汲極接觸274與閘極結構230A、230B之間的電容及/或電阻,氣隙密封件290在形成導孔340之前受到氧化。舉例來說,在第8A圖中,在形成導孔開口320之後,實施氧化製程以氧化氣隙密封件290,藉此形成氧化的氣隙密封件290-1。一些實施例中,氧化的氣隙密封件290-1受到部分地氧化,使得氧化的氣隙密封件290-1包含非晶矽部分和氧化矽部分。一些實施例中,氧化的氣隙密封件290-1受到完全地氧化,使得氧化的氣隙密封件290-1包含氧化矽且不含非晶矽。一些實施例中,氧化製程包含實施熱處理以氧化氣隙密封件290。舉例來說,氣隙密封件290暴露於約室溫(例如約20°C至約25°C)至約700°C的溫度下。一些實施例中,氧化製程包含實施電漿處理以氧化氣隙密封件290。舉例來說,氣隙密封件290暴露於含氧的電漿中,例如一氧化二氮(N2
O)電漿。一些實施例中,在約室溫至約500°C的溫度下實施電漿製程。一些實施例中,藉由電子迴旋共振(electron cyclotron resonance,ECR)技術或射頻(RF)技術以產生含氧的電漿。一些實施例中,氧化製程包含實施將氣隙密封件290氧化的臭氧水(ozonated water,DIO3
)清洗處理。舉例來說,氣隙密封件290暴露於去離子水的臭氧溶液中。一些實施例中,臭氧水清洗處理將氣隙密封件290部分地氧化,例如氣隙密封件290的外部,使得氧化的氣隙密封件290-1包含外部的氧化矽部分,例如外部的氧化矽層,以及內部的非晶矽部分。一些實施例中,外部的氧化矽部分僅形成在氣隙密封件290由導孔開口320所暴露出的部分上。舉例來說,一些實施例中,外部的氧化矽部分只有沿著頂面296由導孔開口320暴露出的部分設置。一些實施例中,外部的氧化矽層的厚度低於約0.5 nm。一些實施例中,在約室溫至約100°C的溫度下實施臭氧水清洗處理。一些實施例中,臭氧水清洗處理實施約10秒至約10分鐘的時間。
本揭露提供許多不同的實施例。在此揭露了有助於降低電容及/或電阻的互連以及形成互連的相應技術。在絕緣層內設置示範的互連。互連具有金屬接觸物、環繞金屬接觸物的側壁的接觸隔離層和設置在接觸隔離層與絕緣層之間的氣隙。氣隙的氣隙密封件具有設置在接觸隔離層的頂面的上方但未設置在絕緣層的頂面上的第一部分,以及設置在接觸隔離層與絕緣層之間的第二部分,使得第二部分環繞金屬接觸物的側壁的頂部。氣隙密封件可包含非晶矽及/或氧化矽。接觸隔離層可包含氮化矽。絕緣層可包含氧化矽。一些實施例中,氣隙密封件的第二部分具有第一區段設置在第二區段的上方。第一區段可具有實質上均勻的厚度,且第二區段可具有漸細的厚度。
一些實施例中,絕緣層包含第一蝕刻停止層、設置在第一蝕刻停止層的上方的第一層間介電層、設置在第一層間介電層的上方的第二蝕刻停止層和設置在第二蝕刻停止層的上方的第二層間介電層。在這樣的實施例中,氣隙延伸穿過第二層間介電層、第二蝕刻停止層和第一層間介電層至第一蝕刻停止層。在這樣的實施例中,氣隙密封件的第二部分係設置在接觸隔離層與第二層間介電層之間。一些實施例中,裝置更包含設置在第二層間介電層的上方的第三蝕刻停止層,以及設置在第三蝕刻停止層的上方的第三層間介電層。在這樣的實施例中,氣隙密封件的頂面可低於第三蝕刻停止層的頂面。一些實施例中,互連為第一互連,且裝置更包含設置在第一互連上的第二互連。在這樣的實施例中,氣隙密封件的第一部分可設置在接觸隔離層與第二互連之間。在這樣的實施例中,氣隙密封件的第一部分可進一步設置在金屬接觸物的一部分與第二互連之間。
示範的裝置包含設置在基底的上方的第一絕緣層,以及設置在第一絕緣層內的裝置級接觸物。沿著裝置級接觸物的側壁設置介電層。在第一絕緣層與沿著裝置級接觸物的側壁設置的介電層的第一部分之間設置氣隙密封件。在第一絕緣層、沿著裝置級接觸物的側壁設置的介電層的第二部分和氣隙密封件之間設置氣隙。此外,裝置更包含設置在第一絕緣層、裝置級接觸物、介電層和氣隙密封件上的第二絕緣層。在裝置級接觸物的上方的第二絕緣層內設置導孔。在這樣的實施例中,氣隙密封件的材料與介電層的材料、第一絕緣層的材料和第二絕緣層的材料不同。一些實施例中,氣隙密封件的材料包含非晶矽,介電層的材料包含矽和氮,第一絕緣層的材料包含矽和氧,且第二絕緣層的材料包含矽和氧。一些實施例中,氣隙密封件的材料包含第一部分和第二部分,第一部分包含非晶矽,且第二部分包含矽和氧。一些實施例中,氣隙密封件係設置在介電層的頂面的上方,且第一絕緣層的頂面無氣隙密封件。一些實施例中,第二絕緣層包含接觸蝕刻停止層(CESL)和設置在接觸蝕刻停止層上的層間介電(ILD)層。一些實施例中,接觸蝕刻停止層物理性地接觸氣隙密封件的頂面的一部分。一些實施例中,氣隙密封件定義於第一絕緣層的頂面與氣隙密封件的頂面之間的厚度小於接觸蝕刻停止層的厚度。
示範的方法包含在第一絕緣層內形成互連。互連包含金屬接觸物、沿著金屬接觸物的側壁設置的接觸隔離層,以及沿著金屬接觸物的側壁設置的虛設接觸層。虛設接觸層係設置在第一絕緣層與接觸隔離層之間。方法也包含從互連移除虛設接觸層以沿著金屬接觸物的側壁形成氣隙。氣隙係設置在第一絕緣層與接觸隔離層之間。方法更包含藉由實施沉積製程以密封氣隙,沉積製程選擇性地在接觸隔離層上沉積氣隙密封材料,且在第一絕緣層上不沉積氣隙密封材料。一些實施例中,互連為第一互連,且方法更包含,在密封氣隙之後,在第一互連和第一絕緣層上形成第二絕緣層。方法更包含在第二絕緣層內形成暴露出第一互連的互連開口,以及在互連開口內形成第二互連。一些實施例中,方法更包含在形成第二互連之前,氧化至少一部分的氣隙密封材料。一些實施例中,形成互連開口包含蝕刻第二絕緣層且不蝕刻氣隙密封材料。一些實施例中,實施沉積製程,選擇性地在接觸隔離層上沉積氣隙密封材料,且在第一絕緣層上不沉積氣隙密封材料,包含在氮化矽表面上形成非晶矽。
另一示範的裝置包含設置在基底的上方的第一絕緣層;設置在第一絕緣層內的源/汲極接觸;沿著源/汲極接觸的側壁設置的隔離層;設置在第一絕緣層與沿著源/汲極接觸之側壁設置的隔離層的第一部分之間的氣隙密封件;設置在第一絕緣層、沿著源/汲極接觸之側壁設置的隔離層的第二部分和氣隙密封件之間的氣隙;設置在源/汲極接觸、隔離層、第一絕緣層和氣隙密封件的上方的第二絕緣層;以及設置在源/汲極接觸的上方的第二絕緣層內的導孔。一些實施例中,氣隙密封件的材料與隔離層的材料、第一絕緣層的材料和第二絕緣層的材料不同。
一些實施例中,氣隙密封件的材料包含非晶矽,隔離層的材料包含矽和氮,第一絕緣層的材料包含矽和氧,且第二絕緣層的材料包含矽和氧。一些實施例中,氣隙密封件係設置在隔離層的頂面的上方,且第一絕緣層的頂面無氣隙密封件。一些實施例中,氣隙密封件的一部分的厚度實質上等於氣隙的寬度。一些實施例中,導孔接觸氣隙密封件。一些實施例中,氣隙密封件具有漸細的底面,使得氣隙密封件具有漸細的厚度。一些實施例中,第二絕緣層包含接觸蝕刻停止層(CESL)和設置在接觸蝕刻停止層上的層間介電(ILD)層,其中接觸蝕刻停止層接觸氣隙密封件。一些實施例中,氣隙密封件定義於第一絕緣層的頂面與氣隙密封件的頂面之間的厚度小於接觸蝕刻停止層的厚度。
另一示範的方法包含在第一絕緣層內形成源/汲極接觸結構,其中源/汲極接觸結構包含源/汲極接觸、沿著源/汲極接觸的側壁設置的隔離層,以及沿著隔離層設置的虛設層,使得虛設層設置在第一絕緣層與隔離層之間;藉由移除虛設層以形成氣隙,其中氣隙係設置在第一絕緣層與隔離層之間;藉由在隔離層上沉積氣隙密封材料以在氣隙的上方形成氣隙密封件,其中氣隙密封材料不同於隔離層的材料和第一絕緣層的材料;在源/汲極接觸結構、第一絕緣層和氣隙密封件的上方形成第二絕緣層,其中第二絕緣層的材料不同於氣隙密封材料的材料;以及在第二絕緣層內形成導孔,其中導孔係設置在源/汲極接觸的上方且接觸源/汲極接觸。一些實施例中,形成導孔包含實施蝕刻製程,蝕刻第二絕緣層且不蝕刻氣隙密封件以形成暴露出源/汲極接觸的導孔溝槽,以及以金屬填充導孔溝槽。一些實施例中,蝕刻製程的蝕刻劑對第二絕緣層的材料的蝕刻速率大於蝕刻製程的蝕刻劑對氣隙密封件的氣隙密封材料的蝕刻速率。一些實施例中,方法更包含在填充導孔溝槽之前,氧化至少一部分的氣隙密封件。一些實施例中,藉由在隔離層上沉積氣隙密封材料以在氣隙的上方形成氣隙密封件,包含選擇性地在隔離層上成長氣隙密封材料,且在第一絕緣層上不成長氣隙密封材料。一些實施例中,氣隙密封材料包含非晶矽,隔離層的材料包含矽和氮,且第一絕緣層的材料包含矽和氧。一些實施例中,藉由移除虛設層以形成氣隙,包含實施蝕刻製程,蝕刻虛設層且不蝕刻隔離層和第一絕緣層。一些實施例中,藉由在隔離層上沉積氣隙密封材料以在氣隙的上方形成氣隙密封件,包含填充氣隙的頂部,使得氣隙密封材料填充氣隙的一部分。
前述內文概述了許多實施例的部件,以使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的精神與範圍。在不背離本發明實施例的精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10:方法
20:方框
30:方框
40:方框
50:方框
60:方框
70:方框
200:積體電路裝置
210:基底
230A:閘極結構
230B:閘極結構
232:金屬閘極堆疊物
236:閘極間隙物
240A:磊晶源/汲極部件
240B:磊晶源/汲極部件
240C:磊晶源/汲極部件
250:多層互連部件
252:層間介電層
254:層間介電層
262:接觸蝕刻停止層
264:接觸蝕刻停止層
270:互連
272:矽化物層
274:源/汲極接觸物
276:接觸阻障層
278:接觸塊材層
280:接觸隔離層
282:虛設接觸層
284:氣隙
290:氣隙密封件
290-1:氧化的氣隙密封件
292:頂面
294:頂面
296:頂面
300:接觸蝕刻停止層
310:層間介電層
320:導孔開口
330:圖案化的遮罩層
340:導孔
l:長度
t1:厚度
t2:厚度
t3:厚度
t4:厚度
t5:厚度
t6:厚度
t7:厚度
ta:厚度
tb:厚度
tc:厚度
w:寬度
A:區段
B:區段
C:區段
藉由以下的詳述配合所附圖式可更加理解本發明實施例的內容。需注意的是,根據產業上的標準做法,各種部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意增加或減少。
第1圖是根據本發明實施例的各種樣態,製造積體電路裝置之互連的方法的流程圖。
第2-7圖是根據本發明實施例的各種樣態,在製造互連的各個階段(例如製造第1圖之積體電路裝置的互連方法)中,積體電路裝置之整體或部分的片段示意圖。
第8A和8B圖是根據本發明實施例的各種樣態,在製造互連的各個階段(例如製造第1圖之積體電路裝置的互連方法)中,積體電路裝置之整體或部分的片段示意圖。
無
200:積體電路裝置
210:基底
230A:閘極結構
230B:閘極結構
232:金屬閘極堆疊物
236:閘極間隙物
240A:磊晶源/汲極部件
240B:磊晶源/汲極部件
240C:磊晶源/汲極部件
250:多層互連部件
252:層間介電層
254:層間介電層
262:接觸蝕刻停止層
264:接觸蝕刻停止層
270:互連
272:矽化物層
274:源/汲極接觸
276:接觸阻障層
278:接觸塊材層
280:接觸隔離層
284:氣隙
290:氣隙密封件
296:頂面
300:接觸蝕刻停止層
310:層間介電層
340:導孔
C:區段
Claims (1)
- 一種半導體裝置,包括: 一互連,設置在一絕緣層內,該互連具有: 一金屬接觸物; 一接觸隔離層,環繞該金屬接觸物的側壁, 一氣隙,環繞該金屬接觸物的側壁,其中該氣隙係設置在該接觸隔離層與該絕緣層之間,以及 一氣隙密封件,具有一第一部分設置在一第二部分的上方,其中該第一部分係設置在該接觸隔離層的一頂面的上方且未設置在該絕緣層的一頂面上,該第二部分環繞該金屬接觸物的側壁的一頂部,且該第二部分係設置在該接觸隔離層與該絕緣層之間。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962868012P | 2019-06-28 | 2019-06-28 | |
US62/868,012 | 2019-06-28 | ||
US16/817,111 | 2020-03-12 | ||
US16/817,111 US11328982B2 (en) | 2019-06-28 | 2020-03-12 | Air gap seal for interconnect air gap and method of fabricating thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202103260A true TW202103260A (zh) | 2021-01-16 |
Family
ID=74042649
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109120335A TW202103260A (zh) | 2019-06-28 | 2020-06-17 | 半導體裝置 |
Country Status (1)
Country | Link |
---|---|
TW (1) | TW202103260A (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI779882B (zh) * | 2021-10-15 | 2022-10-01 | 南亞科技股份有限公司 | 製造半導體裝置的方法 |
TWI812206B (zh) * | 2021-06-17 | 2023-08-11 | 台灣積體電路製造股份有限公司 | 半導體結構及其形成方法 |
US12046516B2 (en) | 2021-02-15 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut feature and method for forming the same |
-
2020
- 2020-06-17 TW TW109120335A patent/TW202103260A/zh unknown
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US12046516B2 (en) | 2021-02-15 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut feature and method for forming the same |
TWI812206B (zh) * | 2021-06-17 | 2023-08-11 | 台灣積體電路製造股份有限公司 | 半導體結構及其形成方法 |
US11929281B2 (en) | 2021-06-17 | 2024-03-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing oxidation by etching sacrificial and protection layer separately |
TWI779882B (zh) * | 2021-10-15 | 2022-10-01 | 南亞科技股份有限公司 | 製造半導體裝置的方法 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11996353B2 (en) | Air gap seal for interconnect air gap and method of fabricating thereof | |
US11410877B2 (en) | Source/drain contact spacers and methods of forming same | |
US20210375756A1 (en) | Methods of Forming Interconnect Structures in Semiconductor Fabrication | |
US11532561B2 (en) | Different via configurations for different via interface requirements | |
TW202013603A (zh) | 半導體結構之形成方法 | |
KR102470490B1 (ko) | 핀형 전계효과 트랜지스터용 게이트 에어 스페이서 | |
CN111106066B (zh) | 半导体器件及其形成方法 | |
US11710657B2 (en) | Middle-of-line interconnect structure having air gap and method of fabrication thereof | |
TW202103260A (zh) | 半導體裝置 | |
US11637042B2 (en) | Self-aligned metal gate for multigate device | |
TW202213443A (zh) | 半導體結構及其形成方法 | |
KR20210106334A (ko) | 에칭 정지층과 구리 상호 연결체 사이의 계면을 개선하기 위한 질소 플라즈마 처리 | |
US20230361192A1 (en) | Gate Air Spacer for Fin-Like Field Effect Transistor | |
US10847417B1 (en) | Methods of forming interconnect structures in semiconductor fabrication | |
TWI807695B (zh) | 半導體裝置及其形成方法 | |
CN114975264A (zh) | 半导体结构的形成方法 | |
CN112582405B (zh) | 半导体器件及其形成方法 | |
CN118645492A (zh) | 互连结构及其制造方法 |