TW202213443A - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TW202213443A
TW202213443A TW110117475A TW110117475A TW202213443A TW 202213443 A TW202213443 A TW 202213443A TW 110117475 A TW110117475 A TW 110117475A TW 110117475 A TW110117475 A TW 110117475A TW 202213443 A TW202213443 A TW 202213443A
Authority
TW
Taiwan
Prior art keywords
trench
semiconductor
dielectric liner
metal plugs
forming
Prior art date
Application number
TW110117475A
Other languages
English (en)
Other versions
TWI847033B (zh
Inventor
諶俊元
蘇煥傑
莊正吉
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213443A publication Critical patent/TW202213443A/zh
Application granted granted Critical
Publication of TWI847033B publication Critical patent/TWI847033B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

方法包含提供結構,結構具有電晶體、至少部分在電晶體上方的隔離結構、通過隔離結構並電性連接至電晶體的電極的兩金屬插塞以及溝槽,其中隔離結構和兩金屬插塞形成溝槽的側壁。此方法更包含在溝槽的側壁上及隔離結構和兩金屬插塞上方形成介電襯墊,其中介電襯墊在溝槽的開口部分的厚度大於在溝槽的比開口部分更深的另一部分的厚度,使得空氣間隙形成於溝槽中,且介電襯墊完全或部分地圍繞空氣間隙。此方法更包含在介電襯墊和空氣間隙上方沉積犧牲介電層,以及進行化學機械平坦化製程,以移除犧牲介電層並將介電襯墊凹陷直到暴露出隔離結構和兩金屬插塞,其中空氣間隙保留在溝槽中。

Description

半導體結構及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體結構及其形成方法。
積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程提供增加生產效率與降低相關費用的益處。此元件尺寸微縮化也增加了加工和製造積體電路的複雜性。因此,需要持續的改善半導體製造過程。改善的領域之一是如何降低場效電晶體的部件之間的雜散電容(stray capacitance)。
為了提高切換速度、降低切換功率消耗及/或減少電路的耦合雜訊,一般期望降低金屬部件(例如金屬線及/或金屬插塞)之間的雜散電容。已提出低介電常數材料作為絕緣材料,以降低雜散電容。然而,隨著半導體技術發展至更小的幾何形狀,進一步縮小的金屬部件之間的距離,進而增加了雜散電容。因此,雖然半導體製造的現有方法一般對於其預期目的為足夠的,但是這些方法並非在所有方面都令人滿意。
在一些實施例中,提供半導體結構的形成方法,此方法包含提供結構,結構具有複數個電晶體、至少部分在複數個電晶體上方的隔離結構、通過隔離結構並電性連接至複數個電晶體的電極的兩金屬插塞以及溝槽,其中隔離結構和兩金屬插塞形成溝槽的側壁;在溝槽的側壁上及隔離結構和兩金屬插塞上方形成介電襯墊,其中介電襯墊在溝槽的開口部分的厚度大於在溝槽的比開口部分更深的另一部分的厚度,使得空氣間隙形成於溝槽中,且介電襯墊完全或部分地圍繞空氣間隙;在介電襯墊和空氣間隙上方沉積犧牲介電層;以及進行化學機械平坦化製程,以移除犧牲介電層並將介電襯墊凹陷直到暴露出隔離結構和兩金屬插塞,其中空氣間隙保留在溝槽中。
在一些其他實施例中,提供半導體結構的形成方法,此方法包含提供結構,結構具有矽基底、在矽基底上方的隔離結構、從矽基底延伸至隔離結構上方的一個或複數個半導體鰭、形成於一個或複數個半導體鰭上方的一個或複數個電晶體以及在一個或複數個電晶體上方的互連結構,其中矽基底位於結構的背側,且互連結構位於結構的前側;將結構的前側附接至承載基底;從結構的背側將結構薄化,直到從結構的背側暴露出隔離結構和一個或複數個半導體鰭;蝕刻通過一個或複數個半導體鰭的兩孔洞,以從結構的背側暴露出一個或複數個電晶體的兩源極/汲極部件;分別從兩孔洞中形成兩金屬插塞;從結構的背側蝕刻兩金屬插塞之間的一個或複數個半導體鰭,進而形成溝槽;以及在溝槽的側壁上及隔離結構和兩金屬插塞上方形成介電襯墊,其中介電襯墊在溝槽的開口部分的厚度大於在溝槽的比開口部分更深的另一部分的厚度,使得空氣間隙形成於溝槽中。
在另外一些實施例中,提供半導體結構,半導體結構包含隔離結構,位於半導體結構的背側;一個或複數個電晶體,位於隔離結構上方和半導體結構的前側,一個或複數個電晶體具有複數個源極/汲極電極;第一互連結構,位於一個或複數個電晶體上方和前側;兩金屬插塞,通過隔離結構並從背側接觸複數個源極/汲極電極的兩者,其中兩金屬插塞和隔離結構形成溝槽的側壁;以及介電襯墊,位於溝槽的側壁上,其中介電襯墊部分或完全圍繞溝槽中的空氣間隙。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。再者,當用“大約”、“近似”及類似術語描述數字或數字範圍時,除非另有說明,否則依據本發明所屬技術領域中具通常知識者可理解,此術語涵蓋在所描述的數字的特定偏差內(例如+/- 10%或其他偏差)。舉例來說,術語“約5nm”涵蓋4.5nm至5.5nm、4.0nm至5.0nm的尺寸範圍等。
本發明實施例有關於半導體製造過程及其結構。特別來說,本發明實施例有關於在結構(例如晶圓)的背側上製造介電層和金屬層,且已在結構的前側上製造裝置(例如電晶體)。隨著半導體技術發展至更小的幾何形狀,期望將一些連接層移動至晶圓的背側,連接層例如連接至電晶體的源極及/或汲極(源極/汲極)部件的電源軌。這將釋放晶圓的前側的一些區域,且進一步增加裝置整合。這也帶來了新的挑戰。挑戰之一是如何處理背側上的導體之間的雜散電容(或耦合電容),這些導體例如從背側延伸至源極/汲極部件的金屬插塞。如果處理不當,這些導體之間的小的距離可意味著大的雜散電容。依據本發明一些實施例,背側介電層具有空氣間隙,以降低雜散電容並增加導體之間的隔離。透過參考附圖進一步描述本發明實施例的這些及其他方面。
第1A和1B圖為依據本發明各方面,製造半導體裝置的方法10的流程圖。本揭露考慮了額外的加工。可在方法10之前、期間及之後提供額外的操作,且對於方法10的其他實施例,可移動、取代或消除所描述的一些操作。
以下結合第2A圖至第11B-1圖描述方法10,第2A圖至第11B-1圖顯示依據一些實施例,依據方法10在製造的各種步驟中的半導體裝置100(或半導體結構)的各種透視圖和剖面示意圖。在一些實施例中,半導體裝置100可為積體電路晶片的一部分、系統單晶片(system on chip,SoC)或前述的一部分,其包含各種被動和主動微電子裝置,例如電阻、電容、電感、二極體、p型場效電晶體(p-type FETs,PFETs)、n型場效電晶體(n-type FETs,NFETs)、鰭式場效電晶體(FinFET)、奈米片場效電晶體、奈米線場效電晶體、其他類型的多閘極場效電晶體、金屬氧化物半導體場效電晶體(metal-oxide semiconductor FETs,MOSFETs)、互補式金屬氧化物半導體(complementary MOS,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors,BJTs)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件或前述之組合。為了清楚起見,已將第2A圖至第11B-1圖簡化,以更好地理解本發明實施例的發明概念。可在半導體裝置100中添加額外的部件,且在半導體裝置100的其他實施例中,可取代、修改或消除以下所描述的一些部件。
在操作12,方法10(第1A圖)提供半導體裝置100的結構(或工件),第2A和2B圖顯示一實施例。特別來說,依據一實施例,第2A圖顯示半導體裝置100的一部分的透視圖,且第2B圖顯示半導體裝置100的一部分的剖面示意圖。半導體裝置100包含基底102、在基底102上方的裝置層152以及在裝置層152上方的互連結構162(或多層互連結構)。半導體裝置100可包含未顯示於第2A圖中的其他層或其他部件,例如在互連結構162上方的保護層。基底102在半導體裝置100的背側B,且互連結構162在半導體裝置100的前側F。換句話說,基底102、裝置層152和互連結構162從半導體裝置100的背側至前側一個設置於一個上方。
在本實施例中,基底102為塊狀矽(Si)基底,例如矽晶圓。在其他實施例中,基底102包含元素半導體(例如鍺(Ge))、化合物半導體(例如碳化矽(SiC)、砷化鎵(GaAs)、砷化銦(InAs)和磷化銦(InP))、合金半導體(例如矽鍺(SiGe)、碳化矽鍺(SiGeC)、磷化鎵砷(GaAsP)和磷化鎵銦(GaInP)。在一些實施例中,基底102可包含絕緣層上覆矽(silicon on insulator,SOI)基底,將基底102應變及/或應力化以提高效能,包含磊晶區、摻雜區及/或其他合適部件和層。
裝置層152包含半導體主動區(例如半導體鰭)以及建構於半導體主動區中或半導體主動區上的各種主動裝置(例如電晶體)。裝置層152也可包含被動裝置,例如電容、電阻和電感。裝置層152更包含局部互連結構、隔離結構和其他結構。在第2B圖所示的實施例中,裝置層152包含從基底102向上延伸的半導體鰭103(或鰭)、在半導體鰭103上方的磊晶部件104以及在半導體主動區之間的介電隔離部件154。磊晶部件104可為電晶體的源極或汲極(S/D)電極。因此,磊晶部件104也被稱為源極/汲極部件。半導體鰭103可包含矽或其他合適的半導體材料,例如矽鍺。裝置層152也包含導體156和158(例如局部互連結構、導通孔及/或插塞),導體156和158提供連接至電晶體的源極/汲極電極以及未顯示於此圖式中的閘極電極。其中一些導體158連接至互連結構162。導體156和158可包括銅、鎢、釕、鈷或其他合適的材料。
互連結構162在裝置層152上方,且包含埋置於一個或多個介電層164中的導體166(例如金屬線或金屬絲和導通孔)。導體166提供連接至裝置層152中的裝置。導體166也可提供用於半導體裝置100的電源軌和接地面。導體166可包括銅、鋁或其他合適的材料,且可透過使用單鑲嵌製程、雙鑲嵌製程或其他合適的製程形成。介電層164可包括氮化矽、氮氧化矽、有著氧(O)或碳(C)元素的氮化矽、四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽酸鹽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。
第2C圖顯示依據一實施例之半導體裝置100的一部分的透視圖,半導體裝置100的此部分包含半導體鰭103和閘極堆疊物118。半導體鰭103一般沿x方向在長度方向上定向,而閘極堆疊物118一般沿y方向在長度方向上定向,y方向垂直於x方向。第2D圖顯示依據一實施例,沿第2C圖的線A-A,半導體裝置100的一部分的剖面示意圖,線A-A平行且通過半導體鰭103。第2E圖顯示依據一實施例,沿第2C圖的線B-B,半導體裝置100的一部分的剖面示意圖,線B-B平行且通過閘極堆疊物118。顯示於第2D和2E圖的實施例的電晶體為鰭式場效電晶體101a。第2D-1和2E-1圖顯示依據另一實施例,分別沿第2C圖的線A-A和線B-B,半導體裝置100的一部分的剖面示意圖,其中電晶體為全繞式閘極(gate-all-around,GAA)場效電晶體101b。在各種實施例中,半導體裝置100可包含任何數量的半導體鰭103、任何數量的閘極堆疊物118、任何數量的鰭式場效電晶體及/或全繞式閘極場效電晶體及其他類型的裝置。
請參照第2C-2E圖,半導體裝置100包含基底102,半導體鰭103和閘極堆疊物118形成於基底102上方。半導體裝置100包含隔離結構105(隔離結構105為第2B圖中的介電隔離部件154的一部分),隔離結構105用於將半導體鰭103與其他主動區或鰭隔離。半導體鰭103從基底102延伸,並延伸至隔離結構105之上。閘極堆疊物118設置於隔離結構105之上以及半導體鰭103的三面上。半導體裝置100更包含在閘極堆疊物118的側壁上的閘極間隙壁108。磊晶部件104設置於半導體鰭103的頂部上,且在閘極堆疊物118的兩側上。
半導體鰭103可包含一層或多層半導體材料,例如矽或矽鍺。半導體鰭103可透過任何合適的方法圖案化。舉例來說,半導體鰭103可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸作為遮罩元件來將半導體鰭103圖案化。舉例來說,遮罩元件可用於將基底102中或基底102上方的半導體層蝕刻凹口,在基底102上留下半導體鰭103。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。舉例來說,乾蝕刻製程可使用含氧氣體、含氟氣體(CF 4、SF 6、CH 2F 2、CHF 3及/或C 2H 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合。舉例來說,濕蝕刻製程可包括以稀釋氫氟酸(diluted hydrofluoric acid,DHF)、氫氧化鉀(KOH)溶液、氨、含氫氟酸(HF)、硝酸(HNO 3)及/或醋酸(CH 3COOH)的溶液或其他合適的濕蝕刻劑蝕刻。用於形成半導體鰭103的方法的許多其他實施例可能為合適的。
磊晶部件104包含磊晶成長半導體材料,例如磊晶成長矽、鍺或矽鍺。磊晶部件104可透過任何磊晶製程形成,這些磊晶製程包含化學氣相沉積(chemical vapor deposition,CVD)技術(例如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程或前述之組合。磊晶部件104可摻雜n型摻雜物及/或p型摻雜物。在一些實施例中,對於n型電晶體,磊晶部件104包含矽且可摻雜碳、磷、砷、其他n型摻雜物或前述之組合(舉例來說,形成Si:C磊晶源極/汲極部件、Si:P磊晶源極/汲極部件或Si:C:P磊晶源極/汲極部件)。在一些實施例中,對於p型電晶體,磊晶部件104包含矽鍺或鍺,且可摻雜硼、其他p型摻雜物或前述之組合(舉例來說,形成Si:Ge:B磊晶源極/汲極部件)。磊晶部件104可包含具有不同水平的摻雜物密度的多個磊晶半導體層。在一些實施例中,進行退火製程(例如快速熱退火(rapid thermal anneal,RTA)及/或雷射退火),以活化磊晶部件104中的摻雜物。
隔離結構105可包含氧化矽(SiO 2)、氮化矽(Si 3N 4)、氮氧化矽(SiON)、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質及/或其他合適的絕緣材料。在一實施例中,可透過在基底102中或基底102上方蝕刻溝槽,以絕緣材料填充溝槽,並對絕緣材料進行化學機械平坦化(chemical mechanical planarization,CMP)製程及/或回蝕刻製程,保留剩下的絕緣材料作為隔離結構105。其他類型的隔離結構也可為合適的,例如場氧化物和矽局部氧化(local oxidation of silicon,LOCOS)。隔離結構105可包含多層結構,例如在基底102和半導體鰭103的表面上具有一個或多個襯墊層(例如氮化矽)以及在一個或多個襯墊層上方的主要隔離層(例如二氧化矽)。
在本發明實施例中,閘極堆疊物118包含閘極介電層120及在閘極介電層120上方的閘極電極層122。閘極介電層120可包含高介電常數介電材料,例如HfO 2、HfSiO、HfSiO 4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlO x、ZrO、ZrO 2、ZrSiO 2、AlO、AlSiO、Al 2O 3、TiO、TiO 2、LaO、LaSiO、Ta 2O 3、Ta 2O 5、Y 2O 3、SrTiO 3、BaZrO、BaTiO 3(BTO)、(Ba,Sr)TiO 3(BST)、Si 3N 4、二氧化鉿-氧化鋁(HfO 2-Al 2O 3)合金、其他合適的高介電常數介電材料或前述之組合。高介電常數介電材料一般係指具有高介電常數的介電材料,例如大於氧化矽的介電常數(k≈3.9)。閘極介電層120可透過化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(CVD)及/或其他合適的方法形成。在本發明實施例中,閘極堆疊物118更包含在閘極介電層120與半導體鰭103之間的界面層106。界面層106可包含二氧化矽、氮氧化矽或其他合適的材料。在一些實施例中,閘極電極層122包含n型或p型功函數層和金屬填充層。舉例來說,n型功函數層可包括有著足夠小的有效功函數的金屬,例如鈦、鋁、碳化鉭、氮碳化鉭、氮化鉭矽或前述之組合。舉例來說,p型功函數層可包括有著足夠大的有效功函數的金屬,例如氮化鈦、氮化鉭、釕、鉬、鎢、鉑或前述之組合。舉例來說,金屬填充層可包含鋁、鎢、鈷、銅及/或合適的材料。閘極電極層122可透過化學氣相沉積、物理氣相沉積、電鍍及/或其他合適的製程形成。由於閘極堆疊物118包含高介電常數介電層和金屬層,因此閘極堆疊物118也可被稱為高介電常數金屬閘極。
每個閘極間隙壁108可為單一層或多層結構。在一些實施例中,閘極間隙壁108包含介電材料,例如氧化矽(SiO 2)、氮化矽(Si 3N 4)、氮氧化矽(SiON)、其他介電材料或前述之組合。在一範例中,閘極間隙壁108透過沉積和蝕刻(例如非等向性蝕刻)製程形成。在一些實施例中,閘極間隙壁108包含La 2O 3、Al 2O 3、SiOCN、SiOC、SiCN、SiO 2、SiC、ZnO、ZrN、Zr 2Al 3O 9、TiO 2、TaO 2、ZrO 2、HfO 2、Si 3N 4、Y 2O 3、AlON、TaCN、ZrSi或其他合適的材料。
在本發明實施例中,半導體裝置100更包含位於閘極堆疊物118上方的閘極蓋層124。閘極蓋層124可包含例如La 2O 3、Al 2O 3、SiOCN、SiOC、SiCN、SiO 2、SiC、ZnO、ZrN、Zr 2Al 3O 9、TiO 2、TaO 2、ZrO 2、HfO 2、Si 3N 4、Y 2O 3、AlON、TaCN、ZrSi的材料或其他材料。可透過將兩側閘極間隙壁108之間的閘極堆疊物118凹陷,在凹陷的閘極堆疊物118上方沉積一個或多個材料,並對此一個或多個材料進行化學機械平坦化製程來形成閘極蓋層124。閘極蓋層124可透過原子層沉積(ALD)、化學氣相沉積及/或其他合適的方法形成。
半導體裝置100更包含接觸蝕刻停止層(contact etch stop layer,CESL)114和層間介電(inter-layer dielectric,ILD)層116,接觸蝕刻停止層114和層間介電層116為第2B圖中的介電隔離部件154的一部分。接觸蝕刻停止層114設置於閘極間隙壁108的側壁上以及磊晶部件104上。層間介電層116設置於接觸蝕刻停止層114上方。接觸蝕刻停止層114可包含La 2O 3、Al 2O 3、SiOCN、SiOC、SiCN、SiO 2、SiC、ZnO、ZrN、Zr 2Al 3O 9、TiO 2、TaO 2、ZrO 2、HfO 2、Si 3N 4、Y 2O 3、AlON、TaCN、ZrSi或其他合適的材料,且可透過化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法形成。層間介電層116可包括四乙氧基矽烷(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜矽酸鹽玻璃(BSG)及/或其他合適的介電材料。層間介電層116可透過電漿輔助化學氣相沉積(plasma enhanced CVD,PECVD)、可流動化學氣相沉積(flowable CVD,FCVD)或其他合適的方法形成。
在例如第2D-1和2E-1圖所示的一些實施例中,半導體裝置100包含全繞式閘極電晶體,例如奈米片場效電晶體或奈米線場效電晶體。第2D-1和2E-1圖中的大部分部件相同或相似於第2D和2E圖中的部件,在這些圖式中,以相似的參考符號標註相似的部件。請參照第2D-1和2E-1圖,在此實施例中,半導體裝置100更包含垂直堆疊於基底102上方(沿z方向)並水平連接磊晶部件104的半導體材料(例如矽)的多個通道層103a。電晶體的通道層103a可被視為半導體鰭103的一部分。在各種實施例中,通道層103a可為條狀、棒狀、片狀或其他形狀。閘極堆疊物118的一部分環繞通道層103a的每一者。半導體裝置100更包含水平設置於磊晶部件104與閘極堆疊物118之間且垂直設置於通道層103a之間的內部間隙壁108a。在一些實施例中,內部間隙壁108a包含La 2O 3、Al 2O 3、SiOCN、SiOC、SiCN、SiO 2、SiC、ZnO、ZrN、Zr 2Al 3O 9、TiO 2、TaO 2、ZrO 2、HfO 2、Si 3N 4、Y 2O 3、AlON、TaCN、ZrSi或其他合適的材料。
在操作14,方法10(第1A圖)將半導體裝置100的前側附接至承載基底220,如第3圖所示。這使得可從半導體裝置100的背側B進行進一步加工。操作14可使用任何合適的附接製程,例如直接接合、混合接合、使用黏著物或其他接合方法。操作14可更包含對準、退火及/或其他製程。在一些實施例中,承載基底220可為矽晶圓。在第3圖(以及以下描述的其他圖式)中,“z”方向從半導體裝置100的背側B指向半導體裝置100的前側F,而“-z”方向從半導體裝置100的前側F指向半導體裝置100的背側B。
在操作16,方法10(第1A圖)從半導體裝置100的背側將半導體裝置100薄化。薄化製程可包含機械研磨製程及/或化學薄化製程。在機械研磨製程期間,可先從基底102移除大量的基底材料。之後,可對基底102的背側B應用蝕刻材料來進行化學薄化製程,以進一步將基底102薄化。在本發明實施例中,將半導體裝置100薄化,直到從半導體裝置100的背側B暴露出主動區(例如半導體鰭103)和隔離結構105,如第4圖所示。為了簡單起見,第4圖未顯示半導體裝置100的所有組件。
在操作18,方法10(第1A圖)蝕刻通過主動區(例如半導體鰭103)的孔洞168,以從半導體裝置100的背側到達一些磊晶部件104。具有孔洞168的半導體裝置100的範例顯示於第5A和5B圖中,其中第5B圖為沿第5A圖的線A-A的半導體裝置100的剖面示意圖。第5A圖的線A-A可相同於第2C圖的線A-A。在一實施例中,操作18可包含各種製程,例如沉積、光微影和蝕刻。舉例來說,操作18可在半導體裝置100的背側B上方形成光阻層(例如透過旋塗),進行預曝光烘烤製程,使用光罩將光阻曝光,進行曝光後烘烤製程,在顯影溶液中將曝光的光阻層顯影。在顯影之後,圖案化的光阻層(或光阻圖案)提供對應於孔洞168的開口。或者,曝光製程可透過其他方法進行或取代,例如無遮罩微影、電子束寫入、離子束寫入或前述之組合。之後,通過光阻圖案的開口蝕刻半導體裝置100,以形成孔洞168。之後,例如透過剝離來移除光阻圖案。孔洞168可暴露出源極部件、汲極部件及/或各種電晶體的其他部件和主動區。舉例來說,兩個相鄰的孔洞168可暴露出相同電晶體的源極部件和汲極部件,或可暴露出一個電晶體的源極或汲極部件以及另一個電晶體的源極或汲極部件。透過孔洞168,可在半導體裝置100的背側上建置連接網路,並提供電源軌及/或信號線到已形成於半導體裝置100的前側的電晶體。
在操作20,方法10(第1A圖)在孔洞168中及磊晶部件104上方形成矽化物部件169,並在孔洞168中及矽化物部件169上方形成金屬插塞170(或導體),如第6A和6B圖所示,其中第6B圖為沿第6A圖的線A-A的半導體裝置100的剖面示意圖。在一實施例中,操作20包含在孔洞168中沉積一個或多個金屬,對半導體裝置100進行退火製程,以在一個或多個金屬與磊晶部件104之間產生反應,以形成矽化物部件169,並移除一個或多個金屬的未反應部分,在孔洞168中保留暴露的矽化物部件169。此一個或多個金屬可包含鈦(Ti)、鉭(Ta)、鎢(W)、鎳(Ni)、鉑(Pt)、鐿(Yb)、銥(Ir)、鉺(Er)、鈷(Co)或前述之組合(例如兩個或多個金屬的合金),且可透過使用化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法沉積。矽化物部件169可包含矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鎢(WSi)、鎳鉑矽化物(NiPtSi)、鎳鉑鍺矽化物(NiPtGeSi)、鎳鍺矽化物(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi)或其他合適的化合物。在一實施例中,金屬插塞170可包含在孔洞168的底部和側壁上的導電阻障層以及在導電阻障層上方的金屬填充層。導電阻障層用以防止金屬填充層的金屬材料擴散至將形成與金屬插塞170相鄰的介電層中。導電阻障層可包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、釕(Ru)或導電氮化物(例如氮化鈦(TiN)、氮化鈦鋁(TiAlN)、氮化鎢(WN)、氮化鉭(TaN))或前述之組合,且可透過化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法形成。金屬填充層可包含鎢(W)、鈷(Co)、鉬(Mo) 、釕(Ru)、鋁(Al)或其他金屬,且可透過化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。在一些實施例中,在金屬插塞170中省略導電阻障層。操作20可進行化學機械平坦化製程,以移除金屬插塞170的多餘材料,並再次從半導體裝置100的背側暴露出主動區(在此範例中為半導體鰭103)。
在操作22,方法10(第1A圖)蝕刻金屬插塞170之間的主動區(半導體鰭103),以形成溝槽172,如第7A和7B圖所示,其中第7B圖為沿第7A圖的線A-A的半導體裝置100的剖面示意圖。在一實施例中,操作22可在不使用蝕刻遮罩的情況下,透過使用自對準蝕刻製程蝕刻半導體鰭103。舉例來說,操作22可進行調整對半導體鰭103的材料有選擇性而不蝕刻(或最小化蝕刻)金屬插塞170和隔離結構105的蝕刻製程。在一實施例中,半導體鰭103包含在通道層之上的抗擊穿(anti-punch-through,APT)層(未顯示)(在“-z”方向中),且操作22可部分或完全地移除抗擊穿層。溝槽172從半導體裝置100的背側延伸高度h2,其中在一些實施例中,高度h2等於或大於金屬插塞170的高度h1。在一些實施例中,高度h2等於或大於金屬插塞170的高度h1和矽化物部件169的厚度的總合。如第7A圖所示,隔離結構105和金屬插塞170形成溝槽172的側壁的全部或一部分,而磊晶部件104和半導體鰭103形成溝槽172的底部。
在操作24,方法10(第1B圖)例如以化學氣體、溶液或電漿來處理溝槽172的表面。此處理預處理溝槽172的表面,使得在操作26中形成的介電襯墊174更容易得到懸垂輪廓,這將在以下討論。舉例來說,操作24可以具有氮、氟、氯、氧、氫及/或氬的原子或離子的電漿處理溝槽172的表面。舉例來說,電漿可透過使用NF 3、含氫的氟碳化合物(CH xF y)(例如CH 3F)、HCl、CO 2、O 2、H 2及/或Ar產生。因此,可在溝槽172的表面上發現一些F、C、Cl、O、Si和N原子。操作24為選擇性的,且在方法10的一些實施例中,可省略操作24。
在操作26,方法10(第1B圖)在隔離結構105和金屬插塞170上方以及溝槽172中沉積介電襯墊174,如第8A和8B圖所示,其中第8B圖為沿第8A圖的線A-A的半導體裝置100的剖面示意圖。特別來說,形成介電襯墊174具有懸垂輪廓。換句話說,介電襯墊174在溝槽172靠近半導體裝置100的背側B的開口處的厚度大於在溝槽172中遠離半導體裝置100的背側B處的厚度。因此,介電襯墊174可封閉(或幾乎封閉)溝槽172的開口。再者,介電襯墊174沉積於溝槽172的底部和側壁上,但是不完全填充溝槽172。空氣間隙176(或空隙或氣袋)形成於每個溝槽172中。在一些實施例中,介電襯墊174可完全封閉(或完全密封)空氣間隙176,或介電襯墊174部分封閉(或部分密封)空氣間隙176。在各種實施例中,介電襯墊174可包含具有Si、O、N、C或其他多孔低介電常數材料的低介電常數介電材料。介電襯墊174可被配置為具有單一層或多層。
在一實施例中,介電襯墊174透過使用化學氣相沉積製程沉積,例如電漿輔助化學氣相沉積(PECVD)製程。舉例來說,電漿輔助化學氣相沉積製程可應用產生自SiH 4氣體、N 2氣體、NH 3氣體及/或其他氣體的電漿,此電漿沉積介電襯墊174。可調整電漿輔助化學氣相沉積製程的各種參數,以形成介電襯墊174的懸垂輪廓。舉例來說,可調整沉積氣體的組成、沉積氣體之間的比例、製程壓力、製程溫度、直流或交流電源或電漿輔助化學氣相沉積製程的其他參數。在一範例中,可將SiH 4氣體對N 2或NH 3氣體的比值調整在約5至約200的範圍中,可將製程壓力調整至約300mT至約800mT的範圍中,且將製程溫度調整至約200 °C至約400 °C的範圍中,例如約300 °C至約400 °C。選擇足夠高的製程溫度的範圍以沉積介電襯墊174,但是也要足夠低以保護在半導體裝置100的前側的各種部件,例如在半導體裝置100中的金屬組件。
在操作28,方法10(第1B圖)在介電襯墊174上方沉積介電層178,如第9A和9B圖所示,其中第9B圖為沿第9A圖的線A-A的半導體裝置100的剖面示意圖。將在後續步驟中移除介電層178。因此,介電層178也被稱為犧牲介電層。如第9A和9B圖所示,介電襯墊174的一部分沉積於隔離結構105和金屬插塞170的頂部上。在本實施例中,期望使用化學機械平坦化製程移除介電襯墊174的此部分。然而,介電襯墊174的此部分可能太薄而不適合進行化學機械平坦化製程。因此,沉積介電層178,以增加經受化學機械平坦化製程的全部層的厚度。介電層178可包括四乙氧基矽烷(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜矽酸鹽玻璃(BSG)及/或其他合適的介電材料。介電層178可透過電漿輔助化學氣相沉積製程或其他合適的方法形成。特別來說,由於介電襯墊174的懸垂輪廓的緣故,介電層178不填充於空氣間隙176中(除了空氣間隙176如果未被介電襯墊174完全密封的最頂部)。
在操作30,方法10(第1B圖)進行化學機械平坦化製程,以完全移除介電層178以及介電襯墊174沉積於隔離結構105和金屬插塞170的頂部上的部分。因此,暴露出隔離結構105和金屬插塞170,如第10A、10B和10C圖所示,其中第10B和10C圖分別為沿第10A圖的線A-A和線B-B的半導體裝置100的剖面示意圖。在一實施例中,化學機械平坦化製程可使用金屬插塞170及/或隔離結構105作為化學機械平坦化終點偵測。第10B和10C圖也顯示介電襯墊174、金屬插塞170和空氣間隙176的各種尺寸。
請參照第10B和10C圖,在一些實施例中,金屬插塞170可具有高度h1在約5nm至約50nm的範圍中,長度w1在約5nm至約500nm的範圍中,寬度w6(此寬度也為第5A圖中的溝槽168的寬度)在約5nm至約500nm的範圍中。在一些實施例中,在兩相鄰金屬插塞170之間的距離d3可在約10nm至約500nm的範圍中。如先前所討論,溝槽168的高度h2大於或等於金屬插塞170的高度h1。再者,距離d3大於半導體鰭103的寬度,這確保了金屬插塞170透過介電襯墊174和空氣間隙176與半導體鰭103隔離。
請參照第10B圖,在各種實施例中,介電襯墊174可具有厚度t1(在介電襯墊174的頂表面處)小於10nm,例如在約0.5nm至約10nm的範圍中。在各種實施例中,介電襯墊174可具有厚度t2(在介電襯墊174的中間或底部處)小於10nm,例如在約0.5nm至約10nm的範圍中。再者,在本實施例中,厚度t2小於厚度t1。沿x方向測量厚度t1和t2。再者,介電襯墊174可具有厚度t3(沿z方向測量,在介電襯墊174的底部處)小於10nm,例如在約0.5nm至約10nm的範圍中。
請參照第10C圖,在各種實施例中,介電襯墊174可具有厚度t4(在介電襯墊174的頂表面處)小於10nm,例如在約0.5nm至約10nm的範圍中。在各種實施例中,介電襯墊174可具有厚度t5(在介電襯墊174的中間或底部處)小於10nm,例如在約0.5nm至約10nm的範圍中。再者,在本實施例中,厚度t5小於厚度t4。沿y方向測量厚度t4和t5。
在以上討論中,選擇厚度t1、t2、t3、t4和t5的上限(例如約10nm),使得在溝槽172中的空氣間隙176有足夠空間。由於空氣具有比介電襯墊174的材料更低的介電常數,因此具有較大的空氣間隙176有利於降低金屬插塞170之間的雜散電容。取決於沉積技術,可將介電襯墊174製作得盡可能薄,但是仍然足夠厚以產生如前所述的懸垂輪廓。
請參照第10B和10C圖,空氣間隙176沿x方向具有頂部開口寬度w3,而沿y方向具有頂部開口寬度w5。在一些實施例中,寬度w3和w5的每一者可在約0nm(即介電襯墊174完全封閉空氣間隙176)至約500nm(例如操作30的化學機械平坦化製程可暴露出空氣間隙176的較大開口)的範圍中。再者,在空氣間隙176的腹部,空氣間隙176沿x方向具有寬度w4,且沿y方向具有寬度w7。在本實施例中,寬度w3小於寬度w4,寬度w5小於寬度w7。
在操作32,方法10(第1B圖)對半導體裝置100的背側進行進一步的製造。舉例來說,可在隔離結構105、金屬插塞170、介電襯墊174和空氣間隙176上方形成互連結構190。如第11A和11B圖所示的範例,其中第11B圖為沿第11A圖的線A-A的半導體裝置100的剖面示意圖。請參照第11A和11B圖,互連結構190包含埋置於一個或多個介電層192中的導體194(例如金屬線或導通孔)。互連結構190的配置可相似於互連結構162(第2B圖)的配置。特別來說,導體194和介電層192的組成和形成可分別相同於導體166和介電層164的組成和形成。在介電襯墊174未完全密封空氣間隙176的實施例中,介電襯墊174和介電層192共同完全密封空氣間隙176。再者,相似於介電襯墊174和空氣間隙176的結構可形成於互連結構190中,例如形成於一些導體194之間。第11B-1圖顯示在半導體裝置100在經過操作14至操作32之後,有著全繞式閘極場效電晶體的半導體裝置100的實施例。如圖所示,介電襯墊174和空氣間隙176設置於半導體鰭103和通道層103a上方。第11B-1圖的其他方面相同於第11B圖。在已在半導體裝置100的背側加工之後,方法10可移除承載基底220(第3圖)。
雖然不意圖限制,但是本發明實施例提供以下的一個或多個優點。舉例來說,本發明實施例在晶圓的背側形成有著空氣間隙的介電襯墊。介電襯墊和空氣間隙有效地降低相鄰導體之間的雜散電容,並增加其隔離。再者,空氣間隙也可減少或消除到導體以及從導體的金屬漏電,進而增加裝置的長期可靠性。可容易地將本發明實施例整合至現有的半導體製造過程。
在一範例方面,本發明實施例為有關於方法,此方法包含提供結構,結構具有電晶體、至少部分在電晶體上方的隔離結構、通過隔離結構並電性連接至電晶體的電極的兩金屬插塞以及溝槽,其中隔離結構和兩金屬插塞形成溝槽的側壁。此方法更包含在溝槽的側壁上及隔離結構和兩金屬插塞上方形成介電襯墊,其中介電襯墊在溝槽的開口部分的厚度大於在溝槽的比開口部分更深的另一部分的厚度,使得空氣間隙形成於溝槽中,且介電襯墊完全或部分地圍繞空氣間隙。此方法更包含在介電襯墊和空氣間隙上方沉積犧牲介電層,以及進行化學機械平坦化(CMP)製程,以移除犧牲介電層並將介電襯墊凹陷直到暴露出隔離結構和兩金屬插塞,其中空氣間隙保留在溝槽中。
在一些實施例中,此方法更包含在隔離結構、介電襯墊、空氣間隙和兩金屬插塞上方形成介電層,其中空氣間隙透過僅介電層密封或透過介電襯墊和介電層密封;以及在介電層中形成導體,其中導體電性連接至金屬插塞。
在此方法的一些實施例中,形成介電襯墊的步驟包含使用電漿輔助化學氣相沉積(PECVD)。在進一步的實施例中,電漿輔助化學氣相沉積包含應用產生自SiH 4氣體及N 2或NH 3氣體的電漿。
在一些實施例中,在形成介電襯墊之前,此方法更包含以具有氮、氟、氯、氧、氫或氬的電漿處理溝槽的側壁。
在此方法的一些實施例中,提供結構的步驟包含接收工件,工件具有有著主動區的基底,其中隔離結構將主動區隔開,且電晶體在主動區上方,工件更具有位於電晶體上方的互連結構,其中基底位於工件的背側,且互連結構位於工件的前側。提供結構的步驟更包含將工件的前側附接至承載晶圓;從工件的背側將工件薄化,直到從工件的背側暴露出隔離結構和主動區;蝕刻通過主動區的兩孔洞,以從工件的背側暴露出電晶體的電極;分別在兩孔洞中形成兩金屬插塞;以及從工件的背側蝕刻兩金屬插塞之間的主動區,進而形成溝槽。在進一步的實施例中,電晶體包含鰭式場效電晶體,且主動區包含半導體鰭。在另一個進一步的實施例中,電晶體包含全繞式閘極場效電晶體,且主動區包含半導體鰭。
在此方法的一些實施例中,溝槽和金屬插塞的深度相同,或溝槽的深度大於金屬插塞的深度。
在另一範例方面,本發明實施例為有關於方法,此方法包含提供結構,結構具有矽基底、在矽基底上方的隔離結構、從矽基底延伸至隔離結構上方的一個或複數個半導體鰭、形成於一個或複數個半導體鰭上方的一個或複數個電晶體以及在一個或複數個電晶體上方的互連結構,其中矽基底位於結構的背側,且互連結構位於結構的前側。此方法更包含將結構的前側附接至承載基底;從結構的背側將結構薄化,直到從結構的背側暴露出隔離結構和一個或複數個半導體鰭;蝕刻通過一個或複數個半導體鰭的兩孔洞,以從結構的背側暴露出一個或複數個電晶體的兩源極/汲極部件;分別從兩孔洞中形成兩金屬插塞;從結構的背側蝕刻兩金屬插塞之間的一個或複數個半導體鰭,進而形成溝槽;以及在溝槽的側壁上及隔離結構和兩金屬插塞上方形成介電襯墊,其中介電襯墊在溝槽的開口部分的厚度大於在溝槽的比開口部分更深的另一部分的厚度,使得空氣間隙形成於溝槽中。
在此方法的一些實施例中,從結構的背側測量,溝槽和金屬插塞的深度相同,或溝槽的深度大於金屬插塞的深度。在一些實施例中,空氣間隙透過僅介電襯墊完全圍繞。
在一些實施例中,此方法更包含在介電襯墊和空氣間隙上方沉積犧牲介電層;以及進行化學機械平坦化(CMP)製程,以移除犧牲介電層並將介電襯墊凹陷直到暴露出隔離結構和兩金屬插塞,其中空氣間隙保留在溝槽中。在進一步的實施例中,在進行化學機械平坦化製程之後,此方法更包含在結構的背側上方以及介電襯墊、空氣間隙和金屬插塞上方形成另一互連結構。
在此方法的一些實施例中,形成介電襯墊的步驟包含使用電漿輔助化學氣相沉積(PECVD),電漿輔助化學氣相沉積應用產生自SiH 4氣體和NH 3氣體的電漿。
在另一範例方面,本發明實施例為有關於半導體結構,半導體結構具有前側和背側。半導體結構包含隔離結構,位於半導體結構的背側;一個或複數個電晶體,位於隔離結構上方和半導體結構的前側,一個或複數個電晶體具有複數個源極/汲極電極;第一互連結構,位於一個或複數個電晶體上方和前側;兩金屬插塞,通過隔離結構並從背側接觸複數個源極/汲極電極的兩者,其中兩金屬插塞和隔離結構形成溝槽的側壁;以及介電襯墊,位於溝槽的側壁上,其中介電襯墊部分或完全圍繞溝槽中的空氣間隙。
在一些實施例中,半導體結構更包含第二互連結構,位於兩金屬插塞上方和背側。在一些實施例中,介電襯墊在溝槽的開口部分的厚度大於在溝槽從背側到前側定向比開口部分更深的另一部分的厚度。在一些實施例中,介電襯墊在溝槽的側壁上具有厚度小於10nm。在一些實施例中,介電襯墊直接接觸源極/汲極電極的兩者。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10:方法 12,14,16,18,20,22,24,26,28,30,32:操作 100:半導體裝置 101a:鰭式場效電晶體 101b:全繞式閘極場效電晶體 102:基底 103:半導體鰭 103a:通道層 104:磊晶部件 105:隔離結構 106:界面層 108:閘極間隙壁 108a:內部間隙壁 114:接觸蝕刻停止層 116,120:層間介電層 118:閘極堆疊物 122:閘極電極層 124:閘極蓋層 152:裝置層 154:介電隔離部件 156,158,166,194:導體 162,190:互連結構 164,178,192:介電層 168:孔洞 169:矽化物部件 170:金屬插塞 172:溝槽 174:介電襯墊 176:空氣間隙 220:承載基底 F:前側 B:背側 h1,h2:高度 t1,t2,t3,t4,t5:厚度 w1:長度 w3,w4,w5,w6,w7:寬度 d3:距離
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1A和1B圖顯示依據本發明實施例各方面,形成在晶圓的背側上有著空氣間隙的半導體裝置的方法的流程圖。 第2A和2B圖顯示依據第1A和1B圖的方法的一實施例,在製造的中間步驟中,半導體裝置的一部分的透視圖和剖面示意圖。 第2C圖顯示依據一些實施例之第2A圖的半導體裝置的一部分的透視圖。 第2D和2E圖顯示依據一實施例,分別沿第2C圖的線A-A和線B-B的半導體裝置的一部分的剖面示意圖。 第2D-1和2E-1圖顯示依據另一實施例,分別沿第2C圖的線A-A和線B-B的半導體裝置的一部分的剖面示意圖。 第3圖顯示依據第1A和1B圖的方法的一實施例,在製造的中間步驟中,第2A圖的半導體裝置的一部分的透視圖和剖面示意圖。 第4、5A、6A、7A、8A、9A、10A和11A圖顯示依據第1A和1B圖的方法的一實施例,在製造的中間步驟中,半導體裝置的一部分的透視圖。 第5B、6B、7B、8B、9B、10B、11B和11B-1圖顯示依據一些實施例,分別沿第5A、6A、7A、8A、9A、10A和11A圖的線A-A的半導體裝置的一部分的剖面示意圖。 第10C圖顯示依據一些實施例,沿第10A圖的線B-B的半導體裝置的一部分的剖面示意圖。
100:半導體裝置
103:半導體鰭
104:磊晶部件
194:導體
190:互連結構
192:介電層
169:矽化物部件
170:金屬插塞
174:介電襯墊
176:空氣間隙

Claims (20)

  1. 一種半導體結構的形成方法,包括: 提供一結構,該結構具有複數個電晶體、至少部分在該複數個電晶體上方的一隔離結構、通過該隔離結構並電性連接至該複數個電晶體的電極的兩金屬插塞以及一溝槽,其中該隔離結構和該兩金屬插塞形成該溝槽的側壁; 在該溝槽的側壁上及該隔離結構和該兩金屬插塞上方形成一介電襯墊,其中該介電襯墊在該溝槽的一開口部分的厚度大於在該溝槽的比該開口部分更深的一另一部分的厚度,使得一空氣間隙形成於該溝槽中,且該介電襯墊完全或部分地圍繞該空氣間隙; 在該介電襯墊和該空氣間隙上方沉積一犧牲介電層;以及 進行一化學機械平坦化製程,以移除該犧牲介電層並將該介電襯墊凹陷直到暴露出該隔離結構和該兩金屬插塞,其中該空氣間隙保留在該溝槽中。
  2. 如請求項1之半導體結構的形成方法,更包括: 在該隔離結構、該介電襯墊、該空氣間隙和該兩金屬插塞上方形成一介電層,其中該空氣間隙透過僅該介電層密封或透過該介電襯墊和該介電層密封;以及 在該介電層中形成複數個導體,其中該複數個導體電性連接至該兩金屬插塞。
  3. 如請求項1之半導體結構的形成方法,其中形成該介電襯墊的步驟包含使用一電漿輔助化學氣相沉積。
  4. 如請求項3之半導體結構的形成方法,其中該電漿輔助化學氣相沉積包含應用產生自SiH 4氣體及N 2或NH 3氣體的電漿。
  5. 如請求項1之半導體結構的形成方法,其中在形成該介電襯墊之前,更包括: 以具有氮、氟、氯、氧、氫或氬的電漿處理該溝槽的側壁。
  6. 如請求項1之半導體結構的形成方法,其中提供該結構的步驟包含: 接收一工件,該工件具有有著複數個主動區的一基底,其中該隔離結構將該複數個主動區隔開,且該複數個電晶體在該複數個主動區上方,該工件更具有位於該複數個電晶體上方的一互連結構,其中該基底位於該工件的一背側,且該互連結構位於該工件的一前側; 將該工件的該前側附接至一承載晶圓; 從該工件的該背側將該工件薄化,直到從該工件的該背側暴露出該隔離結構和該複數個主動區; 蝕刻通過該複數個主動區的兩孔洞,以從該工件的該背側暴露出該複數個電晶體的電極; 分別在該兩孔洞中形成該兩金屬插塞;以及 從該工件的該背側蝕刻該兩金屬插塞之間的該複數個主動區,進而形成該溝槽。
  7. 如請求項6之半導體結構的形成方法,其中該複數個電晶體包含複數個鰭式場效電晶體,且該複數個主動區包含複數個半導體鰭。
  8. 如請求項6之半導體結構的形成方法,其中該複數個電晶體包含複數個全繞式閘極場效電晶體,且該複數個主動區包含複數個半導體鰭。
  9. 如請求項1之半導體結構的形成方法,其中該溝槽和該兩金屬插塞的深度相同,或該溝槽的深度大於該兩金屬插塞的深度。
  10. 一種半導體結構的形成方法,包括: 提供一結構,該結構具有一矽基底、在該矽基底上方的一隔離結構、從該矽基底延伸至該隔離結構上方的一個或複數個半導體鰭、形成於該一個或複數個半導體鰭上方的一個或複數個電晶體以及在該一個或複數個電晶體上方的一互連結構,其中該矽基底位於該結構的一背側,且該互連結構位於該結構的一前側; 將該結構的該前側附接至一承載基底; 從該結構的該背側將該結構薄化,直到從該結構的該背側暴露出該隔離結構和該一個或複數個半導體鰭; 蝕刻通過該一個或複數個半導體鰭的兩孔洞,以從該結構的該背側暴露出該一個或複數個電晶體的兩源極/汲極部件; 分別從該兩孔洞中形成兩金屬插塞; 從該結構的該背側蝕刻該兩金屬插塞之間的該一個或複數個半導體鰭,進而形成一溝槽;以及 在該溝槽的側壁上及該隔離結構和該兩金屬插塞上方形成一介電襯墊,其中該介電襯墊在該溝槽的一開口部分的厚度大於在該溝槽的比該開口部分更深的一另一部分的厚度,使得一空氣間隙形成於該溝槽中。
  11. 如請求項10之半導體結構的形成方法,其中從該結構的該背側測量,該溝槽和該兩金屬插塞的深度相同,或該溝槽的深度大於該兩金屬插塞的深度。
  12. 如請求項10之半導體結構的形成方法,其中該空氣間隙透過僅該介電襯墊完全圍繞。
  13. 如請求項10之半導體結構的形成方法,更包括: 在該介電襯墊和該空氣間隙上方沉積一犧牲介電層;以及 進行一化學機械平坦化製程,以移除該犧牲介電層並將該介電襯墊凹陷直到暴露出該隔離結構和該兩金屬插塞,其中該空氣間隙保留在該溝槽中。
  14. 如請求項13之半導體結構的形成方法,在進行該化學機械平坦化製程之後,更包括: 在該結構的該背側上方以及該介電襯墊、該空氣間隙和該兩金屬插塞上方形成另一互連結構。
  15. 如請求項10之半導體結構的形成方法,其中形成該介電襯墊的步驟包含使用一電漿輔助化學氣相沉積,該電漿輔助化學氣相沉積應用產生自SiH 4氣體和NH 3氣體的電漿。
  16. 一種半導體結構,包括: 一隔離結構,位於該半導體結構的一背側; 一個或複數個電晶體,位於該隔離結構上方和該半導體結構的一前側,該一個或複數個電晶體具有複數個源極/汲極電極; 一第一互連結構,位於該一個或複數個電晶體上方和該前側; 兩金屬插塞,通過該隔離結構並從該背側接觸該複數個源極/汲極電極的兩者,其中該兩金屬插塞和該隔離結構形成一溝槽的側壁;以及 一介電襯墊,位於該溝槽的側壁上,其中該介電襯墊部分或完全圍繞該溝槽中的一空氣間隙。
  17. 如請求項16之半導體結構,更包括: 一第二互連結構,位於該兩金屬插塞上方和該背側。
  18. 如請求項16之半導體結構,其中該介電襯墊在該溝槽的一開口部分的厚度大於在該溝槽從該背側到該前側定向比該開口部分更深的一另一部分的厚度。
  19. 如請求項16之半導體結構,其中該介電襯墊在該溝槽的側壁上具有一厚度小於10nm。
  20. 如請求項16之半導體結構,其中該介電襯墊直接接觸該複數個源極/汲極電極的兩者。
TW110117475A 2020-05-29 2021-05-14 半導體結構及其形成方法 TWI847033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/888,217 US11443987B2 (en) 2020-05-29 2020-05-29 Semiconductor devices with backside air gap dielectric
US16/888,217 2020-05-29

Publications (2)

Publication Number Publication Date
TW202213443A true TW202213443A (zh) 2022-04-01
TWI847033B TWI847033B (zh) 2024-07-01

Family

ID=

Also Published As

Publication number Publication date
KR20210148795A (ko) 2021-12-08
US11443987B2 (en) 2022-09-13
US20230298943A1 (en) 2023-09-21
CN113380706B (zh) 2024-05-07
KR102367631B1 (ko) 2022-02-28
US20220367284A1 (en) 2022-11-17
DE102020116631A1 (de) 2021-12-02
CN113380706A (zh) 2021-09-10
US11664280B2 (en) 2023-05-30
US20210375691A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
US20210305381A1 (en) Semiconductor devices with backside power rail and backside self-aligned via
US11631736B2 (en) Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11664280B2 (en) Semiconductor devices with backside air gap dielectric
TWI770920B (zh) 半導體結構及其形成方法
US11482594B2 (en) Semiconductor devices with backside power rail and method thereof
US20240222508A1 (en) FINFET Devices with Backside Power Rail and Backside Self-Aligned Via
TWI777556B (zh) 半導體裝置及其製造方法
KR102470490B1 (ko) 핀형 전계효과 트랜지스터용 게이트 에어 스페이서
US20230361192A1 (en) Gate Air Spacer for Fin-Like Field Effect Transistor
TWI847033B (zh) 半導體結構及其形成方法
US11430789B2 (en) Semiconductor devices with backside contacts and isolation
US12021123B2 (en) Semiconductor devices with backside power rail and backside self-aligned via
US11848372B2 (en) Method and structure for reducing source/drain contact resistance at wafer backside
US20230011783A1 (en) Metal gate for gate-all-around devices and methods for forming the same
TW202211383A (zh) 半導體結構及其形成方法