TW202017114A - 半導體裝置及積體電路 - Google Patents

半導體裝置及積體電路 Download PDF

Info

Publication number
TW202017114A
TW202017114A TW108133990A TW108133990A TW202017114A TW 202017114 A TW202017114 A TW 202017114A TW 108133990 A TW108133990 A TW 108133990A TW 108133990 A TW108133990 A TW 108133990A TW 202017114 A TW202017114 A TW 202017114A
Authority
TW
Taiwan
Prior art keywords
fin
type
field effect
transistor
effect transistor
Prior art date
Application number
TW108133990A
Other languages
English (en)
Other versions
TWI754836B (zh
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/441,682 external-priority patent/US10763863B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017114A publication Critical patent/TW202017114A/zh
Application granted granted Critical
Publication of TWI754836B publication Critical patent/TWI754836B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17748Structural details of configuration resources
    • H03K19/1776Structural details of configuration resources for memories
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Computing Systems (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導體裝置包括具有一邏輯部分及記憶體部分的半導體裝置。邏輯裝置設置於邏輯部分內。邏輯裝置包括單鰭片N型鰭式場效電晶體及單鰭片P型鰭式場效電晶體。靜態隨機存取記憶體裝置設置於該邏輯部分內。靜態隨機存取記憶體裝置包括設置於兩個P型井區的N型井區,且兩個P型井區包括N型鰭式場效電晶體通道閘電晶體及N型鰭式場效電晶體下拉電晶體,且其中N型井區包括P型鰭式場效電晶體拉升電晶體。

Description

半導體裝置及積體電路
本揭露是有關於一種半導體裝置及積體電路,特別是有關於應用於邏輯及記憶體協同優化的半導體裝置及積體電路。
電子工業對更小和更快的電子裝置的需求不斷增長,這些電子裝置同時能夠支持更多數量的日益複雜的功能。 因此,半導體工業中存在製造低成本,高效能和低功率的積體電路(IC)的持續趨勢。 到目前為止,這些目標已經實現在透過很大程度上的改變半導體IC尺寸(例如,最小特徵尺寸),以提高生產效率和降低相關成本。 然而,這種改變半導體IC尺寸的技術還增加了半導體製程的複雜性。 因此,為了應付持續進步的半導體IC和裝置的技術,半導體的製程及其相關技術也同樣需要進步。
最近,多閘極裝置已經被引入來增加閘極-通道耦接(gate-channel coupling)、減少截止狀態電流(OFF-state current)及減少短通道效應(short-channel effects, SCEs),以努力改善閘極控制。已經被引入的一種的多閘極裝置是鰭式場效電晶體(fin field-effect transistor, FinFET)。鰭式場效電晶體已被用於各種應用,例如:為了實現邏輯裝置/電路並為了提供靜態隨機存取記憶體(static random-access memory, SRAM)裝置等。一般來說,邏輯裝置專注於效能(例如,高電流開關比(Ion/Ioff ratio),低寄生電容等),而SRAM裝置可專注於優化記憶體單元(cell)的尺寸和改善記憶體單元的操作電壓以及其他要求。然而,邏輯和SRAM效能和/或設計要求的優化一直是具有挑戰性的。僅作為一個示例,減小FinFET的鰭片臨界尺寸(fin critical dimension, fin CD)可以改善邏輯裝置的電流開關比,但是也可能降低SRAM的閂鎖效應(latch-up)。因此,現有技術都未被證明是在所有方面都令人完全滿意。
本揭露之一實施例揭露一種半導體裝置包括:邏輯部分及記憶體部分、邏輯裝置及靜態隨機存取記憶體(static random-access memory, SRAM)裝置。邏輯裝置設置於邏輯部分內,其中邏輯裝置包括單鰭片N型鰭式場效電晶體(single fin N-type FinFET)及單鰭片P型鰭式場效電晶體(single fin P-type FinFET)。靜態隨機存取記憶體裝置設置於邏輯部分內,其中靜態隨機存取記憶體裝置包括設置於兩個P型井區(P-well region)的N型井區,其中兩個P型井區包括N型鰭式場效電晶體通道閘電晶體(N-type FinFET pass gate (PG) transistor)及N型鰭式場效電晶體下拉電晶體(N-type FinFET pull-down (PD) transistor),且其中N型井區包括P型鰭式場效電晶體拉升電晶體(P-type FinFET pull-up (PU) transistor)。
本揭露之一實施例揭露一種積體電路包括:第一電路區及第二電路區、邏輯電路及記憶體電路。邏輯電路設置於第一電路區內,且其中邏輯電路包括雙鰭片N型鰭式場效電晶體及雙鰭片P型鰭式場效電晶體。記憶體電路設置於第二電路區內,其中記憶體電路包括第一複數個單鰭片N型鰭式場效電晶體及第二複數個單鰭片P型鰭式場效電晶體。
本揭露之一實施例揭露一種半導體裝置包括:複數個邏輯部分及複數個記憶體部分、複數個互補式金氧半導體(CMOS)裝置及複數個靜態隨機存取記憶體(SRAM)裝置。複數個邏輯部分及複數個記憶體部分設置於基板上。複數個互補式金氧半導體裝置設置於複數個邏輯部分內,其中每一複數個互補式金氧半導體包括具有第一鰭片寬度的單鰭片N型鰭式場效電晶體及具有第二鰭片寬度的單鰭片P型鰭式場效電晶體。複數個靜態隨機存取記憶體裝置設置於該複數個邏輯部分內,其中複數個靜態隨機存取記憶體裝置設置於複數個列及行內,其中每一複數個靜態隨機存取記憶體裝置包括N型鰭式場效電晶體通道閘電晶體及設置於P型井區內的N型鰭式場效電晶體下拉電晶體,以及設置於N型井區內的P型鰭式場效電晶體拉升電晶體,其中N型鰭式場效電晶體下拉電晶體具有第三鰭片寬度,其中P型鰭式場效電晶體拉升電晶體具有第四鰭片寬度,且其中第一鰭片寬度比第三鰭片寬度窄。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。此外,當用“約”、“近似”等描述數字或數字範圍時,該術語旨在包括在合理範圍內的數字,例如在+/-10%內或本領域技術人員理解的其他值。舉例說明,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
特別注意,本揭露以多閘極電晶體或鰭式多閘極電晶體的形式呈現實施例,於此稱為鰭式場效電晶體(FinFET)裝置。這種裝置包括P型金屬氧化半導體FinFET裝置或N型金屬氧化半導體FinFET裝置。FinFET裝置可以是雙閘極元件(dual-gate device)、三閘極元件(tri-gate device)、批次元件(bulk device)、絕緣層上矽元件(silicon-on-insulator device)、及/或其他配置。普通技術人員可以認識到受益於本揭露的各個方面的半導體裝置之其他實施例。 例如,如本文所述的一些實施例還可以應用於全包覆式閘極裝置(gate-all-around device, GAA device)、亞米茄閘極裝置(Omega-gate device, Ω-gate)、或π型閘極裝置(Pi-gate device, Π-gate)。
本揭露涉及半導體裝置及其形成方法。 特別地,本揭露的實施例提供用於邏輯電路和SRAM單元協同優化的製程和/或結構,以同時實現高速和低功耗。
FinFET已成為高效能和低漏電應用(例如,用於邏輯裝置和/或電路)的流行候選者。在各種範例中,FinFET採用窄鰭片寬度(fin width)以進行短通道控制、改善電流開關比(Ion/Ioff ratio)、以及連續改變閘極長度。然而,這種窄的鰭片寬度導致較小的頂部源極/汲極區域,並因此影響了接點-源極/汲極著路邊界(contact-to-source/drain landing margin)和增加的接點電阻。為了解決這個問題,提出了更長的接點佈局,但是閘極-接點電容仍然是一個主要問題。具有多個鰭片的FinFET裝置已經用於高速應用,但是這種裝置仍有增加的漏電和功耗等問題。 在一些實施例中,可以使用單鰭片鰭式場效電晶體來減輕漏電和功耗問題,但是這也可能導致裝置速度的降低。
對於SRAM單元(cell)而言,單元尺寸優化和單元操作電壓是關鍵,N型摻雜區(N+區)和N型井(N-Well, NW)區之間以及P型摻雜區(P+區)和P型井(P-Well, PW)區域之間的隔離規則通常被推動(減小)以實現較小的SRAM單元尺寸。然而,由於熱/注入外擴散效應(thermal/implant out-diffusion effect) 導致輕摻雜井區,窄鰭片寬度(例如,邏輯裝置所需)也對N型井/ P型井摻雜效率產生負面影響。在一些情況下,對於N型摻雜的P型井/N型井(N+/PW/NW)結構和P型摻雜的N型井/P型井(P+/NW/PW)結構而言,輕摻雜井區將導致產生更嚴重的漏電。在各種範例中,更薄和更輕摻雜的鰭式井區域也負面地影響井電阻(well resistance)並降低SRAM單元閂鎖(latch-up)效能。因此,現有技術都未被證明是在所有方面都令人完全滿意。
本揭露的實施例提供優於現有技術的優點,但應理解其他實施例可提供不同的優點,並非所有優點都必須在本文中討論,並且並非所有實施例都需要特定的優點。例如,這裡討論的實施例包括用於核心(邏輯)裝置和SRAM裝置的協同優化的結構和方法。在各種實施例中,半導體裝置可以包括個別的裝置結構,以滿足邏輯裝置要求和SRAM要求。在一些情況下,邏輯電晶體可以具有窄鰭片臨界尺寸(critical dimension, CD)以改善電流開關比(Ion/Ioff ratio),並且SRAM裝置可以具有更厚的鰭片臨界尺寸(例如,與邏輯裝置相比)以避免閂鎖(例如,通過提供已改善的井電阻和N+PW/NW,P+/NW/PW隔離漏電改善)。在一些範例中,邏輯電晶體可以具有較短閘極電極端帽(end-cap)以用於減小接點-閘極電容(contact-to-gate capacitance),並且SRAM裝置可以具有較長的閘極電極端帽,以用於改善Vt穩定性和減少最小臨界電壓(Vcc_min)。在一些實施例中,邏輯電晶體可以具有更寬的井隔離空間規則(例如:N型摻雜主動區(N+OD)到N型井,P型摻雜主動區(P+OD)到P型井)以用於閂鎖和改善井隔離漏電,並且SRAM裝置可以推動(減少)窄井隔離空間規則(例如:N型摻雜主動區到N型井,P型摻雜主動區到P型井)以用於減小單元尺寸。一般來說,這裡揭露的各種實施例提供了邏輯裝置效能、SRAM單元尺寸的改變和製程邊界的同時優化。其他的實施例和優點討論如下,及/或本揭露的內容對於本領域技術人員是顯而易見。
因為這裡描述的一個或多個實施例是示例性的使用FinFET,例如用於邏輯裝置和SRAM裝置,所以下面參考第2圖和第2圖所提供這種裝置的描述。 然而,應該理解其他類型的裝置可以受益於本文描述的一個或多個實施例。
首先請參閱第1圖,其中第1圖說明示例性的鰭式場效電晶體(FinFET)裝置100。FinFET裝置100包括一個或多個基於鰭式的多閘極場效應電晶體(field-effect transistor, FET)。 FinFET裝置100包括基板102、從基板102延伸的至少一個鰭片元件104、隔離區106、以及設置在鰭片元件104上和周圍的閘極結構108。基板102可以是半導體基板,例如 矽基板。基板可以包括各種層,包括形成在半導體基板上的導電層或絕緣層。根據本領域已知的設計要求,基板可以包括各種摻雜配置。基板還可以包括其他半導體,例如鍺(germanium)、碳化矽(SiC)、矽鍺(SiGe)或金剛石(diamond)。或者,基板可以包括化合物半導體(compound semiconductor)及/或合金半導體(alloy semiconductor)。此外,在一些實施例中,基板可以包括磊晶層(epitaxial layer, epi-layer),基板可以是應變式的以提高效能,基板可以包括SOI(silicon-on-insulator)結構,和/或基板可以具有其他合適的增強特徵。
與基板102相似,鰭片元件104可以包括矽或其他元素半導體,例如鍺。 化合物半導體包括碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)和/或銻化銦(indium antimonide)。合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP,或其組合。可以使用包括微影(photolithography)和蝕刻(etch)製程的合適製程來製造鰭片元件104。微影製程可包括形成覆蓋在基板上(例如,在矽層上)的光阻劑層(photoresist layer 或 resist),將光阻劑層暴露於執行曝光後烘烤製程(post-exposure bake process)的圖形(pattern),以及運用光阻劑層來形成包括光阻劑層的光罩元件(masking element)。在一些實施例中,可以使用電子束(electron beam或e-beam)微影製程(lithography process)來執行圖形化光阻劑層以形成製造元件。然後,可以使用光罩元件來保護基板的區域,同時蝕刻製程在矽層中形成凹槽,從而留下延伸的鰭片元件104。蝕刻凹槽的方法包括乾蝕刻(dry etch)、濕蝕刻(wet etch)和/或其他合適的方法。基板102上的鰭片元件104也可以使用其他實施例來形成。
多個鰭片元件104中的每一個還包括源極區域105和汲極區域107,其中源極/汲極區域105、107形成在鰭片元件104的內部、上方和/或周圍。源極/汲極區域105、107可磊晶地生成於鰭片元件104之上。 電晶體的通道區(channel region)沿著實質平行於被第1圖的截面AA’定義的一平面之一平面而設置於鰭片元件104之內且閘極結構108之下面。在一些範例中,鰭片的通道區包括高遷移率材料,例如:鍺,以及上面討論的任何化合物半導體或合金半導體(alloy semiconductors)和/或其組合。高遷移率材料包括電子遷移率大於矽的那些材料。例如,在某些情況下,高遷移率材料在高於室溫(300K)下具有約1350cm2 /V-s的固有電子遷移率和約480cm2 /V-s的電洞遷移率的矽(Si)。
隔離區106可以是淺溝槽隔離(shallow trench isolation, STI)特徵。 或者,可以在基板102的上方和/或內部實現場氧化物(field oxide)、區域氧化法(LOCOS)特徵和/或其他合適的隔離特徵。隔離區106可以由下列物質所組成:氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、氟摻雜的矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低k電介質(low-k dielectric)、其組合和/或本領域已知的其他合適材料。在一實施例中,隔離結構是STI特徵,並且通過蝕刻溝槽技術來形成隔離結構於基板102中。然後可以用隔離材料填充溝槽,並且進行化學機械研磨(chemical mechanical polishing, CMP)製程。然而,其他實施例也是可能的。在一些實施例中,隔離區106可以包括多層結構,例如,具有一個或多個襯墊層(liner layer)。
閘極結構108包括一閘極堆疊(gate stack),閘極堆疊包括閘極介電層110,以及形成在閘極介電層上方的金屬層112。 在一些實施例中,閘極介電層110可以包括在鰭片元件104的通道區上形成的界面層(interfacial layer)和在界面層上方的高K介電層(high-K dielectric layer)。閘極介電層110的界面層可以包括介電材料,例如氧化矽層(silicon oxide layer, SiO2 )或氮氧化矽(silicon oxynitride, SiON)。閘極介電層110的高K介電層可包括:HfO2 、TiO2 、HfZrO、Ta2 O3 、HfSiO4 、ZrO2 、ZrSiO2 、它們的組合或其他合適的材料。在其他實施例中,閘極介電層110可以包括二氧化矽或其他合適的電介質。閘極介電層110可以通過化學氧化反應(chemical oxidation)、熱氧化(thermal oxidation)、原子層沉積(atomic layer deposition, ALD)、物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(chemical vapor deposition, CVD)和/或其他合適的方法形成。
金屬層112可以包括導電層,例如:W、TiN、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、Ni、它們的組合、和/或其他合適的組合物。在一些實施例中,金屬層112可以包括用於N型FinFET的第一組金屬材料及用於P型FinFET的第二組金屬材料。因此,FinFET裝置100可以包括雙功函數金屬閘極配置(dual work-function metal gate configuration)。例如,第一金屬材料(例如,用於N型裝置)可以包括具有基本上與基板導帶(substrate conduction band)的功函數對準的功函數的金屬,或者至少基本上與鰭片元件104的通道區的導帶的功函數對準的金屬。相同地,例如,第二金屬材料(例如,用於P型裝置)可以包括具有基本上與基板導帶的功函數對準的功函數的金屬,或者至少基本上與鰭片元件104的通道區的導帶的功函數對準的金屬。因此,金屬層112可以提供閘電極給FinFET裝置100,包括N型和P型FinFET裝置100。在一些實施例中,金屬層112可以交替地包括多晶矽層(polysilicon layer)。金屬層112可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束蒸發和/或其他合適的製程來形成。在一些實施例中,側壁間隔物(sidewall spacer)形成在閘極結構108的側壁上。側壁間隔物可包括介電材料,例如:氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide)、氮氧化矽(silicon oxynitride)或其組合。
現在請參閱第2圖。第2圖是根據本揭露的SRAM單元200的示例性電路圖,該SRAM單元200的示例性電路圖可以實現在SRAM陣列的記憶體單元(memory cell)中。雖然第2圖說明了單埠SRAM單元,但是應該理解的是:在不脫離本發明的範圍的情況下,可以在多埠SRAM單元(例如,雙埠SRAM單元)中同樣地實現各種揭露的實施例。為了清楚起見,已經簡化了第2圖以更好地理解本揭露的發明觀念。可以在SRAM單元200中添加附加特徵,並且在SRAM單元200的其他實施例中可以替換、修改或消除下面描述的一些特徵。
SRAM單元200包括六個電晶體:通道閘電晶體PG-1、通道閘電晶體PG-2、拉升電晶體PU-1、拉升電晶體PU-2、下拉電晶體PD-1和下拉電晶體PD-2。因此,在一些範例中,SRAM單元200也可以被稱為6T SRAM單元。在操作中,通道閘電晶體PG-1和通道閘電晶體PG-2提供對SRAM單元200的儲存部分的存取,SRAM儲存部分包括交叉耦接的一對反相器,即反相器210和反相器220。反相器210包括拉升電晶體PU-1和下拉電晶體PD-1,並且反相器220包括拉升電晶體PU-2和下拉電晶體PD-2。在一些實施例中,拉升電晶體PU-1及PU-2經配置為P型FinFET,且下拉電晶體PD-1及PD-2經配置為N型FinFET。例如,拉升電晶體PU-1及PU-2各自包括設置在N型鰭片結構(包括一個或多個N型鰭片)的通道區上方的閘極結構,使得閘極結構夾設(interpose)於N型鰭片結構的P型源/汲極區(例如,P型磊晶源/汲特徵)之間,其中閘極結構和N型鰭片結構設置在N型井區(N-type well region)上方。下拉電晶體PD-1及PD-2各自包括設置在P型鰭片結構(包括一個或多個P型鰭片)的通道區上方的閘極結構,使得閘極結構夾設於P型鰭片結構的N型源/汲極區之間(例如,N型磊晶源/汲特徵),其中閘極結構和P型鰭片結構設置在P型井區(N-type well region)上方。在一些實施例中,通道閘電晶體PG-1及PG-2也配置為N型FinFET。例如,通道閘電晶體PG-1及PG-2均包括設置在P型鰭片結構(包括一個或多個P型鰭片)的通道區上方的閘極結構,使得閘極結構夾設於P型鰭片結構的N型源/汲極區(例如,N型磊晶源/汲特徵)之間,其中閘極結構和P型鰭片結構設置在P型井區(N-type well region)上方。
拉升電晶體PU-1的閘極夾設於源極(與電源電壓(Vdd)電性耦接)和第一共用汲極之間,並且下拉電晶體PD-1的閘極夾設於源極(與電源電壓(Vss)電性耦接)和第一個共用汲極之間。拉升電晶體PU-2的閘極夾設於源極(與電源電壓(Vdd)電性耦接)和第二共用汲極之間,並且下拉電晶體PD-2的閘極夾設於源極(與電源電壓(Vss)電性耦接)和第二個共用汲極之間。在一些實施例中,第一共用汲極是用於儲存真實形式的資料的儲存節點(SN),第二共用汲極是用於儲存互補形式的資料的儲存節點(SNB)。拉升電晶體PU-1的閘極和下拉電晶體PD-1的閘極耦接第二共用汲極,拉升電晶體PU-2的閘極和下拉電晶體PD-2的閘極耦接第一共用汲極。通道閘電晶體PG-1的閘極夾設於源極(與位元線BL電性耦接)和汲極之間,其中汲極電性耦接第一共用汲極。通道閘電晶體PG-2的閘極夾設於源極(與互補位元線BLB電性耦接)和汲極之間,其中汲極電性耦接第二共用汲極。通道閘電晶體PG-1及PG-2的閘極與字元線WL電性耦接。在一些實施例中,在讀取操作及/或寫入操作的期間,通道閘電晶體PG-1及PG-2提供對儲存節點SN及SNB的存取。例如,為了響應於透過字元線WL施加到通道閘電晶體PG-1及PG-2的閘極的電壓,通道閘電晶體PG-1及PG-2分別將儲存節點SN及SN-B耦接到位元線BL及BLB。
有鑑於以上關於第1圖和第2圖的討論,現在將描述本揭露的各種實施例。根據一些實施例,第3圖和第7圖提供了邏輯裝置的示例性平面圖。根據一些實施例,第5圖提供了SRAM單元的示例性平面圖。在一些實施例中,所繪示出和描述的邏輯及SRAM裝置可以製造在同一基板上,例如:作為提供邏輯裝置和SRAM裝置的共同優化的積體半導體裝置的一部分。第4圖提供了第3圖的邏輯裝置的剖視圖,其中該剖視圖沿著實質平行於被第3圖的截面CC’定義的一平面之一平面。第6圖提供了第5圖的SRAM單元的剖視圖,其中該剖視圖沿著實質平行於被第5圖的截面DD’定義的一平面之一平面。第8圖提供了第7圖的邏輯裝置的剖視圖,其中該剖視圖沿著實質平行於被第7圖的截面EE’定義的一平面之一平面。應當理解的是,各種圖示和給出的任何附帶描述僅僅是示例性的,並不旨在限制所附請求項中具體陳述的內容。另外,為了清楚起見,各個圖示及相關描述已經被簡化了,以更好地理解本揭露的發明構思,並且可以添加、替換、修改或消除各種特徵,而不脫離本揭露的發明範圍。
現在請參考第3圖至第6圖。在一些實施例中,半導體裝置(例如,在給定基板上)可以包括多個邏輯裝置300(例如,在半導體裝置的邏輯部分內)和多個SRAM裝置400(例如,在半導體裝置的記憶體部分內)。第3圖說明邏輯裝置300的示例性平面圖,且第4圖提供了邏輯裝置300的剖視圖,其中該剖視圖沿著實質平行於被第3圖的截面CC’定義的一平面之一平面。在一些實施例中,邏輯裝置300包括互補式金屬氧化物半導體(complementary metal–oxide–semiconductor, CMOS)之反相器單元(inverter unit cell)302。如圖所示,反相器單元302具有與閘極路徑方向(routing direction)平行的X間隙X1(例如,與閘極316平行)和與鰭片主動區路徑方向平行的Y間隙Y1(例如,與鰭片308及314平行)。在一些實施例中,X間隙X1大於Y間隙Y1。例如,在某些情況下,X間隙X1比Y間隙Y1大約2至3倍。一般來說,在各種實施例中,邏輯裝置300包括反相器、及閘(AND gate)、反及閘(NAND gate)、或閘(OR gate)、非或閘(NOR gate)、正反器(flip-flop)、掃描邏輯(scan logic)或組合邏輯(combinational logic)。在一些範例中,這種邏輯裝置可以互相連接以形成包括多個邏輯單元(logic cell)的電路(例如,邏輯器件300)。在一些實施例中,邏輯裝置300包括多個CMOS裝置。在一些情況下,各種CMOS裝置(例如,邏輯裝置300的CMOS反相器)可以由單鰭片N型鰭式場效電晶體(single fin N-type FinFET)及單鰭片P型鰭式場效電晶體(single fin P-type FinFET)所形成。在某些實施例中,X間隙X1為X軸方向上的間隙,Y間隙Y1為Y軸方向上的間隙。
例如,邏輯裝置300包括形成在N型井區306上方並包括單鰭片308的P型FinFET 304,以及形成在P型井區312上方並包括單鰭片314的N型FinFET 310。在一些實施例中,X間距X1被定義為從N型井區306的左邊緣到P型井區312的右邊緣。舉例來說,間隔S1隔開鰭片308與鰭片314。在各種情況下,鰭片308及314可以類似於上面第1圖所討論的鰭片元件104。 鰭片切割區域322及324識別切割鰭片308及314的區域,例如,為了提供與相鄰裝置的隔離。在一些實施例中,Y間隙Y1被限定在鰭片切割區域322及324之間。邏輯裝置300還包括閘極316,其中閘極316垂直跨越P型FinFET 304的鰭片308和N型FinFET 310的鰭片314兩者。在一些實施例中,通過端帽(end-cap)長度E1,閘極316延伸超過(倒懸)鰭片308及314。在各種範例中,如第1圖所討論,閘極316可以近似於閘極結構108。因此,閘極316可以包括在鰭片308的通道區318上方和鰭片314的通道區320上方所形成的介電層316A(例如,包括界面層和高K介電層),以及在介電層316A上形成的金屬層316B。在一些實施例中,端帽長度E1比金屬層316B的厚度T1大至少兩倍。邏輯裝置300還可以包括隔離區334,其中隔離區334在一些情況下可以類似於如上面第1圖所討論的隔離區106。
在一些實施例中,P型FinFET 304和N型FinFET 310中的每一個包括源極/汲極區,其中源極/汲極區位於與閘極316相鄰的鰭片308及314的部分上,且源極/汲極區位於閘極316的兩側,因此,源極/汲極區相鄰通道區318及320並在其兩側。在一些範例中,P型FinFET 304和N型FinFET 310的源/汲極區可以類似於上面第1圖所討論的源/汲極區105及107。在一些範例中,多個接點可以直接地(實際地)連接到源/汲極區。 例如,P型FinFET 304可以包括源極接點326和汲極接點328,源極接點326和汲極接點328連接到P型FinFET 304的相應的源/汲極區。相似地,N型FinFET 310可以包括源極接點330和汲極接點332,其中源極接點330和汲極接點332連接到N型FinFET 310的相應的源/汲極區。在一些實施例中,X間隙X1界定於從源極接點326的左邊緣到源極接點330的右邊緣。
第5圖說明了SRAM裝置400的示例性平面圖,第6圖提供SRAM裝置400的剖面圖,其中SRAM裝置400的剖面圖沿著實質平行於被第5圖的截面DD’定義的一平面之一平面。特別地,SRAM裝置400繪示出了SRAM單元 (SRAM unit cell) 502,其中SRAM單元502具有與閘極路徑方向平行的X間隙X2(例如,平行於閘極518、520、522、524)以及與鰭片主動區路徑方向平行的Y間隙Y2(例如,平行於鰭片510、512、514、516)。在一些範例中,X間隙X2大於Y間隙Y2。在一些範例中,其中X間隙X2比Y間隙Y2大2~3倍。在一些實施例中,例如,SRAM裝置400可以包括多個SRAM單元502設置於多個列及多個行中(例如,以提供一記憶體陣列)。在一些情況下,SRAM單元502可以包括設置在兩個P型井區506及508之間的N型井區504。在一些實施例中,X間隙X2定義為從P型井區506的左邊緣到P型井區508的右邊緣。在一些實施例中,P型井區506包括N型FinFET通道閘電晶體(PG-1)和N型FinFET下拉電晶體 (PD-1),並且P型井區508包括N型FinFET通道閘電晶體(PG-2)和N型FinFET下拉電晶體 (PD-2)。在一些範例中,N型井區504可以包括第一P型FinFET拉升電晶體 (PU-1)和第二P型FinFET拉升電晶體(PU-2)。
在各種實施例中,使用單鰭片FinFET以形成電晶體PG-1、PG-2、PD-1、PD-2、PU-1和PU-2。 例如,電晶體PG-1、PG-2、PD-1和PD-2可以由單鰭片N型FinFET所形成,並且電晶體PU-1和PU-2可以由單鰭片P型FinFET所形成。如第5圖的範例中所示,電晶體PG-1和PD-1包括單鰭片510,以及電晶體PG-2和PD-2包括單鰭片512,並且電晶體PU-1和PU-2分別包括單鰭片514和516。在各種範例中,可以限定鰭片間隔S2(例如,諸如在鰭片510和鰭片514之間、或在其他對鰭片之間)。鰭片510、512、514和516可以類似於上面第1圖所討論的鰭片元件104。
如第5圖的範例所示,SRAM裝置400的電晶體PG-1、PG-2、PD-1、PD-2、PU-1、和PU-2之每一個還包括閘極。例如,電晶體PG-1包括閘極518,閘極518垂直地跨越鰭片510的通道區534。電晶體PG-2包括閘極520,閘極520垂直地跨越鰭片512的通道區526。電晶體PD-1及PU-1包括閘極522,閘極522垂直地跨越鰭片510的通道區528及鰭片514的通道區530。電晶體PD-2及PU-2包括閘極524,閘極524垂直地跨越鰭片512的通道區536及鰭片516的通道區538。在一些實施例中,通過端帽長度E2,閘極可延伸超過(伸出)鰭片(例如,延伸超過鰭片510的閘極522,或延伸超過其所設置的相應鰭片的其他閘極)。在各種範例中,閘極518、520、522和524可以類似於上面第1圖討論的閘極結構108。因此,閘極518、520、522和524可以包括在設置於各種閘極上的鰭片的各個通道區上形成的介電層(例如,界面層和高K介電層)及形成於介電層上的金屬層。作為一個範例,如第5圖和第6圖所示,電晶體PG-2的閘極520可包括形成在鰭片512的通道區526上方的介電層520A和形成在介電層520A上方的金屬層520B。電晶體PD-1和PU-1的閘極522可包括形成在鰭片510的通道區528上方和鰭片514的通道區530上方的介電層522A,以及形成在介電層522A上方的金屬層522B。在一些實施例中,端帽長度E2比金屬層522B的厚度T2大至少兩倍。SRAM裝置400還可以包括隔離區532,其在一些情況下可以類似於如上面第1的所討論的隔離區106。
在各種實施例中,SRAM裝置400的電晶體PG-1、PG-2、PD-1、PD-2、PU-1、和PU-2之每一個包括相鄰於閘極且閘極兩側的這些電晶體的相關鰭片的部份上的源/汲極區,並且這些電晶體的相關鰭片也相鄰於通道區及位於通道區之兩側(例如,諸如通道區526、528、530、534、536和538)。在一些範例中,電晶體PG-1、PG-2、PD-1、PD-2、PU-1、和PU-2之每一個的源/汲極區可以類似於如第1圖所討論的源/汲極區105及107。在一些範例中,多個接點可以直接地(實際地)連接於SRAM裝置400的源/汲極區。例如,電晶體PG-1可以包括源極接點540 (位元線節點(bit-line node) BL)和連接到電晶體PG-1的相應源/汲極區的汲極接點542(第一共用汲極),電晶體PG-2可以包括源極接點544(位元線節點(bit-line-bar node) BLB)和連接到電晶體PG-2的相應源極/汲極區的汲極接點546(第二共用汲極),電晶體PD-1可以包括源極接點548(CVss節點)和連接到電晶體PD-1的相應源/汲極區的汲極接點542(第一共用汲極),電晶體PD-2可以包括源極接點550(CVss節點)和汲極接點546(第二共用汲極),其連接於電晶體PD-2的相應源/汲極區,電晶體PU-1可以包括源極接點552(CVdd節點)和連接到電晶體PU-1的相應源極/汲極區的汲極接點542(第一共用汲極),以及電晶體PU-2可以包括源極接點554(CVdd node)和連接到電晶體PU-2的相應源極/汲極區的汲極接點546(第二共用汲極)。在一些情況下,X間隙X2被限定在源極接點548(CVss節點)和源極接點550(CVss節點)之間。在一些實施例中,Y間隙Y2被限定在源極接點544(BLB),548(CVss節點)或552(CVdd節點)與源極接點540(BL),550(CVss節點)之間,或者554(CVdd節點)。
關於第3圖和第4圖的邏輯裝置300,理解到N型FinFET 310在鰭片314的通道區320中具有第一鰭片寬度(W1),並且P型FinFET 304具有在鰭片308的通道區318中的第二鰭片寬度(W2)。相對於第5圖及第6圖的SRAM裝置400,理解到下拉電晶體(例如,電晶體PD-1和PD-2)具有第三鰭片寬度(W3),例如,在鰭片510的通道區528中和/或在鰭片512的通道區536中。此外,拉升電晶體(電晶體PU-1和PU-2)具有第四鰭片寬度(W4),例如,在鰭片514的通道區530中和/或在鰭片516的通道區538中。
在一些情況下,邏輯裝置300的第一鰭片寬度(W1)窄於SRAM裝置400的第三鰭片寬度(W3)。在一些實施例中,邏輯裝置300的第一鰭片寬度(W1)比SRAM裝置400的第三鰭片寬度(W3)窄至少5%,並且邏輯裝置300的第二鰭片寬度(W2)比SRAM裝置400的第四鰭片寬度(W4)窄至少5%。在一些情況下,邏輯裝置300的第一鰭片寬度(W1)比SRAM裝置400的第三鰭片寬度(W3)窄至少10%。舉例來說,其中主動區鰭片寬度比也可以被限定,例如,W3/W1大於1.05,並且W4/W2大於1.05。如上所述,邏輯裝置300的N型FinFET 310具有第一端帽(end-cap)長度E1,並且SRAM裝置400的下拉電晶體(例如,電晶體PD-1或PD-2)具有第二端帽長度E2。在一些實施例中,第二端帽長度E2比第一端帽長度E1長至少10%。在一些實施例中,端帽長度比(end-cap length ratio)也可以被限定,例如,E2/E1大於1.1。另外,如上所述,邏輯裝置300的互補式金屬氧化物半導體結構(例如,P型FinFET 304及N型FinFET 310)具有第一主動區間隔S1,第一主動區間隔S1介於P型FinFET 304的通道區318及N型FinFET 310的通道區320之間(例如,鰭片308及鰭片314之間)。另外,邏輯裝置400的互補式金屬氧化物半導體結構(例如,N型FinFET PD-1及P型FinFET PU-1)具有第二主動區間隔S2,第二主動區間隔S2介於下拉通道區及拉升通道區之間(例如,介於N型FinFET PD-1的通道區528及P型FinFET PU-1的通道區530之間)。在一些實施例中,第一主動區間隔S1比該第二主動區間隔S2大至少20%。另外,於一些實施例中,反相器單元302的X間隙X1、Y間隙Y1,以及SRAM單元的X間隙X2、Y間隙Y2,X間隙X1相同於X間隙X2。在一些實施例中,Y間隙Y1基本上也可以相同於或大於Y間隙Y2。在各種範例中,Y間隙的比例Y1/Y2可以是1、1.5、2、2.5或3。在一些範例中,邏輯裝置300及SRAM裝置400的閘介電材料及/或厚度基本上是相同的。
現在參考第7圖和第8圖,第7圖說明了邏輯裝置700的示例性平面圖,以及第8圖提供了第7圖的邏輯裝置的剖面圖,其中剖面圖沿著實質平行於被第7圖的截面EE’定義的一平面之一平面。在一些實施例中,可以使用邏輯裝置700代替邏輯裝置300。因此,在一些情況下,半導體裝置(例如,在給定基板上)可以包括多個邏輯裝置700和多個SRAM裝置(例如,SRAM裝置400)。在各個方面,邏輯裝置700與上面第3圖和第4圖討論的邏輯裝置300基本相同。因此,上面參考邏輯裝置300描述的一個或多個特徵也可以應用於邏輯裝置700。因此,為了清楚起見,可以僅在下面簡要地討論邏輯裝置700的一些特徵。
邏輯裝置700可以包括CMOS反相器單元702,其具有與閘極路徑方向平行的X間隙X1(例如,與閘極716平行)和與鰭片主動區路徑方向平行的Y間隙Y1(例如,平行於鰭片708、709、714、715)。在一些實施例中,X間隙X1大於Y間隙Y1。例如,在某些情況下,X間隙X1比Y間隙Y1大約2至3倍。雖然繪示出為包括反相器,但邏輯裝置700可以包括各種其他邏輯閘、裝置或電路,如上所述。在一些實施例中,邏輯裝置700包括使用雙鰭片N型FinFET和雙鰭片P型FinFET所形成的複數個CMOS裝置。
例如,邏輯裝置700包括形成在N型井區706上方並包括雙鰭片(例如,鰭片708和鰭片709)的P型FinFET 704,以及形成在P型井區712上方並包括雙鰭片(例如,鰭片714和鰭片715)的N型FinFET 710。在一些實施例中,X間隙X1限定在從N型井區706的左邊緣到P型井區712的右邊緣。鰭片切割區722、724識別鰭片被切割的區域,例如,提供與相鄰的裝置之隔離。在一些實施例中,Y間隙Y1限定在鰭片切割區722及724之間。邏輯裝置700還包括閘極716,閘極716垂直地跨越P型FinFET 704的雙鰭片和N型FinFET 710的雙鰭片。閘極716可以包括形成於P型FinFET 704的雙鰭片的通道區718上方及N型FinFET 710的雙鰭片的通道區720上方之介電層716A(例如,界面層及高K介電層)以及形成於介電層716A上方之金屬層716B。在各種實施例中,邏輯裝置700可以包括隔離區734。
在一些情況下,P型FinFET 704和N型FinFET 710中的每一個包括在與閘極716相鄰並且在閘極716的任一側上的雙鰭片的部分上的源極/汲極區,且因此相鄰於通道區718和720及在通道區718和720的任一側上。在一些範例中,複數個接點可以直接地(實際地)連接於源/汲極區。例如,P型FinFET 704可包括連接到P型FinFET 704的相應源/汲極區的源極接點726和汲極接點728。類似地,N型FinFET 710可包括連接到N型FinFET 710的相應源/汲極區之源極接點730和汲極接點732。在一些實施例中,X間隙X1限定在從源極接點726的左邊緣到源極接點730的右邊緣。
在各種實施例中,並且關於第7圖和第8圖的邏輯裝置700,注意到N型FinFET 710的雙鰭片(鰭片714、715)各自在通道區720中具有第一鰭片寬度(W1),並且P型FinFET 704的雙鰭片(鰭片708、709)各自在通道區718中具有第二鰭片寬度(W2)。如上所述,並且相對於第5圖和第6圖的SRAM裝置400,下拉電晶體(例如,電晶體PD-1和PD-2)具有第三鰭片寬度(W3),並且拉升電晶體(例如,電晶體PU-1和PU-2)具有第四鰭片寬度(W4)。
在一些實施例中,邏輯裝置700的第一鰭片寬度(W1)比SRAM裝置400的第三鰭片寬度(W3)窄至少5%,並且邏輯裝置700的第二鰭片寬度(W2)比SRAM裝置400的第四鰭片寬度(W4)窄至少為5%。在一些情況下,邏輯裝置700的第一鰭片寬度(W1)比SRAM裝置400的第三鰭片寬度(W3)窄至少10%。舉例來說,主動區鰭寬度比(active region fin ratio)(例如,對於邏輯裝置700和SRAM裝置400)可以包括W3/W1大於1.05,並且W4/W2大於1.05。邏輯裝置700的N型FinFET 310具有第一端帽長度E1,並且SRAM裝置400的下拉電晶體(例如,電晶體PD-1或PD-2)具有第二端帽長度E2。在一些實施例中,第二端帽長度E2比第一端帽長度E1長至少10%。此外,邏輯裝置700的CMOS結構(例如,包括P型FinFET 704和N型FinFET 710)具有第一主動區間隔S1,第一主動區間隔S1介於P型FinFET的通道區718及N型FinFET的通道區720之間(例如,在鰭片709和鰭片715之間)。在各種範例中,SRAM裝置具有第二主動區間隔S2,第二主動區間隔S2介於下拉通道區和拉升通道區之間400,如上所述。在一些實施例中,第一主動區間隔S1 比第二主動區間隔S2大至少20%。關於反相器單元702之X間隙X1,Y間隙Y1和SRAM單元502之X間隙X2,Y間隙Y2,X間隙X1基本上是與X間隙X2相同。在一些實施例中,Y間隙Y1可以與Y-間隙Y2基本相同或者更大。因此,在各種範例中,Y間隙Y1/Y2的比率可以等於1、1.5、2、2.5或3。此外,在一些範例中,邏輯裝置700的閘極介電層(gate dielectric)材料和/或厚度(例如,介電層716A和/或介電層522A)和SRAM裝置400基本相同。
作為對以上揭露內容的進一步描述,鰭片寬度(W1至W4)可以限定在鰭片頂部部分內、鰭片中部部分內或鰭片底部部分內。另外,閘極的結構(例如,諸如閘極316、518、520、522、524、716)可以包括從群組中所選的多材料結構,例如:多閘極(poly-gate)/氮氧化矽(SiON)結構、金屬/高K介電層架構、鋁/耐火金屬/高K介電層架構、矽化物/高K介電層架構,或其組合。在一些實施例中,邏輯裝置300、700及SRAM裝置400的N型FinFET可以包括N型摻雜(例如,磷(P31或其他),As或兩者)的磊晶生長的源/汲極區。在一些實施例中,邏輯裝置300及700具有第一源/汲極磊晶層寬度(epi width)並且SRAM裝置400具有第二源/汲極磊晶層寬度(epi width),其中第二磊晶層寬度比第一磊晶層寬度寬至少5%。於一些實施例中,邏輯裝置300及700及SRAM裝置400之P型FinFET可以包括P型摻雜(例如,硼,B11或其他)的磊晶生長的源/汲極區。於一些實施例中,邏輯裝置300及700具有第三源/汲極磊晶層寬度,SRAM裝置400具有第四磊晶層寬度,其中第四磊晶層寬度比第三磊晶層寬度寬至少5%。於一些實施例中,N型摻雜的源/汲極區之材料包括磊晶輪廓(epi profile),並且磊晶材料可以從群組中所選擇,群組包括:SiP、SiC、SiPC、SiAs、Si或其組合。在一些情況中,P型摻雜的源/汲極區之材料包括磊晶輪廓(epi profile),並且磊晶材料可以從群組中所選擇,群組包括:SiGe、SiGeC、Ge,或其組合。於一些實施例中,接點層(例如,接點源/汲極區)可以包括多重金屬材料,其中多重金屬材料可以從群組中所選擇,群組包括:Ti、TiN、TaN、Co、W、Al、Ru、Cu或其組合。於一些實施例中,所揭露的裝置之基板材料可以從下面群組中所選擇:大塊矽(bulk-Si)、SiP、SiGe、SiC、SiPC、Ge、SOI-Si、SOI-SiGe、III-VI材料,它們的組合或如上所述的其他材料。於一些實施例中,這裡所揭露的電晶體可以包括FinFET結構,其中FinFET結構可以從包括2D-finFET結構、3D-finFET結構或其組合的群組中所選擇。
現在參考第9圖,繪示出了一種根據一個或多個實施例的製造半導體裝置的方法900(例如,包括裝置100、200、300、400或700中的一個或多個)。應當理解到,方法900的部分和/或由此製造的半導體裝置可以通過眾所周知的CMOS技術之處理流程來製造,因此這裡僅簡要描述一些製程。
方法900開始於操作902,提供一基板(例如,諸如矽基板)。在一些範例中,基板可以包括各種材料和/或配置,如上面關於第1圖所討論的基板102。方法900進行到操作904:形成鰭片結構。在一些實施例中,鰭片結構的形成包括:在基板上沉積第一組介電層(例如,具有介於約100A-2000A之間的厚度)。可以形成虛擬圖案(dummy pattern),例如,其中微影(photolithography)和蝕刻(etching)步驟可以用於部分地去除第一組介電層,留下第一組介電層的一部分以及虛擬圖案。於一些實施例中,然後沉積第二組介電層(例如,具有介於約20A-700A之間的厚度)並回蝕刻以在虛擬圖案的相對之側壁上形成至少兩個間隔物。在一些情況下,去除虛擬圖案(例如,通過濕蝕刻,乾蝕刻或其組合),使得間隔物保留下來。可以執行微影製程以暴露第一鰭片部分(例如,邏輯裝置的鰭片部分或SRAM裝置的鰭片部分),並且可以執行額外的蝕刻製程以用於修整間隔物層(spacer layer)的臨界尺寸。在一些實施例中,剩餘的間隔物層可以用作硬光罩以部分地去除矽基板。在一些情況下,可以執行另一微影和蝕刻製程(例如,切割層處理)以去除不必要的鰭片區域。在形成鰭線之後,方法900前進到操作906:執行井和通道摻雜。此後,方法900前進到操作908,形成閘極結構。舉例來說,閘極結構可以包括上面所討論的一個或多個閘極結構(例如,包括閘極介電層和閘極介電層上的金屬層)。然後,方法900進行到操作910,其中操作910:形成裝置的源/汲極區,例如上面所討論的源/汲極區。然後,方法900進行到操作912,其中可以形成接點、導通孔(VIA)和金屬互連層(metal interconnect layer)。在一些實施例中,方法900可以繼續進一步處理以形成本領域中已知的各種特徵和區域。在各種實施例中,半導體裝置可以包括個別裝置架構以符合邏輯裝置的需求及SRAM裝置的需求。在一些情況中,邏輯電晶體可以具有窄鰭片臨界尺寸以改善電流開關比,並且SRAM裝置可以具有較厚的鰭片CD(例如,相較於邏輯裝置)以避免閂鎖效應(例如,提供已改善的井阻抗及N型摻雜P型井/N型井(N+PW/NW),P型摻雜N型井/P型井(P+/NW/PW)隔離漏電改善)。在一些範例中,邏輯電晶體可以具有較短的閘極電極端帽(gate electrode end-cap)以用於減小接點-閘極電容(contact-to-gate capacitance),並且SRAM裝置可以具有較長的閘極電極端帽以用於改善Vt穩定性和減少最小臨界電壓(Vcc_min)。在一些實施例中,邏輯電晶體可以具有更寬的井隔離間格規則(well isolation space rule)(例如,N型摻雜主動區(N+OD)到N型井,P型摻雜主動區(P+OD)到P型井)以用於改善閂鎖效應和井隔離漏電,並且SRAM裝置可以推動(減少)窄井隔離間格規則(例如,N型摻雜主動區到N型井,P型摻雜主動區到P型井)以用於減少單元尺寸。通常,本文揭露的各種實施例提供邏輯裝置效能、SRAM單元尺寸縮放和製程操作界限的同時優化。對於具有本揭露內容的本領域技術人員來說,另外的實施例和優點是顯而易見的。
因此,本文描述的各種實施例提供優於現有技術的若干優點。應當理解的是,並非所有優點都必須在本文中討論,所有實施例都不需要特別的優點,並且其他實施例可以提供不同的優點。例如,這裡討論的實施例包括用於核(邏輯)裝置和SRAM裝置的共同優化的結構和方法。
因此,本揭露的一個實施例描述了包括邏輯部分和記憶體部分的半導體裝置。在一些實施例中,邏輯裝置設置在邏輯部分內。在一些情況下,邏輯裝置包括單鰭片N型FinFET和單鰭片P型FinFET。在一些範例中,靜態隨機存取記憶體(SRAM)裝置設置在記憶體部分內。SRAM裝置包括設置在兩個P型井區之間的N型井區,其中兩個P型井區包括N型FinFET通道閘(PG)電晶體和N型FinFET下拉(PD)電晶體,並且其中N型井區包括P型FinFET拉升(PU)電晶體。
在某些實施例中,邏輯裝置包括反相器、及閘(AND gate)、反及閘(NAND gate)、或閘(OR gate)、非或閘(NOR gate)、正反器(flip-flop)、掃描邏輯(scan logic)或組合邏輯(combinational logic)。
在某些實施例中,邏輯裝置具有第一主動區間隔,第一主動區間隔介於單鰭片N型鰭式場效電晶體的通道區及單鰭片P型鰭式場效電晶體的通道區之間,且靜態隨機存取記憶體裝置具有第二主動區間隔,第二主動區間隔介於下拉通道區及拉升通道區之間。
在某些實施例中,第一主動區間隔比第二主動區間隔大至少20%。
在某些實施例中,形成於單鰭片N型鰭式場效電晶體上的第一閘具有第一端帽長度,且其中形成於N型鰭式場效電晶體下拉電晶體上的第二閘具有第二端帽長度。
在某些實施例中,第二端帽長度比第一端帽長度長至少10%。
在某些實施例中,第二端帽長度與第一端帽長度之一端帽長度比大於1.1。
在某些實施例中,邏輯裝置包括具有第一X間隙及第一Y間隙的第一單元,其中靜態隨機存取記憶體裝置包括具有第二X間隙及第二Y間隙的第二單元,其中第一X間隙相同於該第二X間隙。
在某些實施例中,N型鰭式場效電晶體通道閘電晶體及N型鰭式場效電晶體下拉電晶體包括單鰭片N型鰭式場效電晶體,並且其中P型鰭式場效電晶體拉升電晶體包括單鰭片P型鰭式場效電晶體。
在某些實施例中,單鰭片N型鰭式場效電晶體具有在第一通道區中的第一鰭片寬度,單鰭片P型鰭式場效電晶體具有在第二通道區中的第二鰭片寬度,N型鰭式場效電晶體下拉電晶體具有在第三通道區中的第三鰭片寬度,且P型鰭式場效電晶體拉升電晶體具有在第四通道區中的第四鰭片寬度。
在某些實施例中,第一鰭片寬度比第三鰭片寬度窄至少5%,且其中第二鰭片寬度比第四鰭片寬度窄至少5%。
在某些實施例中,第三鰭片寬度與第一鰭片寬度的第一鰭片寬度比大於1.05,且其中第四鰭片寬度與第二鰭片寬度的第二鰭片寬度比大於1.05。
在另一個實施例中,討論的是包括第一電路區和第二電路區的積體電路。在一些實施例中,邏輯電路設置在第一電路區內。 在一些情況下,邏輯電路包括雙鰭片N型FinFET和雙鰭片P型FinFET。在各種實施例中,記憶體電路設置在第二電路區內。在一些範例中,記憶體電路包括第一複數個單鰭片N型FinFET和第二複數個單鰭片P型FinFET。
在某些實施例中,記憶體電路包括設置於複數個列及複數個行內的複數個靜態隨機存取記憶體單元。
在某些實施例中,複數個靜態隨機存取記憶體單元的每一靜態隨機存取記憶體單元包括設置於兩個P型井區之間的N型井區,其中兩個P型井區包括N型鰭式場效電晶體通道閘電晶體及N型鰭式場效電晶體下拉電晶體,且N型井區包括P型鰭式場效電晶體拉升電晶體。
在某些實施例中,邏輯電路具有第一主動區間隔,第一主動區間隔介於雙鰭片N型鰭式場效電晶體的通道區及雙鰭片P型鰭式場效電晶體的通道區之間,記憶體電路的靜態隨機存取記憶體裝置具有第二主動區間隔,第二主動區間隔介於下拉通道區及拉升通道區之間,且第一主動區間隔比第二主動區間隔大至少20%。
在某些實施例中,形成於雙鰭片N型鰭式場效電晶體上的第一閘具有第一端帽長度,且其中形成於N型鰭式場效電晶體下拉電晶體上的第二閘具有第二端帽長度,且其中第二端帽長度比第一端帽長度長至少10%。
在某些實施例中,雙鰭片N型鰭式場效電晶體具有在第一通道區中的第一鰭片寬度,其中N型鰭式場效電晶體下拉電晶體具有在一第三通道區中的第三鰭片寬度,且其中第一鰭片寬度比第三鰭片寬度窄至少10%。
在其他實施例中,討論了一種半導體裝置,包括複數個個邏輯部分和設置在基板上的複數個記憶體部分。在一些範例中,複數個CMOS裝置設置在複數個邏輯部分內,其中每一個CMOS裝置包括具有第一鰭片寬度的單鰭片N型FinFET和具有第二鰭片寬度的單鰭片P型FinFET。在一些實施例中,複數個個靜態隨機存取記憶體設置在複數個記憶體部分內,其中多個SRAM裝置以複數個行和列進行排列。在一些情況下,每一個SRAM裝置包括N型FinFET通道閘電晶體和設置在P型井區內的N型FinFET下拉電晶體和設置在N阱區域內的P型FinFET拉升電晶體。在一些實施例中,N型FinFET下拉電晶體具有第三鰭片寬度,並且P型FinFET PU電晶體具有第四鰭片寬度。在各種範例中,第一鰭片寬度比第三鰭片寬度窄。
在某些實施例中,每一互補式金氧半導體裝置具有介於單鰭片N型鰭式場效電晶體的通道區及單鰭片P型鰭式場效電晶體的通道區之間的第一主動區間隔,每一互補式金氧半導體裝置具有介於下拉通道區及拉升通道區之間的第二主動區間隔,且其中第一主動區間隔比第二主動區間隔大至少20%。在某些實施例中,形成於單鰭片N型鰭式場效電晶體上的第一閘具有第一端帽長度,形成於N型鰭式場效電晶體下拉電晶體上的第二閘具有第二端帽長度,且第二端帽長度比第一端帽長度長至少10%。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:鰭式場效電晶體(FinFET)裝置 102:基板 104:鰭片元件 105:源極/汲極區 106:隔離區 107:源極/汲極區 108:閘極結構 110:閘極介電層 112:金屬層 200:靜態隨機存取記憶體(SRAM)單元 210、220:反相器 300:邏輯裝置 302:反相器單元 304:P型FinFET 306:N型井區 308:鰭片 310:N型FinFET 312:P型井區 314:鰭片 316:閘極 316A:介電層 316B:金屬層 318:通道區 320:通道區 322、324:鰭片切割區域 326:源極接點 328:汲極接點 330:源極接點 332:汲極接點 334:隔離區 400:SRAM裝置 502:SRAM單元 504:N型井區 506:P型井區 508:P型井區 510、512、514、516:鰭片 518、520、522、524:閘極 526、528、530、534、536、538:通道區 532:隔離區 540:源極接點 542:汲極接點 544:源極接點 546:汲極接點 548、550、552、554:源極接點 520A、522A:介電層 520B、522B:金屬層 700:邏輯裝置 702:反相器單元 704:P型FinFET 706:N型井區 708、709:鰭片 710:N型FinFET 712:P型井區 714、715:鰭片 716:閘極 718、720:通道區 722、724:鰭片切割區 726、730:源極接點 728、732:汲極接點 734:隔離區 716A:介電層 716B:金屬層 900:方法 902~912:操作 AA’:截面 BB’:截面 CC’:截面 DD’:截面 EE’:截面 BL:位元線 BLB:互補位元線 PD-1、PD-2:下拉電晶體 PU-1、PU-2:拉升電晶體 PG-1、PG-2:通道閘電晶體 SN、SNB:儲存節點 WL:字元線 W1:第一鰭片寬度 W2:第二鰭片寬度 W3:第三鰭片寬度 W4:第四鰭片寬度 S1:第一主動區間隔 S2:第二主動區間隔 E1:第一端帽長度 E2:第二端帽長度 X1、X2:X間隙 Y1、Y2:Y間隙 T1、T2:厚度 Vss、Vdd:電源電壓 CVss、CVdd:節點
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是根據本揭露的一鰭式場效電晶體裝置的實施例的透視圖。 第2圖提供了根據本揭露的一SRAM單元的示例性電路圖,該SRAM單元可以在SRAM陣列的記憶體單元中實現。 第3圖根據一些實施例提供邏輯裝置的示例性平面圖。 第4圖根據一些實施例提供第3圖的邏輯裝置的剖面圖,沿著實質平行於被第3圖的截面CC’定義的一平面之一平面。 第5圖根據一些實施例提供一SRAM單元的示例性平面圖。 第6圖根據一些實施例提供第5圖的SRAM單元的剖面圖,沿著實質平行於被第5圖的截面DD’定義的一平面之一平面。 第7圖根據一些實施例提供其他邏輯裝置的示例性平面圖。 第8圖根據一些實施例提供第7圖的邏輯裝置的剖面圖,沿著實質平行於被第7圖的截面EE’定義的一平面之一平面。 第9圖根據一個或多個實施例的製造半導體裝置的示例性方法900。
100:鰭式場效電晶體(FinFET)裝置
102:基板
104:鰭片元件
105:源極/汲極區
106:隔離區
107:源極/汲極區
108:閘極結構
110:閘極介電層
112:金屬層
AA’:截面
BB’:截面

Claims (20)

  1. 一種半導體裝置,包括: 一邏輯部分及一記憶體部分; 一邏輯裝置,設置於該邏輯部分內,其中該邏輯裝置包括一單鰭片N型鰭式場效電晶體及一單鰭片P型鰭式場效電晶體;以及 一靜態隨機存取記憶體裝置,設置於該邏輯部分內,其中該靜態隨機存取記憶體裝置包括一設置於兩個P型井區的N型井區,其中該兩個P型井區包括一N型鰭式場效電晶體通道閘電晶體及一N型鰭式場效電晶體下拉電晶體,且其中該N型井區包括一P型鰭式場效電晶體拉升電晶體。
  2. 如申請專利範圍第1項所述之半導體裝置,該邏輯裝置包括一反相器、一及閘、一反及閘、一或閘、一非或閘、一正反器、一掃描邏輯或一組合邏輯。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該邏輯裝置具有一第一主動區間隔,其中該第一主動區間隔介於該單鰭片N型鰭式場效電晶體的一通道區及該單鰭片P型鰭式場效電晶體的一通道區之間,且其中該靜態隨機存取記憶體裝置具有一第二主動區間隔,其中該第二主動區間隔介於一下拉通道區及一拉升通道區之間。
  4. 如申請專利範圍第3項所述之半導體裝置,其中該第一主動區間隔比該第二主動區間隔大至少20%。
  5. 如申請專利範圍第1項所述之半導體裝置,其中形成於該單鰭片N型鰭式場效電晶體上的一第一閘具有一第一端帽長度,且其中形成於該N型鰭式場效電晶體下拉電晶體上的一第二閘具有一第二端帽長度。
  6. 如申請專利範圍第5項所述之半導體裝置,其中該第二端帽長度比該第一端帽長度長至少10%。
  7. 如申請專利範圍第5項所述之半導體裝置,其中該第二端帽長度與該第一端帽長度之一端帽長度比大於1.1。
  8. 如申請專利範圍第1項所述之半導體裝置,其中該邏輯裝置包括一具有一第一X間隙及一第一Y間隙的第一單元,其中該靜態隨機存取記憶體裝置包括一具有一第二X間隙及一第二Y間隙的第二單元,其中該第一X間隙相同於該第二X間隙。
  9. 如申請專利範圍第1項所述之半導體裝置,其中該N型鰭式場效電晶體通道閘電晶體及該N型鰭式場效電晶體下拉電晶體包括一單鰭片N型鰭式場效電晶體,並且其中該P型鰭式場效電晶體拉升電晶體包括一單鰭片P型鰭式場效電晶體。
  10. 如申請專利範圍第1項所述之半導體裝置,其中該單鰭片N型鰭式場效電晶體具有在一第一通道區中的一第一鰭片寬度,該單鰭片P型鰭式場效電晶體具有在一第二通道區中的一第二鰭片寬度,該N型鰭式場效電晶體下拉電晶體具有在一第三通道區中的一第三鰭片寬度,且該P型鰭式場效電晶體拉升電晶體具有在一第四通道區中的一第四鰭片寬度。
  11. 如申請專利範圍第10項所述之半導體裝置,其中該第一鰭片寬度比該第三鰭片寬度窄至少5%,且其中該第二鰭片寬度比該第四鰭片寬度窄至少5%。
  12. 如申請專利範圍第10項所述之半導體裝置,其中該第三鰭片寬度與該第一鰭片寬度之一第一鰭片寬度比大於1.05,且其中該第四鰭片寬度與該第二鰭片寬度之一第二鰭片寬度比大於1.05。
  13. 一種積體電路,包括: 一第一電路區及一第二電路區; 一邏輯電路,設置於該第一電路區內,且其中該邏輯電路包括一雙鰭片N型鰭式場效電晶體及一雙鰭片P型鰭式場效電晶體;以及 一記憶體電路,設置於該第二電路區內,其中該記憶體電路包括第一複數個單鰭片N型鰭式場效電晶體及第二複數個單鰭片P型鰭式場效電晶體。
  14. 如申請專利範圍第13項所述之積體電路,其中該記憶體電路包括設置於複數個列及複數個行內的複數個靜態隨機存取記憶體單元。
  15. 如申請專利範圍第14項所述之積體電路,其中該複數個靜態隨機存取記憶體單元的每一靜態隨機存取記憶體單元包括一設置於兩個P型井區之間的N型井區,其中該兩個P型井區包括一N型鰭式場效電晶體通道閘電晶體及一N型鰭式場效電晶體下拉電晶體,且其中該N型井區包括一P型鰭式場效電晶體拉升電晶體。
  16. 如申請專利範圍第13項所述之積體電路,其中該邏輯電路具有一第一主動區間隔,該第一主動區間隔介於該雙鰭片N型鰭式場效電晶體的一通道區及該雙鰭片P型鰭式場效電晶體的一通道區之間,該記憶體電路的一靜態隨機存取記憶體裝置具有一第二主動區間隔,該第二主動區間隔介於一下拉通道區及一拉升通道區之間,且該第一主動區間隔比該第二主動區間隔大至少20%。
  17. 如申請專利範圍第13項所述之積體電路,其中一形成於該雙鰭片N型鰭式場效電晶體上的第一閘具有一第一端帽長度,且其中一形成於該N型鰭式場效電晶體下拉電晶體上的第二閘具有一第二端帽長度,且其中該第二端帽長度比該第一端帽長度長至少10%。
  18. 如申請專利範圍第15項所述之積體電路,其中該雙鰭片N型鰭式場效電晶體具有一在一第一通道區中的第一鰭片寬度,其中該N型鰭式場效電晶體下拉電晶體具有一在一第三通道區中的第三鰭片寬度,且其中該第一鰭片寬度比該第三鰭片寬度窄至少10%。
  19. 一種半導體裝置,包括: 複數個邏輯部分及複數個記憶體部分,設置於一基板上; 複數個互補式金氧半導體裝置,設置於該複數個邏輯部分內,其中每一複數個互補式金氧半導體包括具有一第一鰭片寬度的一單鰭片N型鰭式場效電晶體及具有一第二鰭片寬度的一單鰭片P型鰭式場效電晶體;以及 複數個靜態隨機存取記憶體裝置,設置於該複數個邏輯部分內,其中該複數個靜態隨機存取記憶體裝置設置於複數個列及複數個行內,其中每一複數個靜態隨機存取記憶體裝置包括設置於一P型井區內的一N型鰭式場效電晶體通道閘電晶體及一N型鰭式場效電晶體下拉電晶體,以及設置於一N型井區內的一P型鰭式場效電晶體拉升電晶體,其中該N型鰭式場效電晶體下拉電晶體具有一第三鰭片寬度,其中該P型鰭式場效電晶體拉升電晶體具有一第四鰭片寬度,且該第一鰭片寬度比該第三鰭片寬度窄。
  20. 如申請專利範圍第19項所述之半導體裝置,其中每一互補式金氧半導體裝置具有介於該單鰭片N型鰭式場效電晶體的一通道區及該單鰭片P型鰭式場效電晶體的一通道區之間的一第一主動區間隔,每一互補式金氧半導體裝置具有介於一下拉通道區及一拉升通道區之間的一第二主動區間隔,且其中該第一主動區間隔比該第二主動區間隔大至少20%;以及 其中,形成於該單鰭片N型鰭式場效電晶體上的一第一閘具有一第一端帽長度,形成於該N型鰭式場效電晶體下拉電晶體上的一第二閘具有一第二端帽長度,且該第二端帽長度比該第一端帽長度長至少10%。
TW108133990A 2018-09-28 2019-09-20 半導體裝置及積體電路 TWI754836B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738970P 2018-09-28 2018-09-28
US62/738,970 2018-09-28
US16/441,682 US10763863B2 (en) 2018-09-28 2019-06-14 Semiconductor device for logic and memory co-optimization
US16/441,682 2019-06-14

Publications (2)

Publication Number Publication Date
TW202017114A true TW202017114A (zh) 2020-05-01
TWI754836B TWI754836B (zh) 2022-02-11

Family

ID=69781293

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108133990A TWI754836B (zh) 2018-09-28 2019-09-20 半導體裝置及積體電路

Country Status (3)

Country Link
US (2) US11277136B2 (zh)
DE (1) DE102019117897B4 (zh)
TW (1) TWI754836B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI826138B (zh) * 2022-08-24 2023-12-11 南亞科技股份有限公司 半導體結構及其製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113488474A (zh) * 2021-07-15 2021-10-08 广东省大湾区集成电路与系统应用研究院 一种高密度静态随机存储器比特单元结构及其工艺方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4240093A (en) * 1976-12-10 1980-12-16 Rca Corporation Integrated circuit device including both N-channel and P-channel insulated gate field effect transistors
US7754560B2 (en) 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
KR101529331B1 (ko) * 2006-08-17 2015-06-16 크리 인코포레이티드 고전력 절연 게이트 바이폴라 트랜지스터
US9070784B2 (en) 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8582352B2 (en) 2011-12-06 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for FinFET SRAM cells
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8987831B2 (en) 2012-01-12 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells and arrays
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8901615B2 (en) * 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9293466B2 (en) 2013-06-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9287382B1 (en) * 2014-11-06 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for semiconductor device
US9450078B1 (en) * 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
EP3440705A4 (en) * 2016-04-01 2019-11-13 INTEL Corporation TRANSISTOR CELLS COMPRISING A DEEP INTERCONNECTION HOLE COVERED WITH DIELECTRIC MATERIAL
US9922983B1 (en) * 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US10763863B2 (en) * 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI826138B (zh) * 2022-08-24 2023-12-11 南亞科技股份有限公司 半導體結構及其製造方法

Also Published As

Publication number Publication date
US20200395941A1 (en) 2020-12-17
DE102019117897B4 (de) 2024-03-21
US11277136B2 (en) 2022-03-15
US20220209774A1 (en) 2022-06-30
TWI754836B (zh) 2022-02-11
DE102019117897A1 (de) 2020-04-02

Similar Documents

Publication Publication Date Title
US10763863B2 (en) Semiconductor device for logic and memory co-optimization
US9870815B2 (en) Structure and method for a SRAM circuit
US11043490B2 (en) Semiconductor device having a dielectric dummy gate
US9831253B2 (en) FinFET memory device
KR102245977B1 (ko) 불연속적인 pmos 핀 라인들을 갖는 finfet sram
KR102023665B1 (ko) 정적 랜덤 액세스 메모리
US20220209774A1 (en) Semiconductor Device For Logic and Memory Co-Optimization
US20230301051A1 (en) Integrated circuit with embedded high-density and high-current sram macros
US11728344B2 (en) Hybrid SRAM design with nano-structures
Veloso et al. Challenges and opportunities of vertical FET devices using 3D circuit design layouts
US10535667B1 (en) Memory array and semiconductor chip
TW202303969A (zh) 半導體裝置的製造方法