TW202016992A - 極紫外線微影方法及微影設備 - Google Patents

極紫外線微影方法及微影設備 Download PDF

Info

Publication number
TW202016992A
TW202016992A TW108129338A TW108129338A TW202016992A TW 202016992 A TW202016992 A TW 202016992A TW 108129338 A TW108129338 A TW 108129338A TW 108129338 A TW108129338 A TW 108129338A TW 202016992 A TW202016992 A TW 202016992A
Authority
TW
Taiwan
Prior art keywords
mask
exposure
phase shift
exposure dose
photoresist layer
Prior art date
Application number
TW108129338A
Other languages
English (en)
Other versions
TWI727399B (zh
Inventor
游信勝
劉如淦
黃旭霆
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202016992A publication Critical patent/TW202016992A/zh
Application granted granted Critical
Publication of TWI727399B publication Critical patent/TWI727399B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種極紫外線微影(EUVL)方法包括以下步驟。提供至少二個相位移遮罩區域,相位移遮罩區具有相同的圖案。形成光阻層於基板上。確定光阻層的最佳曝光劑量。通過多次曝光製程,在光阻層的相同的區域上形成潛像。多次曝光製程包括複數曝光製程,並且每一曝光製程使用與具有相同的圖案的至少二個相位移遮罩區域不同的相位移遮罩區域。

Description

微影方法及設備
半導體積體電路(integrated circuit;IC)工業經歷快速的增長。IC材料與設計的技術進步已經產生了幾代IC,其中每一代都具有比上一代更小與更複雜的電路。在IC演變過程中,功能密度(亦即,每晶片面積的內連接元件的數量)通常增加,而幾何尺寸(亦即,可使用製造製程產生的最小組件或線)縮小。這種縮小的製程通常通過提高生產效率與降低相關成本來提供益處。然而,這種按比例縮小的製程也增加處理與製造IC的複雜性,並且為了實現這些進步,需要IC處理與製造中相似的發展。例如,實現更高解析度的微影製程,例如極紫外線(extreme ultraviolet;EUV)微影製程,以滿足接近7nm的技術節點及以下的關鍵尺寸公差的尺寸。EUV製程使用反射遮罩(reflective mask),也稱為標線片(reticle),將積體電路元件層的圖案轉移到晶圓。反射遮罩通常包括設置在基板上的反射多層塗層(多層鏡堆疊)。任何包含精微的缺陷與在基板、反射多層或吸收器中的缺陷都會不利地影響反射遮罩的圖案的可印刷性。這些缺陷通常難以檢查,即使檢測到也難以修復。因此,儘管現有的EUV遮罩與製造EUV遮罩的方法通常已經足夠用於它們預期的目的,但它們並 非在所有方面都是令人滿意的。
40‧‧‧光罩(遮罩)
105‧‧‧導電層
110‧‧‧基板
120‧‧‧多層
130‧‧‧緩衝層
150‧‧‧第二反射層
151‧‧‧鉬層
152、154、156、158、160‧‧‧鉬膜
162、164、166、168、170‧‧‧矽膜
172‧‧‧緩衝層
210、220‧‧‧狀態
310、320‧‧‧主多邊形
330‧‧‧場
340‧‧‧子解析度輔助多邊形
400‧‧‧方法
S410、S420、S430‧‧‧步驟
510‧‧‧基板(晶圓)
520‧‧‧光阻層
520A‧‧‧圖案化的光阻層
530‧‧‧第一曝光製程
532‧‧‧潛像圖案
532A、532B、532C、532D‧‧‧潛像部分
534、536‧‧‧EUV輻射
540‧‧‧第二曝光製程
550‧‧‧第三曝光製程
560‧‧‧第四曝光製程
570‧‧‧顯影製程
572‧‧‧開口
900‧‧‧微影設備
905‧‧‧遮罩台
910‧‧‧晶圓台
915‧‧‧輻射源
920‧‧‧控制器
925‧‧‧計算機
930‧‧‧鍵盤
935‧‧‧滑鼠
940‧‧‧顯示器
945‧‧‧光盤驅動器
950‧‧‧磁盤驅動器
960‧‧‧處理器
965‧‧‧唯讀記憶體
970‧‧‧隨機存取記憶體
975‧‧‧硬碟
980‧‧‧光盤
985‧‧‧磁盤
1100‧‧‧方法
S1110、S1120、S1130、S1140‧‧‧步驟
1200‧‧‧方法
S1210、S1220、S1230、S1240‧‧‧步驟
1300‧‧‧方法
S1310、S1320、S1330、S1340‧‧‧步驟
1400‧‧‧方法
S1410、S1420、S1430、S1440、S1450‧‧‧步驟
D1‧‧‧距離
L‧‧‧橫向移動
M1、M2、M3、M4‧‧‧遮罩
V‧‧‧垂直移動
θ‧‧‧角度
當結合圖式閱讀時,根據以下詳細描述可以最好地理解本揭露。需要強調的是,根據產業上的標準慣例,各種特徵不是按比例繪製的,且僅是用於說明目的。實際上,為了清楚討論,各種特徵的尺寸可以任意增加或減小。
第1圖繪示根據本揭露之一實施方式於製造階段的構造的EUV遮罩的示意剖面圖。
第2圖繪示根據本揭露之一實施方式之第1圖的構造的(部分)EUV遮罩的示意剖面圖。
第3圖繪示根據本揭露之一實施方式之第1圖的構造的(部分)EUV遮罩的示意剖面圖。
第4圖繪示根據本揭露之一些實施方式之第1圖的構造的(部分)EUV遮罩的示意剖面圖。
第5圖繪示根據本揭露之一些實施方式的EUV遮罩的示意上視圖。
第6圖是根據本揭露之一些實施方式的形成圖案化光阻層的方法的流程圖。
第7圖繪示根據本揭露之一些實施方式的製造半導體元件的方法之階段期間的光阻層的示意剖面圖。
第8A圖、第8B圖、第8C圖、第8D圖與第8F圖繪示根據本揭露之一些實施方式的製造半導體元件之不同階段期間的 光阻層的示意剖面圖。第8E圖繪示根據本揭露之一實施方式的相位移遮罩的平面圖。
第9圖繪示根據本揭露之一實施方式的微影設備。
第10A圖與第10B圖繪示根據本揭露之一實施方式的用於微影設備的控制器的一實施方式。
第11圖是根據本揭露之一實施方式的製造半導體元件的方法的流程圖。
第12圖是根據本揭露之一實施方式的製造半導體元件的方法的流程圖。
第13圖是根據本揭露之一實施方式的製造半導體元件的方法的流程圖。
第14圖是根據本揭露之一實施方式的製造半導體元件的方法的流程圖。
第15圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第16圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第17圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第18圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第19圖是根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第20圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第21圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第22圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第23圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第24圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第25圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第26圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第27圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第28圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第29圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第30圖繪示了根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第31圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第32圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第33圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第34圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第35圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第36圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第37圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第38圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第39圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第40圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第41圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第42圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第43圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第44圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第45圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第46圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第47圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第48圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第49圖繪示根據本揭露之一實施方式的曝光半導體基板的方法中的不同順序步驟之一。
第50圖繪示根據本揭露之一些實施方式的使用4個不同遮罩的單一個曝光與4個曝光之間的比較。
應該理解的是,以下公開內容提供了用於實現本揭露的一些實施方式之不同特徵的許多不同實施方式或示例。以下描述具體實施方式或示例的元件和配置以簡化本揭露的一些實施方式。當然,這些僅僅是例子,並不意在限制。例如,元件的尺寸不限於所公開的範圍或數值,而是可以取決於製成條件和/或裝置的期望特性。此外,在下面的描述中,在第二特徵上方或之上形成第一特徵可以包括其中第一特徵和第二特徵形成為直接接觸的實施方式,並且還可以包括其中可 以形成介於第一特徵和第二特徵之間的額外特徵,使得第一特徵和第二特徵可以不直接接觸。為了簡單和清楚起見,各種特徵可以以不同比例任意繪製。
此外,為了便於描述,在此可以使用例如「在...之下」、「在...下方」、「低於」、「在...之上」、「高於」等的空間相對術語來描述一個元件或特徵與如圖式所示之另一個元件或特徵的關係。除了圖式中描繪的方向之外,空間相對術語旨在涵蓋使用或操作中的裝置的不同方位。此裝置可以以其他方式定向(旋轉90度或在其他方位)並且同樣可以相應地解釋這裡使用的空間關係描述符號。另外,術語「由...構成(made of)」可以表示「包含(comprising)」或「由...組成(consisting of)」。
以下描述有關於極紫外線(EUV)光罩或EUV相位移遮罩(phase-shifting mask;PSM)與遮罩製造製程。術語光罩與遮罩可互換地使用。遮罩製造製程包括二個步驟。空白遮罩(blank mask)製造製程與遮罩圖案化製程。在空白遮罩製造製程期間,通過在適當的基板上沉積適當的層(例如,反射多層)來形成空白遮罩。在遮罩圖案化製程期間,圖案化空白遮罩以具有積體電路(IC)層的設計。然後使用圖案化遮罩將電路圖案(例如,IC層的設計)轉移到半導體晶圓上。遮罩上的圖案可以通過不同微影製程一遍又一遍地轉移到多個晶圓上。可以使用幾個遮罩(例如,一組15個至30個遮罩)來構造完整的IC。通常,製造不同的遮罩以用於不同的微影製程。遮罩的類型包括二元強度遮罩(binary intensity mask;BIM) 與相位移遮罩(PSM)。
第1圖與第2圖繪示根據本揭露的一些實施方式構造的不同製造階段的光罩(遮罩)40的剖面圖。遮罩40及其製造方法可共同地描述並參照第3圖、第4圖與其他的圖。在以下的實施方式中,遮罩40是相位移遮罩(PSM)。
參照第1圖,在此階段的遮罩40是空白EUV遮罩,其包括由低熱膨脹材料(low thermal expansion material;LTEM)製成的基板110。LTEM材料可包括摻雜二氧化鈦(TiO2)的二氧化矽(SiO2),或本領域已知的其他低熱膨脹材料。LTEM基板110用於最小化由於遮罩加熱引起的圖像失真。在本實施方式中,LTEM基板110包括具有低缺陷水平與光滑表面的材料。另外,導電層105可以設置在LTEM基板110的後表面上,用於靜電吸附目的。在一個實施方式中,導電層105可包括氮化鉻(CrN),但是其他的組合物也是可能的。
在前表面上的LTEM基板110上沉積反射多層(reflective multilayer;ML)120。反射多層120也被稱為第一反射層,以避免在稍後介紹的另一反射層時造成混淆。根據菲涅耳方程(Fresnel equations),當光在兩種不同折射率的材料之間的界面上傳播時,將發生光反射。當折射率差異較大時,反射光較大。為了增加反射光,還可以通過沉積多層120交替材料來增加界面的數量,並且通過為多層內的每個層選擇適當的厚度,讓從不同界面反射的光建設性干涉。然而,所採用的材料對多層120的吸收限制了可以實現的最高反射率。多層120包括多個膜對(film pairs),例如鉬-矽(Mo/Si)膜對,舉 例來說,鉬層151位於每個膜對中的矽層的上方或下方。或者,多層120可以包括鉬-鈹(Mo/Be)膜對,或是可以將任何在EUV波長具有高反射性的材料用於反射多層120。多層120的每層的厚度取決於EUV波長與入射角度。調節多層120的厚度以實現在每個界面反射的EUV光的最大建設性干涉與多層120對EUV光的最小吸收。在一些實施方式中,多層120被選擇,以提供高反射率至一選擇的輻射波長。在一些實施方式中,模對的數量範圍從20至80,然而任何的模對的數量都是可能的。在一實施方式中,多層120包括40對鉬-矽層。每個鉬-矽膜對的厚度約為7奈米(nm),總厚度為280奈米。在這種情況下,約70%的EUV反射率可以被實現。
在一些實施方式中,對於一個或多個功能,在多層120的上方形成緩衝層130。在一些實施方式中,緩衝層130在圖案化製程或其他的操作(例如修復或清洗)中用作蝕刻停止層。在另一實施方式中,緩衝層130用於防止多層120的氧化。緩衝層130可以包括一個或多個膜,以實現預期的功能。在本實施方式中,緩衝層130具有與第二反射層150不同的蝕刻特性,這將在後面的段落詳細描述。在一實施方式中,緩衝層130包括釕(Ru)。在進一步的示例中,緩衝層130包括厚度範圍在約2奈米至約5奈米之間的釕膜。在其他的實施方式中,緩衝層130包括釕化合物,例如硼化釕(RuB)與矽化釕(RuSi)、鉻(Cr)、氧化鉻,或氮化鉻。在一些實施方式中,使用低溫沉積製程,形成緩衝層130,以防止多層120的相互擴散。
在一實施方式中,遮罩40包括形成在多層120(即第一反射層)上方的第二反射層150。在存在緩衝層130的實施方式中,第二反射層150形成在緩衝層130的上方,如第1圖所示。根據IC佈局(IC layout),第二反射層150被圖案化。第二反射層150被設計成在反射係數中產生180°相位移(相對於在圖案化之後移除第二反射層150的區域),進而可以實現用於EUVL的相位移遮罩。這裡,第一區域相對於第二區域的相對反射係數被定義為幅度(複合的數字,包括相位信息)的比率,前述的比率是從公共遮罩(common mask)表面的從具有相同的入射光的第一區域與第二區域所反射的光之相同垂直高度的位置所評估。
當反射係數為-1(「1」表示沒有衰減而「-1」表示180°相位移)時,遮罩40是交替相位移遮罩(alternating phase-shifting mask;Alt-PSM),在這種情況下存在如果IC佈局的線-空間面積比(line-to-space area ratio)為1:1,則沒有第0階(0-th order)繞射,並且可以實現最高的空間圖像對比度。然而,如果第二反射層150的所需厚度太大,則會出現遮罩遮蔽效應(mask shadowing effect),這反過來降低了空間圖像對比度。因此,第二反射層150的設計需要在反射係數與厚度之間進行折衷。在一些實施方式中實現了最高的空間圖像對比度,因為通過光瞳濾波器(pupil filter)移除了第0階繞射。然而,如果反射係數接近-1,則第0階繞射的幅度接近0,並且由於移除第0階繞射而導致的曝光劑量的損失被最小化,且曝光工具的吞吐量被最大化。再者,如果實現180°相位移的 第二反射層150的所需厚度較小,則由遮罩形貌引起的光散射減少,並且由於第一(+/-1-st)階繞射的強度的增加,曝光工具的吞吐量也進一步增加。在EUV波長範圍內,每種材料都具有高吸收性。使用單一個的材料作為第二反射層150難以實現接近-1的反射係數。在EUVL中,使用多層交替材料來實現高反射率。反射率定義為反射係數的絕對值平方。
對於使用13.5奈米的成像波長的EUVL,如前所述使用矽(Si)與鉬(Mo),因為它們在13.5奈米附近的光譜範圍內提供最大的集成反射率。多層設計由矽/鉬(Si/Mo)對組成,每對中約4奈米的矽位在約3奈米的鉬的上方。當前述的多層設計用於第二反射層150以實現相位移遮罩時,每個矽/鉬對提供約12°的相位移。因此,為了實現180°相位移,需要15個矽/鉬對,總厚度為約105奈米,在這種情況下,遮罩遮蔽效應應該是顯著的。由於EUV波長的矽的折射率與消光係數(extinction coefficient)分別接近1及0,因此矽對於相位移(參考在空氣中傳播的光)的貢獻很小,幾乎全體相位移是由於鉬的存在。矽所起的作用是產生更多的矽/鉬界面,其中發生光的反射。因此,無論採用何種設計用於第二反射層150,鉬的總厚度應接近44奈米。在多層設計的實施方式中,從相鄰的矽/鉬對反射的光的相位差是360°(最小值,因為僅360°的整數倍提供高反射率)。在這種情況下,每個3奈米的鉬層伴隨有4奈米的矽層,導致大的膜堆疊高度。然而,如果從相鄰的矽/鉬對反射的光的相位差是720°,則不必插入如此多的矽層,並且可以減小總厚度,前述的總厚度是所需產生第二反射 層150的180°相位移。
在第一實施方式中,第二反射層150包括厚度為約44奈米的單一個鉬(Mo)層151,如第2圖所示。如前所述,如果使用鉬,這應該是第二反射層150的最薄設計。在此實施方式中,反射係數約為-0.7757,且反射率約為0.6017。
在第二實施方式中,第二反射層150包括多個膜,如第3圖(剖面圖)所示。特別地,第二反射層150包括五個鉬膜152、154、156、158與160以及五個矽膜162、164、166、168與170,其配置成使得二個相鄰的鉬膜以三明治的形式夾著(sandwich)矽膜,以及二個相鄰的矽薄膜以三明治的形式夾著鉬膜。在本實施方式中,鉬膜152的厚度約為1奈米;鉬膜154、156、156與158具有約10.1奈米的相同厚度;矽膜162的厚度約為4奈米;矽膜164、166與168具有約4.3奈米的相同厚度;頂部矽膜170的厚度約為2.6奈米。第二反射層150還可以包括沉積在頂部鉬膜160上的另一緩衝層172。在此實施方式中,反射係數約為-0.8665,且反射率約為0.7508。在此實施方式中,第二反射層150的總厚度約為63.4奈米,遠小於105奈米。與第一實施方式(單一個鉬層)相比,第二實施方式中的第二反射層150比第一實施方式中的第二反射層150的總厚度大約19.4nm,並且反射率高約55.7%。
在第一或第二實施方式中,每個厚度在其相應標稱值的20%之內。換句話說,每個厚度在其相應標稱值的80%至120%的範圍內。緩衝層172相似於第1圖的緩衝層130。例如,緩衝層172包括釕(Ru)膜。在一些實施方式中,緩衝層172 包括厚度範圍從約2奈米到約5奈米的釕膜。在其他實施方式中,緩衝層172包括釕化合物,例如釕硼(RuB)與釕矽(RuSi)、鉻(Cr)、氧化鉻,或氮化鉻。
回到第1圖,導電層105、多層120、緩衝層130與第二反射層150中的一個或多個(例如第2圖中的鉬層151或第3圖中的鉬膜152至160以及矽膜162至170)可以通過不同方法來形成,包括物理氣相沉積(PVD)製程,例如蒸發與直流磁控濺射(DC magnetron sputtering);鍍(plating)製程,例如無極(electrode-less)電鍍或電鍍;化學氣相沉積(CVD)製程,例如常壓CVD(atmospheric pressure CVD;APCVD)、低壓CVD(low pressure CVD;LPCVD)、電漿增強CVD(plasma enhanced CVD;PECVD),或高密度電漿CVD(high density plasma CVD;HDP CVD);原子層沉積(ALD);離子束沉積;旋塗(spin-oncoating);金屬有機物分解(MOD);及/或本領域已知的其他方法。MOD是在非真空環境中使用基於液體的方法的沉積技術。通過使用MOD,將溶解在溶劑中的金屬有機前驅物旋塗到基板上並蒸發溶劑。真空紫外線(VUV)源用以將金屬有機前驅物轉化為其構成的金屬元素。
參閱第4圖,在一實施方式中,圖案化第二反射層150以形成具有兩種狀態的遮罩。圖案化第二反射層150以通過遮罩圖案化製程形成狀態210與狀態220。遮罩圖案化製程可包括光阻塗覆(例如,旋塗)、軟烘烤、遮罩對準、曝光、後曝光烘烤、顯影、清洗、乾燥(例如,硬烘烤),其他適當 的製程及/或其組合,以形成圖案化的光阻層(resist layer)。電子、離子或光子束直接寫入可用於遮罩圖案化製程中的曝光步驟。
在前一部分的圖案化製程中,隨後使用蝕刻製程以圖案化的光阻層作為蝕刻遮罩來移除第二反射層150的部分。蝕刻製程可以包括乾式(電漿)蝕刻、濕式蝕刻及/或其他的蝕刻方法。對於狀態210,移除第二反射層150。對於狀態220,保留第二反射層150。
再參閱第4圖,EUV遮罩40現包括二個狀態210與220。狀態210與狀態220的反射係數分別是r1與r2。這二個狀態210與220配置以使得r2的絕對值實質上等於或接近於r1的絕對值。在此實施方式中,來自具有狀態210的區域的反射EUV光和來自具有狀態220的區域的反射EUV光具有180°相位差。
第5圖繪示根據本揭露之一實施方式的EUV遮罩40的示意上視圖。移除第0階繞射(在頻率空間中)導致空間頻率增倍(在真實空間中)。因此,遮罩40上的空間頻率減半對於在靶材(target)上獲得期望的IC圖案是必要的。這可以通過具有三種狀態的遮罩,即三種不同的反射係數,以及通過為相鄰的主多邊形(對於IC圖案)與背景(亦即,沒有主多邊形的區域)分配不同的狀態來實現。已經存在的二個狀態,亦即狀態210與狀態220。在本實施方式中,通過在背景實現子解析度(sub-resolution)輔助多邊形,並且指定相同的狀態(例如,狀態220)來創建用於背景的第三狀態。請注意背景 (background)定義為沒有主多邊形的區域,以及場(field)定義為沒有主多邊形的區域與沒有輔助多邊形的區域。場與子解析度輔助多邊形共同定義背景。場與另一個狀態(例如,狀態210)相關聯,前述的狀態與分配給輔助多邊形的狀態不同。在微影曝光製程期間,子解析度輔助多邊形不可打印。子解析度輔助多邊形的至少一個邊緣比λ/NA短,其中λ是輻射源的波長,NA是投影光學盒(projection optics box;POB)的數值孔徑。由於子解析度輔助多邊形不可打印,因此背景共同具有與第一狀態210與第二狀態220不同的有效反射係數。因此,背景的有效反射係數是背景中不同區域(子解析度輔助多邊形與場)的反射係數的面積加權平均值。
因此,如第5圖所示,遮罩40具有3種不同的狀態。相鄰的主多邊形310與主多邊形320被分配給不同的狀態(例如分別為狀態210與狀態220)。在背景中,場330與子解析度輔助多邊形340被分配給不同的狀態(例如分別為狀態210與狀態220),進而產生具有與第一遮罩狀態與第二遮罩狀態(210與220)不同的第三遮罩狀態的背景。由於子解析度輔助多邊形340不可打印,因此背景具有與第一狀態與第二狀態的有效反射係數不同的有效反射係數,但是可以通過背景中的子解析度輔助多邊形340的圖案密度來進行調整。
在微影曝光製程的期間,遮罩40通過近軸上照射(nearly on-axis;ONI)曝光,部分相關(partial coherence)σ小於0.3,產生繞射光(diffracted lights)與非繞射光(non-diffracted lights),移除大部分的非繞射光,收集與引 導繞射光而不是通過投影光學盒(POB)移除非繞射光,以曝光靶材。
在本實施方式中,遮罩40是相位移遮罩,例如用於EUV微影製程的交替相位移遮罩(AltPSM)。遮罩40包括多個主多邊形(用於IC圖案)與輔助多邊形。相鄰的主多邊形被指定為不同的狀態。舉例來說,不同的主多邊形310與主多邊形320分別被分配至狀態210與狀態220。在EUV微影製程期間,子解析度輔助多邊形340不會成像到靶材(例如,晶圓)。然而,它們改變了背景的(有效)反射係數。在本實施方式中,背景中的圖案密度實質上是均勻的。背景中的圖案密度被定義為單位區域中輔助多邊形的總面積。可以通過改變背景中的圖案密度來調整背景的(有效)反射係數。通過圖案化第二反射層150來形成主多邊形與輔助多邊形。
再者,在一些實施方式中,來自狀態210的反射EUV光與來自狀態220的反射EUV光具有180°相位差。在這種情況下,通過調整圖案密度,第0繞射階的幅度(與遮罩40上的不同區域的面積加權反射係數成比例,包括主多邊形、輔助多邊形與場)可以為零。舉例來說,當r2=r1且圖案密度為50%時,第0繞射階的幅度為0。因此,通過移除第0繞射階,曝光劑量損失被最小化,並且曝光工具的吞吐量被最大化。
第二反射層150確定狀態220相對於狀態210的反射係數。在與第2圖相關聯的實施方式中,(相對)反射係數約為-0.7757。在與第3圖相關聯的實施方式中,(相對)反射係數約為-0.8665。這裡的「-」符號代表180°相位差。
本揭露的不同實施方式提供了許多優點。當遮罩40是交替相位移遮罩時,成像品質、對比度與解析度可以被改善。與其他的交替相位移遮罩相比,遮罩40不會出現圖案不平衡問題。在一個實施方式中,由於幅度實質上接近於1(在與第2圖相關聯的實施方式中為0.776,在與第3圖相關聯的實施方式中為0.867),因此總輻射能量損失實質上是低的,約為40%或更低。因此,微影曝光製程的曝光持續時間可被減少,並且產量可以被提高。在不同實施方式中,遮罩40的圖案化層(第二反射層150)的厚度減少到90奈米以下,並且減少或消除曝光製程期間的陰影效應。由於不使用吸收層或使用較少衰減的材料來形成圖案化層(第二反射層150),因此輻射能量損失被減少。在其他的實施方式中,第5圖的遮罩40的設計使得子解析度輔助多邊形340位於第一狀態210,並且場330位於第二狀態220。
第6圖是根據本揭露之一些實施方式的形成圖案化光阻層的方法400的流程圖。方法400開始於步驟S410,其中在基板上形成光阻層(resist layer)。在步驟S420,確定最佳曝光劑量(optimum exposure dose;EOP)。經歷給定微影製程的給定光阻層具有確定的閾值曝光劑量(threshold exposure dose;ETH),與未曝光部分相比(當與所用輻射源的波長相比,遮罩上的圖案較大時),閾值曝光劑量為最小曝光劑量,以可靠地改變光阻層的曝光部分的行為。舉例來說,在光阻層包括正性(positive-tone)光阻材料的情況下,光阻層的曝光部分變得可溶,超過閾值曝光劑量,使得在顯影製程與 包括圖案的顯影光阻層的期間,移除光阻層的曝光部分。在另一實施方式中,在光阻層包括負性(negative tone)光阻材料的情況下,光阻的曝光部分變得不可溶,超過閾值曝光劑量,使得在顯影製程與包括圖案的顯影光阻層的期間,光阻層的未曝光部分被移除。然而,各種現實世界的影響可能導致閾值劑量不足。舉例來說,當遮罩上的圖案尺寸接近或小於所採用的輻射源的波長時,降低航拍(aerial)圖像對比度的繞射效果變得顯著。在另一實施方式中,曝光後烘烤製程表現出諸如化學放大光阻中的酸擴散(acid diffusion)、消化體(quencher)的酸湮滅(acid annihilation)等效果。為了校正上述的情況,在一些實施方式中,基於曝光劑量來確定最佳曝光劑量。根據預先指定的測試圖案,在相應的單次曝光製程下,在晶圓上實現預定的靶材尺寸。在步驟S430,通過執行多次曝光製程,在光阻層中形成圖案。在一些實施方式中,使用極紫外線(EUV)輻射執行多次曝光製程,並且每個曝光製程的輻射具有大致相同的波長。在步驟S430的多次曝光製程中,每個曝光製程利用少於最佳曝光劑量的曝光劑量,並且多次曝光製程的總曝光劑量(total exposure dose;ET)大致等於最佳曝光劑量。換句話說,E1<EOP,E2<EOP,...,EN<EOP,以及ET=E1+E2+E3+...+EN
Figure 108129338-A0202-12-0018-60
EOP,其中N是執行的曝光製程的總數。在一些實施方式中,多次曝光製程的總曝光劑量(ET)在最佳曝光劑量(EOP)的約90%至約110%的範圍內。
在每個曝光製程期間,光阻層曝光於相同的圖案,例如相同的積體電路圖案。在一實施方式中,每個曝光製 程使用單一個相位移遮罩的不同部分來曝光光阻層,其中遮罩的每個部分具有相同的圖案。在另一實施方式中,每個曝光製程使用不同的相位移遮罩來曝光光阻層,其中每個遮罩具有相同的圖案。單一個相位移遮罩與不同的相位移遮罩可以包括缺陷(諸如凸塊缺陷、凹坑缺陷,或其他類型的缺陷,或其組合)以及相位缺陷(phase-defect)區域。在光阻層中形成圖案還包括顯影製程,其中將顯影溶液施加到曝光的光阻層上。在一些實施方式中,在光阻層曝光之前及/或之後,光阻層也曝光於烘烤製程。可以在方法400之前、期間與之後提供附加的步驟,並且可以替換、消除或移動所描述的一些步驟以用於方法400的另外的實施方式。下面的討論示出光阻層的不同實施方式,並根據第6圖的方法400對光阻層進行圖案化。
第7圖、第8A圖、第8B圖、第8C圖、第8D圖與第8F圖是第6圖的方法400的不同階段期間的光阻層520的不同示意性剖面圖。為了便於清楚描述,簡化第7圖至第8F圖,以更好地理解本揭露的一些實施方式的發明概念。在第7圖中,提供諸如晶圓的半導體基板510。在一些實施方式中,基板510是基底材料,在其上進行處理,以形成積體電路(IC)元件的各種特徵。在所描述的實施方式中,晶圓510包括矽(亦即,矽晶圓)。可選地或另外地,晶圓510可包括其他的半導體元素,例如:鍺(germanium),或包括半導體化合物,例如:碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium phosphide)、及/或銻化銦(indium antimonide),或 其他半導體合金,例如:矽鍺(SiGe)、磷化砷鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、及/或磷砷化銦鎵(GaInAsP),或其組合。在一些其他的實施方式中,半導體基板510包括絕緣層覆矽(semiconductor-on-insulator;SOI)基板。在一些其他的實施方式中,半導體基板510可以被稱為材料層,或者半導體基板510可以包括將在其上形成光阻層520的材料層。在一實施方式中,材料層是金屬層、半導體層或介電層。在另一實施方式中,材料層是硬遮罩層,例如氧化矽層或氮化矽層。
光阻層520沉積於晶圓510上。舉例來說,通過旋塗技術在基板510上沉積光阻層520。光阻層(resist layer或photoresist layer)520也稱為光敏層(photosensitive layer)、成像層(imaging layer)、圖案化層(patterning layer),或輻射敏感層(radiation sensitive layer)。在沉積光阻層520之前,可以在晶圓510上執行諸如去離子(deionized;DI)水清洗的清洗製程。可以對光阻層520進行烘烤處理,例如軟烘烤處理(也稱為後施加烘烤製程)。在所描述的實施方式中,光阻層520包括正性光阻材料。或者,光阻層520包括負性光阻材料。光阻層520具有任何適當的厚度,例如約10奈米至約1,000奈米的厚度。
在第8A圖至第8F圖中,光阻層520被圖案化,以形成圖案化的光阻層520A。圖案化光阻層520包括執行一個以上的曝光製程,如第8A圖至第8F圖所述,其中每個曝光製程使用少於最佳曝光劑量(EOP)的曝光劑量用於相應的單次曝光 製程。在所描述的實施方式中,第一曝光製程530(第8A圖)、第二曝光製程540(第8B圖)、第三曝光製程550(第8C圖)與第四曝光製程560(第8D圖)各自使用不同的相位移遮罩或具有相同電路圖案的單一個相位移遮罩的不同區域,分別用輻射的第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)選擇性地照射光阻層520,進而在光阻層520中形成潛像圖案532D。第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)均少於最佳照射劑量(EOP)。再者,在本實施方式中,第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)的總曝光劑量(ET)大致等於最佳曝光劑量(EOP)(也就是說,ET=E1+E2+E3+E4=EOP),使得潛像圖案532在第四曝光製程560之後可顯影。在一些實施方式中,多次曝光製程的總曝光劑量(ET)是最佳曝光劑量(EOP)的約90%至約110%的範圍內。
在所述的實施方式中,第一曝光製程530、第二曝光製程540、第三曝光製程550與第四曝光製程560用波長小於約100nm的輻射照射光阻層520,例如極紫外線(EUV)範圍、X射線範圍、深紫外線範圍、真空紫外線範圍,或其組合之輻射。在一實施方式中,輻射是波長等於約13.5奈米的EUV輻射。或者,在一些實施方式中,輻射的波長範圍大於約100奈米。舉例來說,輻射源可以是光源,如波長為248奈米的氟化氪(KrF)準分子雷射(excimer laser)、波長為193奈米的氟化氬(ArF)準分子雷射、波長為157奈米的氟二聚體(F2)準分子雷射,或其他的光源。在一些實施方式中,僅二個曝光製程或 多於四個曝光製程可在光阻層520中形成可顯影的潛像圖案532。
在第一曝光製程530、第二曝光製程540、第三曝光製程550與第四曝光製程560中使用的相位移遮罩包括圖案,諸如根據設計佈局的積體電路圖案,在每個曝光製程(第一曝光製程530、第二曝光製程540、第三曝光製程550與第四曝光製程560)的期間,前述的圖案被轉移到光阻層520。在一實施方式中,相位移遮罩是反射遮罩。在一個示例中,在第一曝光製程530、第二曝光製程540、第三曝光製程550與第四曝光製程560中使用具有相同積體電路圖案的不同相位移遮罩(M1、M2、M3與M4)。在一些實施方式中,遮罩M1、遮罩M2、遮罩M3與遮罩M4是單一個相位移遮罩的不同區域,如第8E圖所示。
參閱第8A圖,第一曝光製程530將第一曝光劑量(E1)的輻射投射到相位移遮罩(PSM)M1上,並且一部分的輻射被反射到光阻層520,進而將相位移遮罩M1的圖案轉移到光阻層520,以形成包括潛像部分532A的潛像圖案532。在一些實施方式中,在光阻層520包括正性光阻材料的情況下,潛像部分532A變得部分可溶。然後,參閱第8B圖,第二曝光製程540將第二曝光劑量(E2)的輻射投射到相位移遮罩M2上,並且一部分輻射被反射到光阻層520,進而將相位移遮罩M2的圖案轉移到光阻層520,以增加潛像圖案532的溶解度,特別是潛像部分532A。因此,潛像圖案532包括潛像部分532B,潛像部分532B比潛像部分532A更為可溶。由於在第二曝光製程 540中提供的額外曝光劑量,潛像部分532A的溶解度增加。參閱第8C圖,第三曝光製程550將第三曝光劑量(E3)的輻射投射到相位移遮罩M3上,並且一部分的輻射被反射到光阻層520,進而將相位移遮罩M3的圖案轉移到光阻層520,以進一步增加潛像圖案532的溶解度,特別是潛像部分532B。因此,潛像圖案532包括潛像部分532C,潛像部分532C比潛像部分532B更為可溶。參閱第8D圖,第四曝光製程560將第四曝光劑量(E4)的輻射投射到相位移遮罩M4上,並且一部分的輻射被反射到光阻層520,進而將相位移遮罩M4的圖案轉移到光阻層520,以進一步增加潛像圖案532的溶解度,特別是潛像部分532C。因此,潛像圖案532包括潛像部分532D,潛像部分532D比潛像部分532C更為可溶。因為第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)的總曝光劑量大致等於最佳曝光劑量(EOP),在最佳曝光劑量的約90%至約110%的範圍內,潛像部分532D是可溶的,使得潛像圖案532是可顯影的。
上述的多次曝光製程與掃描儀在通過步進與掃描曝光整個晶圓時所採取的動作是完全相容的。根據本揭露的一些實施方式,可以最小化由多次曝光製程所導致的對晶圓吞吐量(throughput)的影響。相位移遮罩(PSM)允許使用減少的曝光劑量。因此,即使使用多次曝光,每個曝光劑量也少於不使用相位移遮罩時所需的曝光劑量,進而增加晶圓的吞吐量。因此,本揭露的一些實施方式提供了由於遮罩缺陷而增加的晶圓的吞吐量與降低由於遮罩缺陷而被拒絕的工作件的數量。除了 減少隨機分佈的遮罩缺陷的影響之外,本揭露的一些實施方式還有效地減少其他隨機誤差的影響,例如線邊緣粗糙度(line edge roughness)與遮罩圖案的配準誤差(registration error)。
使用多次曝光製程,以在光阻層520中形成潛像圖案532,其中每個曝光製程使用少於最佳曝光劑量的曝光劑量來將光阻層520曝光到相同的圖案,此降低在多次曝光製程中使用的遮罩的缺陷或缺陷區域之影響。舉例來說,在所描述的實施方式中,在第一曝光製程530、第二曝光製程540、第三曝光製程550與第四曝光製程560期間使用的遮罩或遮罩區域具有缺陷或缺陷區域。在使用不同相位移遮罩的示例中,遮罩M1、遮罩M2、遮罩M3與遮罩M4包括相位缺陷區域。或者,在使用遮罩的不同部分的實施方式中,遮罩的不同部分中的一個或多個包括相位缺陷區域。因為投射到遮罩M1、遮罩M2、遮罩M3與遮罩M4上的曝光劑量或相位移遮罩的不同部分少於最佳曝光劑量,所以這種相位缺陷區域在遮罩M1、遮罩M2、遮罩M3與遮罩M4,或是相位移遮罩的不同部分之影響會大大地降低。由於這些缺陷或缺陷區域隨機地位於不同遮罩或不同遮罩區域上,因此沒有缺陷或缺陷區域將接收完全的曝光劑量。具體來說,由每個個別的缺陷或缺陷區域所影響的航拍圖像的強度被降低,進而降低了這種缺陷或缺陷區域的可印刷性。
不同的實施方式可以具有不同的優點,並且任何的實施方式都不需要特定的優點。在一個實施方式中,每一次曝光的曝光劑量大致等於最佳曝光劑量(EOP)除以N,其中N是 總曝光次數。因此,在本實施方式中,第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)實質上等於最佳曝光劑量(EOP)的四分之一。或者,第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)與第四曝光劑量(E4)大致等於最佳曝光劑量(EOP)的變化分數。可以根據缺陷的可印刷性來調整多次EUV曝光製程中的每一個的曝光劑量。因此,如果遮罩或遮罩區域上的缺陷更可印刷,則遮罩或遮罩區域的曝光劑量隨後減小。在一些實施方式中,其中多次曝光劑量的總曝光劑量(ET)在最佳曝光劑量(EOP)的90%至110%之內。
在光阻層520接收完全曝光之後,可以對光阻層520進行曝光後烘烤(post-exposure bake;PEB)製程。參閱第8F圖,在光阻層520上執行顯影製程570,進而提供圖案化的光阻層520A。在顯影製程570的期間,將顯影溶液施加到光阻層520。在一實施方式中,顯影溶液是鹼性溶液,例如四甲基氫氧化銨(tetramethylammonium;TMAH)。取決於光阻層520的特性,使用任何濃度水平的TMAH顯影劑溶液,例如約2.38%的TMAH顯影劑溶液。顯影溶液根據光阻材料移除光阻層520的曝光或未曝光部分。例如,在本實施方式中,光阻層520包括正性光阻材料,因此顯影製程570移除(溶解)光阻層520的曝光部分(潛像圖案532),留下未曝光的部分在晶圓510上方的光阻層520。或者,在光阻層520包括負性光阻材料的情況下,顯影製程570移除(溶解)光阻層520的未曝光部分,留下光阻層520的曝光部分。在一些實施方式中,執行 清洗製程,例如去離子(DI)水清洗。在一些實施方式中,清洗製程可移除殘留的顆粒。
圖案化的光阻層520A包括曝光下面的晶圓510的部分的開口572。隨後的製程可以包括通過蝕刻移除曝光的晶圓510的部分。或者,可以在晶圓510之上/上執行金屬沉積、離子植入或其他的製程。然後可以通過任何適當的製程移除(或剝離)圖案化的光阻層520A。例如,可以用流體(或剝離溶液)移除圖案化的光阻層520A。在一些情況下,在晶圓510的曝光部分經受離子轟擊或電漿處理製程的情況下(流體剝離離子轟擊的),圖案化的光阻層520A及/或電漿處理製程的圖案化的光阻層520A。在移除圖案化的光阻層520A之後,後續的製程可以繼續完成集成電路元件的製造。在一些實施方式中,可以執行另外的圖案化製程、蝕刻製程、沉積製程與其他的製程,以形成積體電路元件的附加特徵。
第9圖繪示根據本揭露的一實施方式的微影設備900。其上塗覆有光阻層520的半導體基板510在微影設備900中曝光於輻射。光阻塗覆(photoresist-coated)的半導體基板510被晶圓台910支撐。光罩40被遮罩台905支撐。在一些實施方式中,光罩40與光阻層520被距離D1所分開。在一些實施方式中,晶圓台910配置以相對於光罩40橫向移動L或垂直移動V。橫向移動L包括沿X軸或Y軸(進入頁面)的移動。垂直移動V是沿Z軸。在一些實施方式中,晶圓台910相對於光罩40垂直移動V,進而改變光罩40與光阻層520之間的距離。因此,在一些實施方式中,光罩40與光阻層520之間的距離相對於初 始距離D1增大或減小。在一些實施方式中,晶圓台910配置以圍繞X軸或Y軸傾斜。舉例來說,向X軸傾斜角度θ。
在一些實施方式中,輻射是極紫外線輻射,並且光罩40是反射EUV相位移光罩。在一些實施方式中,在EUV輻射源915中產生極紫外線輻射。EUV輻射源915產生EUV輻射534,其朝向光罩40並被反射光罩40所反射。反射的EUV輻射536包括圖案信息根據光罩40中的圖案,帶有圖案信息的反射EUV輻射536以圖案方式曝光光阻層520,進而在光阻層520中形成對應於光罩圖案的潛在圖案。通過使用適當的顯影劑使曝光的光阻層520顯影,在圖案式(patternwise manner)曝光的光阻層520中形成圖案。光阻層520是正性光阻或負性光阻。在一些實施方式中,使用適當的蝕刻操作將光阻層520中的圖案延伸到下層中。蝕刻操作可以是濕式蝕刻操作或乾式蝕刻操作。在下層中形成圖案之後,通過適當的光阻剝離或電漿灰化操作來移除剩餘的光阻。
EUV微影設備900執行EUV輻射源915以產生EUV光,例如EUV光可具有範圍在1奈米至10奈米的波長。在一特定的示例中,EUV輻射源915產生具有以約13.5nm為中心的波長的EUV光。在本實施方式中,EUV輻射源915利用雷射產生的電漿(laser-produced plasma;LPP)的機制來產生EUV輻射。
EUV輻射源915包括液滴產生器與收集器。在一些實施方式中,靶材液滴是錫(Sn)液滴、鋰(Li)液滴,或錫與鋰的合金。在一些實施方式中,每個靶材液滴具有範圍約10 微米(μm)至100微米的直徑。舉例來說,在一些實施方式中,靶材液滴是錫液滴,並具有範圍約10微米至100微米的直徑。在一些實施方式中,靶材液滴是錫液滴,並具有範圍約25微米至50微米的直徑。在一些其他的實施方式中,靶材液滴經由靶材液滴產生器的噴嘴供應。隨後通過激光加熱目標液滴,其與通過噴嘴噴射目標液滴同步地脈衝。在一些實施方式中,當靶材液滴移動通過激發區時,激光預脈衝加熱靶材液滴並將靶材液滴轉換成低密度的靶材流(target plumes),隨後由雷射的主脈衝加熱,產生高溫電晶。電漿發射EUV輻射,其由收集器收集並聚焦用於微影曝光製程。
在一些實施方式中,雷射包括波長在電磁波譜的紅外線區域的二氧化碳(CO2)或釹摻雜的釔鋁石榴石(neodymium-doped yttrium aluminum garnet;Nd:YAG)雷射源。在一實施方式中,雷射具有9.4微米的波長,或具有10.6微米的波長。
在一些實施方式中,當有需要時,在EUV輻射源915與光罩40之間具有另外的光學元件,或在光罩40與光阻層520之間具有另外的光學元件,以進一步減少在光阻層520或聚焦EUV輻射上的圖案的尺寸。在此所使用的術語「光學元件(optic)」旨在廣義地解釋為包括但不必限制於反射及/或透射及/或操作入射光的一個或多個組件,並且包括但不限制於一個或多個透鏡、窗、濾光片、楔形物棱鏡、棱鏡、光柵、傳輸光纖、標準具、散光器、均化器、探測器及其他的儀器組件、孔徑、軸與鏡,包括多層鏡、接近垂直(near-normal)入射鏡、 光柵入射鏡、鏡面反射鏡、散光反射鏡及其組合。再者,除非另有說明,否則本文所用的術語「光學元件」均不限於在一個或多個特定波長範圍內的單獨或有利地操作的組件,前述的波長範圍可例如是在EUV輸出光波長下、照射雷射波長,以及適合於計量或任何其他特定波長的波長。
在一些實施方式中,晶圓台910的移動與曝光輻射的產生由控制器920控制。第10A圖與第10B圖繪示根據本揭露的一些實施方式的控制器920。在一些實施方式中,控制器920是計算機系統。第10A圖是控制輻射產生與晶圓台910移動的計算機系統的示意圖。可以使用在其上執行的計算機硬體與計算機程序來實現前述的實施方式的全部或部分過程、方法及/或操作。操作包括晶圓台910的移動、曝光照射的順序、曝光劑量、EUV輻射的產生,包括錫液滴產生的頻率與雷射脈衝的定時。在一些實施方式中,計算機系統配備有計算機925,計算機925包括光盤驅動器945、磁盤驅動器950、鍵盤930、滑鼠935與顯示器940,前述的光盤驅動器945可以是光碟唯讀記憶體(optical disk read only memory),例如CD-ROM或DVD-ROM的驅動器。
第10B圖繪示控制器920的一些實施方式的內部配置圖。如第10B圖所示,計算機925具有除了光盤驅動器945與磁盤驅動器950之外,還具有一個或多個處理器960,例如微處理單元(microprocessing unit;MPU),以及唯讀記憶體(ROM)965、隨機存取記憶體(RAM)970、硬碟975、匯流排(bus)990。唯讀記憶體965為一個程式,可諸如被儲存的啟動 (boot up)程式。隨機存取記憶體970連接到處理器960(例如MPU),其中儲存應用程式的命令被暫時儲存以及臨時儲存區域被提供。硬碟975中的應用程式、系統程式與資料被儲存。匯流排990連接處理器960(例如MPU)、唯讀記憶體965等等。值得注意的是,計算機925可以包括用於提供到LAN的連接的網卡(未繪示)。
用於使控制器920執行前述的實施方式的曝光拍攝、曝光劑量與晶圓台910移動的順序的功能的程式可以儲存在插入的光盤980或磁盤985中,其可插入於光盤驅動器945或磁盤驅動器950,並發送至硬碟975。在一些替代的實施方式中,程式可以經由網絡(未繪示)發送到計算機925並儲存在硬碟975中。執行時,程式被加載到隨機存取記憶體970中。程式可以從光盤980或磁盤985加載,或者直接從網絡加載。前述的程式不一定必須包括例如操作系統(operating system;OS)或第三方程序,以使計算機925執行前述的實施方式中的光罩數據生成與合併裝置的功能。程式可以僅包括命令部分,以在受控模式下調用適當的功能(模組)並獲得期望的結果。
第11圖是根據本揭露的一實施方式的極紫外線微影方法1100的流程圖。在步驟S1110中,提供具有至少二個具有相同電路圖案的遮罩區域的相位移遮罩。在步驟S1120中,在基板上形成光阻層520。在步驟S1130中,確定光阻層520的最佳曝光劑量Eop。在步驟S1140中,使用相位移遮罩對光阻層照射極紫外線輻射,以通過二個遮罩區域多次曝光光阻 層的相同區域。舉例來說,當通過使用四個不同的遮罩或遮罩區域將相同的圖案曝光四次時,每一次的劑量等於Eop/4。用極紫外線輻射照射光阻層包括多個曝光製程,並且多個曝光製程中的每一個使用的極紫外線輻射的曝光劑量少於最佳曝光劑量。
在一些實施方式中,相位移遮罩的二個遮罩區域中的至少一個包括缺陷(defect)。在一些實施方式中,最佳曝光劑量基於在至少二個遮罩區域中的任一個上的圖案的曝光,以在相應的單一個曝光製程下實現靶材尺寸。在一些實施方式中,總曝光劑量是光阻層520的最佳曝光劑量。在一些實施方式中,預先確定最佳曝光劑量並將其存儲在記憶體中。可以針對各種晶圓尺寸、光阻組合物與光阻層的厚度來確定與存儲最佳曝光劑量。在一些實施方式中,在曝光操作之前,將存儲的最佳曝光劑量輸入到控制器920。
在一些實施方式中,曝光是掃描曝光(scanning exposure),並且半導體基板510在掃描曝光操作期間移動,使得掃描輻射在光阻層520中曝光出期望的圖案。在曝光操作之間半導體基板510相對於光罩40的移動包括移動支撐半導體晶圓的晶圓台910更接近或更遠離光罩40。例如,在垂直方向上,或者在橫向方向上移動(步進)晶圓台910。例如,從半導體基板510上的一個晶粒到另一個晶粒。在步進操作的期間,晶圓台910是步進式的(stepped),使得遮罩的相同部分在隨後的曝光操作中定位在不同的晶粒上,而不是在先前的曝光操作中。在一些實施方式中,在曝光操作的期間,光阻層520 的第一部分與第二部分被同時曝光。
隨後,在一些實施方式中,半導體基板510相對於光罩40移動,並且使用第三遮罩區域將光阻層520的第一部分曝光於極紫外線輻射的第三曝光劑量、使用第二遮罩區域將光阻層520的第二部分曝光於極紫外線輻射的第三曝光劑量,以及使用第一遮罩區域將光阻層520的第三部分曝光於極紫外線輻射的第三曝光劑量。在一些實施方式中,光阻層520的第一部分、第二部分與第三部分在曝光操作的期間實質上同時被曝光。
在一些實施方式中,半導體基板510隨後相對於光罩40移動,並且使用第四遮罩區域將光阻層520的第一部分曝光於極紫外線輻射第四曝光劑量、使用第三遮罩區域將光阻層520的第二部分曝光於極紫外線輻射的第四曝光劑量、使用第二遮罩區域將光阻層520的第三部分曝光於極紫外線輻射的第四曝光劑量,以及使用第一遮罩區域將光阻層520的第四部分曝光於極紫外線輻射的第四曝光劑量。在一些實施方式中,在曝光操作的期間,光阻層520的第一部分、第二部分、第三部分與第四部分在曝光操作的期間實質上同時被曝光。
在一些實施方式中,第一遮罩區域、第二遮罩區域、第三遮罩區域與第四遮罩區域的每一個具有相同的電路圖案或晶片圖案。因此,相同的圖案圖像疊加在光阻層520的第一部分中。
在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量是不同的。在一些實施方式 中,第一曝光劑量與第三曝光劑量相同,並且第二曝光劑量與第四曝光劑量相同,並且在一些實施方式中,第一曝光劑量與第三曝光劑量不同於第二曝光劑量與第四曝光劑量。在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量中的每一個少於靶材曝光劑量(target exposure dose)。在一些實施方式中,由光阻層的每個部分接收的所有曝光劑量的總和實質上等於最佳曝光劑量。在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量中的每一個是最佳總曝光劑量的約四分之一。
在一些實施方式中,方法1100包括執行另外的曝光步驟(發射),使得光阻層520的每個部分被曝光相同的次數。
第12圖是根據本揭露的一實施方式的極紫外線微影方法1200的流程圖。在步驟S1210中,提供具有相同電路圖案的二個相位移遮罩。在步驟S1220中,在基板上形成光阻層520。在步驟S1230中,確定光阻層520的最佳曝光劑量。在步驟S1240中,使用二個相位移遮罩並用極紫外線輻射照射光阻層,以通過二個相位移遮罩多次曝光光阻層的相同區域。用極紫外線輻射照射光阻層520包括多個曝光製程,並且多個曝光製程中的每一個使用的極紫外線輻射的曝光劑量少於最佳曝光劑量。
在一些實施方式中,二個相位移遮罩的至少一個包括缺陷(defect)。在一些實施方式中,最佳曝光劑量基於在前述的相位移遮罩的任一個上的圖案的曝光,以在相應的單一 個曝光製程下實現靶材尺寸。在一些實施方式中,總曝光劑量是光阻層520的最佳曝光劑量。在一些實施方式中,預先確定最佳曝光劑量並將其存儲在記憶體中。可以針對各種晶圓尺寸、光阻組合物與光阻層520的厚度來確定與存儲最佳曝光劑量。在一些實施方式中,在曝光操作之前,將存儲的最佳曝光劑量輸入到控制器920。
在一些實施方式中,曝光是掃描曝光,並且半導體基板510在掃描曝光操作期間移動,使得掃描輻射在光阻層520中曝光出期望的圖案。在曝光操作之間半導體基板510相對於光罩40的移動包括移動支撐半導體晶圓的晶圓台910更接近或更遠離光罩40。例如,在垂直方向上,或者在橫向方向上移動(步進)晶圓台910。例如,從半導體基板510上的一個晶粒到另一個晶粒。在步進操作的期間,晶圓台910是步進式的,使得遮罩的相同部分在隨後的曝光操作中定位在不同的晶粒上,而不是在先前的曝光操作中。在一些實施方式中,在曝光操作的期間,光阻層520的第一部分與第二部分被同時曝光。
隨後,在一些實施方式中,半導體基板510相對於光罩40移動,並且使用第三相位移遮罩將光阻層520的第一部分曝光於極紫外線輻射的第三曝光劑量、使用第二相位移遮罩將光阻層520的第二部分曝光於極紫外線輻射的第三曝光劑量,以及使用第一相位移遮罩將光阻層520的第三部分曝光於極紫外線輻射的第三曝光劑量。在一些實施方式中,光阻層520的第一部分、第二部分與第三部分在曝光操作的期間實質上同 時被曝光。
在一些實施方式中,半導體基板510隨後相對於光罩40移動,並且使用第四相位移遮罩將光阻層520的第一部分曝光於極紫外線輻射第四曝光劑量、使用第三相位移遮罩將光阻層520的第二部分曝光於極紫外線輻射的第四曝光劑量、使用第二相位移遮罩將光阻層520的第三部分曝光於極紫外線輻射的第四曝光劑量,以及使用第一相位移遮罩將光阻層520的第四部分曝光於極紫外線輻射的第四曝光劑量。在一些實施方式中,在曝光操作的期間,光阻層520的第一部分、第二部分、第三部分與第四部分在曝光操作的期間實質上同時被曝光。
在一些實施方式中,第一相位移遮罩、第二相位移遮罩、第三相位移遮罩與第四相位移遮罩的每一個具有相同的電路圖案或晶片圖案。因此,相同的圖案圖像疊加在光阻層520的第一部分中。
在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量是不同的。在一些實施方式中,第一曝光劑量與第三曝光劑量相同,並且第二曝光劑量與第四曝光劑量相同,並且在一些實施方式中,第一曝光劑量與第三曝光劑量不同於第二曝光劑量與第四曝光劑量。在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量中的每一個少於靶材曝光劑量。在一些實施方式中,由光阻層的每個部分接收的所有曝光劑量的總和實質上等於最佳曝光劑量。在一些實施方式中,第一曝光劑量、第二曝 光劑量、第三曝光劑量與第四曝光劑量中的每一個是最佳總曝光劑量的約四分之一。
在一些實施方式中,方法1200包括執行另外的曝光步驟(發射),使得光阻層520的每個部分被曝光相同的次數。
第13圖是根據本揭露的一實施方式的極紫外線微影方法1300的流程圖。在步驟S1310中,提供具有相同圖案的至少二個相位移遮罩區域。在一些實施方式中,至少一個遮罩區域包括一個缺陷。在步驟S1320中,在基板上形成光阻層520。在步驟S1330中,確定光阻層520的最佳曝光劑量。最佳曝光劑量基於在前述的至少二個相位移遮罩區域的任一個上的圖案的曝光,以在相應的單一個曝光製程下實現靶材尺寸。在步驟S1340中,使用二個相位移遮罩區域在光阻層520中形成潛像(latent image)。形成潛像包括在光阻層520的相同區域上進行至少兩次曝光。前述的至少兩次曝光中的每一次使用的曝光劑量少於最佳曝光劑量。前述的至少兩次曝光中的每一次曝光劑量的總和大致等於最佳曝光劑量。
在一些實施方式中,執行至少兩次曝光包括至少二個曝光製程中的每一個在光阻層520的相同區域上投射至少二個相位移遮罩區域的相同圖案。在一些實施方式中,至少二個相位移遮罩區域來自單一個相位移遮罩。在一些實施方式中,至少二個相位移遮罩區域來自至少二個相位移遮罩。在一些實施方式中,前述的至少兩次曝光中的每一次曝光的曝光劑量實質上彼此相同。在一些實施方式中,前述的至少兩次曝光 中的每一次曝光的曝光劑量彼此不同。在一些實施方式中,方法1300包括執行另外的曝光步驟(發射),使得光阻層520的每個部分被曝光相同的次數。
第14圖是根據本揭露的一實施方式的極紫外線微影方法1400的流程圖。在步驟S1410中,提供第一相位移遮罩區域。在步驟S1420中,提供具有與第一相位移遮罩區域相同圖案的第二相位移遮罩區域。在步驟S1430中,在基板上形成光阻層520。在步驟S1440中,確定光阻層520的最佳曝光劑量。在步驟S1450中,用第一曝光劑量使用第一相位移遮罩區域以及用第二曝光劑量使用第二相位移遮罩區域曝光光阻層的相同區域。第一曝光劑量與第二曝光劑量的每一個少於最佳曝光劑量。
在一些實施方式中,第一相位移遮罩區域與第二相位移遮罩區域來自單一個相位移遮罩40。在一些實施方式中,第一相位移遮罩區域與第二相位移遮罩區域來自至少二個相位移遮罩40。在一些實施方式中,第一相位移遮罩區域與第二相位移遮罩區域中的至少一個具有缺陷。在一些實施方式中,第一曝光劑量與第二曝光劑量不同。在一些實施方式中,第一曝光劑量與第二曝光劑量相同。在一些實施方式中,光阻層520的相同區域被曝光於四個曝光劑量。在一些實施方式中,光阻層的相同區域被曝光的所有曝光劑量的總和實質上與最佳曝光劑量相同。在一些實施方式中,方法1400包括執行另外的曝光步驟(發射),使得光阻層520的每個部分被曝光相同的次數。
光阻覆蓋的晶圓的多次曝光通過使用一個或多個相位移遮罩,以改善EUVL操作中的曝光解析度。舉例來說,在一些實施方式中,光阻覆蓋的晶圓上的每個晶粒在一個或多個相位移遮罩上以相同的圖案被曝光四次。
在一些實施方式中,相位移遮罩在遮罩上的四個相鄰位置中包括相同的圖案,並且隨著晶圓相對於曝光光束的移動,每個晶粒被曝光四次到相同的圖案。為了防止給定晶粒的過度曝光,每一次曝光的曝光劑量是所需總曝光劑量的四分之一。在一些實施方式中,通過在每一次曝光時更快地移動晶圓台,來實現每一次曝光時減少的曝光劑量。舉例來說,在掃描曝光的期間,晶圓台可以以正常速度的四倍移動,使得在每一次曝光時,每個晶粒僅被曝光總曝光時間的四分之一。本揭露的一些實施方式不限於每個晶粒的四次曝光,並且多次曝光的次數可以是二次、三次、五次或更多次。在一些實施方式中,通過單一個相位移遮罩的不同部分或具有相同圖案的多個相位移遮罩的多次曝光可移去可能存在於相位移遮罩的給定位置中的任何缺陷。
第15圖至第49圖繪示根據本揭露的一實施方式的曝光光阻塗覆的半導體晶圓510的方法。如第15圖所示,使用一個反射相位移遮罩,左下側的晶粒首先被曝光至低於最佳曝光劑量的曝光劑量。
在第16圖中,遮罩40隨後相對於晶圓510向上移動,且三個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,最初被曝光的晶粒(左下的晶粒)已經被曝光兩次。第一 個晶粒上方的二個晶粒各自被曝光一次。
遮罩40被繪示為具有六個圖案區域,且前述的六個圖案區域具有相同的圖案,因此可以一次曝光多達六個晶粒。然而,本揭露的一些實施方式不限於具有六個相同圖案的遮罩,並且在一些實施方式中,遮罩可包含二個、三個、四個、五個或多於六個相同的圖案,使得二個、三個、四個、五個或更多的晶粒能夠在晶圓上同時成像。
在第17圖中,遮罩40相對於晶圓510向上移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。如前所解釋的,在一些實施方式中,相對於晶圓510移動光罩40伴隨著移動晶圓台910。
如第18圖所示,遮罩40相對於晶圓510向上移動,且使用少於最佳曝光劑量的曝光劑量來執行曝光。最上面的二個晶粒被曝光一次,並且四個下面的晶粒被曝光兩次。
如第19圖所示,遮罩40相對於晶圓510向上移動,且使用少於最佳曝光劑量的曝光劑量來曝光左上側的二個晶粒。因此,第一行左側的晶粒的每一個都被曝光兩次。
在第20圖中,遮罩40隨後相對於晶圓510向右移動,且四個晶粒被曝光,造成以少於最佳曝光劑量的曝光劑量,第一行的最上面的二個晶粒被曝光三次,並且第二行的最上面的二個晶粒被曝光一次。
在第21圖中,遮罩40相對於晶圓510向下移動,且晶圓510被EUV輻射曝光。因此,第一行的最上面的二個晶粒被曝光四次,並且第二行的最上面的二個晶粒被曝光兩次。 第一行從頂部起算的第三個晶粒被曝光三次,而第二行從頂部起算的第三個晶粒被曝光一次。
如第22圖所示,遮罩40相對於晶圓510向下移動,且使用少於最佳曝光劑量的曝光劑量來執行曝光。第一行從頂部起算的第三個晶粒現已被曝光四次、第二行從頂部起算的第三個晶粒被曝光兩次、第一行從底部起算的第二個晶粒與第三個晶粒被曝光三次,以及第二行從底部起算的第二個晶粒與第三個晶粒被曝光一次。
在第23圖中,遮罩40相對於晶圓510向下移動,且使用少於最佳曝光劑量的曝光劑量來曝光第一行與第二行兩者的下方三個晶粒。因此,第一行從底部起算的第二個晶粒與第三個晶粒被曝光四次。第二行從底部起算的第二個晶粒與第三個晶粒被曝光兩次。第一行從底部的晶粒被曝光三次,且第二行從底部的晶粒被曝光一次。
在第24圖中,遮罩40相對於晶圓510向下移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。在此步驟之後,第一行的所有晶粒被曝光四次,並且第二行的所有晶粒被曝光兩次。在一些實施方式中,每一次曝光的劑量約為總曝光劑量的四分之一,且第一行的每個晶粒被完全地曝光。
如第25圖所示,遮罩40相對於晶圓510向右移動,且使用少於最佳曝光劑量的曝光劑量來執行曝光。第二行的底部的晶粒現已被曝光三次,並且第三行的底部的晶粒被曝光一次。
在第26圖中,遮罩40相對於晶圓510向上移動, 且六個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第二行底部起算的第二個晶粒與第三個晶粒被曝光三次,一次高於靶材焦點且兩次低於靶材焦點,以及第三行從底部起算的第二個晶粒與第三個晶粒被曝光一次。第二行的底部的晶粒被曝光四次,且第三行的底部的晶粒被曝光兩次。
在第27圖中,遮罩40相對於晶圓510向上移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。因此,第二行底部起算的第二個晶粒與第三個晶粒被曝光四次,並且第二行從頂部起算的第三個晶粒被曝光三次。第三行從底部起算的第二個晶粒與第三個晶粒被曝光兩次,並且第三行從頂部起算的第三個晶粒被曝光一次。
如第28圖所示,遮罩40相對於晶圓510向上移動,且使用少於最佳曝光劑量的曝光劑量來執行曝光。第二行的最上面的二個晶粒被曝光三次,並且第二行從頂部起算的第三個晶粒被曝光四次。第三行的最上面的二個晶粒被曝光一次,並且第三行從頂部起算的第三個晶粒被曝光兩次。
在第29圖中,遮罩40相對於晶圓510向上移動,且第二行與第三行的最上面二個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第二行的最上面二個晶粒被曝光四次,並且第三行的最上面二個晶粒被曝光兩次。
在第30圖中,遮罩40相對於晶圓510向右移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。因此,第三行的最上面的二個晶粒被曝光三次,並且第四行的最上面二個晶粒被曝光一次。
如第31圖所示,遮罩40隨後相對於晶圓510向下移動,且使用少於最佳曝光劑量的曝光劑量來執行曝光。第三行與第四行的最上面的二個晶粒現分別被曝光四次與兩次。第三行從頂部起算的第三個晶粒被曝光三次,並且第四行從頂部起算的第三個晶粒被曝光一次。
在第32圖中,遮罩40相對於晶圓510向右移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。因此,第三行與第四行從頂部起算的第三個晶粒分別被曝光四次與兩次。第三行從底部起算的第二個晶粒與第三個晶粒被曝光三次,並且第四行從底部起算的第二個晶粒與第三個晶粒被曝光一次。
在第33圖中,遮罩40相對於晶圓510向下移動,且六個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第三行從底部起算的第二個晶粒與第三個晶粒被曝光四次。第四行從底部起算的第二個晶粒與第三個晶粒被曝光兩次。第三行的底部的晶粒被曝光三次,並且第四行的底部的晶粒被曝光一次。
在第34圖中,遮罩40相對於晶圓510向下移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。因此,第三行的底部的晶粒被曝光四次,並且第四行的底部的晶粒被曝光兩次。
在第35圖中,遮罩40相對於晶圓510向右移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第四行的底部的晶粒現被曝光三次,並且第五行的底部的晶粒現被曝光一 次。
在第36圖中,遮罩40相對於晶圓510向上移動,且位於第四行與第五行中的六個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第四行從底部起算的第二個晶粒與第三個晶粒被曝光三次。第五行從底部起算的第二個晶粒與第三個晶粒被曝光一次。第四行的底部的晶粒被曝光四次,並且第五行的底部的晶粒被曝光兩次。
在第37圖中,遮罩40相對於晶圓510向上移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。第四行從底部起算的第二個晶粒與第三個晶粒現被曝光四次,並且第五行從底部起算的第二個晶粒與第三個晶粒現被曝光兩次。第四行從頂部起算的第三個晶粒被曝光三次,並且第五行從頂部起算的第三個晶粒被曝光一次。
如第38圖所示,遮罩40相對於晶圓510向上移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第四行的最上面的二個晶粒現被曝光三次,並且第五行的最上面的二個晶粒被曝光一次。第四行從頂部起算的第三個晶粒被曝光四次,並且第五行從頂部起算的第三個晶粒被曝光兩次。
在第39圖中,遮罩40相對於晶圓510向上移動,且四個晶粒以少於最佳曝光劑量的曝光劑量被曝光。第四行的最上面的二個晶粒被曝光四次,並且第五行的最上面的二個晶粒被曝光兩次。
在第40圖中,遮罩40相對於晶圓510向右移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第五行的最上 面的二個晶粒被曝光三次,並且第六行的最上面的二個晶粒被曝光一次。
在第41圖中,遮罩40相對於晶圓510向下移動,且位於第五行與第六行的右上角中的六個晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第五行的最上面的二個晶粒被曝光四次,並且第六行的最上面的二個晶粒被曝光兩次。第五行從頂部起算的第三個晶粒被曝光三次,並且第六行從頂部起算的第三個晶粒被曝光一次。
在第42圖中,遮罩40相對於晶圓510向下移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。因此,第五行從底部起算的第二個晶粒與第三個晶粒被曝光三次,並且第六行從底部起算的第二個晶粒與第三個晶粒被曝光一次。第五行從頂部起算的第三個晶粒被曝光四次,並且第六行從頂部起算的第三個晶粒被曝光兩次。
在第43圖中,遮罩40相對於晶圓510向下移動,且晶圓510以少於最佳曝光劑量的曝光劑量被曝光。第五行與第六行從底部起算的第二個晶粒與第三個晶粒現分別被曝光四次與兩次。第五行與第六行的底部的晶粒分別被曝光三次與一次。
在第44圖中,遮罩40相對於晶圓510向下移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第五行的底部的晶粒現被曝光四次,並且第六行的底部的晶粒現被曝光兩次。
在第45圖中,遮罩40相對於晶圓510向右移動, 且第六行的底部的晶粒以少於最佳曝光劑量的曝光劑量被曝光。因此,第六行的底部的晶粒被曝光三次。
在第46圖中,遮罩40相對於晶圓510向上移動,且四個晶粒以少於最佳曝光劑量的曝光劑量被曝光。第六行的底部的晶粒現被曝光四次,並且第六行從底部起算的第二個晶粒與第三個晶粒被曝光三次。
在第47圖中,遮罩40相對於晶圓510向上移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第六行從底部起算的第二個晶粒與第三個晶粒被曝光四次,並且第六行從頂部起算的第三個晶粒被曝光三次。
在第48圖中,遮罩40相對於晶圓510向上移動,且以少於最佳曝光劑量的曝光劑量來執行曝光。第六行的最上面的二個晶粒現被曝光三次,並且第六行從頂部起算的第三個晶粒被曝光四次。
在第49圖中,遮罩40相對於晶圓510向上移動,且晶圓510以少於最佳曝光劑量的曝光劑量來執行曝光。第六行的最上面的二個晶粒現被曝光四次。因此,6X6陣列中的所有晶粒被曝光四次。
在半導體晶圓510上的6X6晶粒的陣列或是第15圖至第49圖的一個像位移遮罩中的2X3晶粒的陣列是本揭露的一些實施方式的示例,並且本揭露的一些實施方式不限於具有36個晶粒的晶圓或具有6個相同晶粒圖案的遮罩。在一些實施方式中,少於36個晶粒或多於36個晶粒被曝光。在第15圖至第49圖的實施方式中,遮罩40在從一個晶粒步進到相鄰的晶 粒的步驟中相對於晶圓510移動或步進、然後離兩個晶粒的距離步進、然後步進到一個相鄰的晶粒,以及在單個晶粒與兩個晶粒之間交替地步進。
在一些實施方式中,相位移遮罩具有2X2晶粒的陣列,每個晶粒具有相同的圖案。當使用具有2X2晶粒的陣列的相位移遮罩時,遮罩在曝光照射之間一次步進一個晶粒。
如第50圖所示,根據所本揭露的一些實施方式的方法提供了非預期的缺陷減少。舉例來說,如第50圖的直方圖所示,關鍵尺寸(critical dimension;CD)的變化顯著減小,特別是在分佈的末端,其對應於接近可印刷性的邊緣的特徵。單一個曝光製程在22+/-5奈米(即27奈米或17奈米)的關鍵尺寸產生120次失敗(failure),而在一些實施方式中,四次曝光製程將失敗的次數減少到12次,如第50圖中的垂直條之間的區域所示。由垂直條限定的區域低於17奈米(關鍵尺寸的可接受下限)。
在一些實施方式中,提供一種檢測相位移遮罩的方法。前述的方法包括檢測相位移遮罩,且前述的相位移遮罩包括複數個相同的電路圖案。相位移遮罩被檢測。若在一個電路圖案的特定位置發現缺陷,而在相位移遮罩上的其他相同的電路圖案中的相同位置未發現缺陷,則相位移遮罩通過檢查。若在一個遮罩圖案中發現缺陷,則在一些實施方式中,它將不會在成像的光阻中被解析。
在一些實施方式中,提供具有複數個相同電路圖案的相位移遮罩。複數個相同電路圖案中的其中一個在特定位 置具有缺陷。其他相同的電路圖案在同一位置沒有相同的缺陷。在一些實施方式中,相位移遮罩可用於微影製程,因為在使用具有缺陷的遮罩曝光的成像光阻時,在複數個相同的電路圖案中的僅一個上的缺陷不會被解析。
期望提高EUVL操作的解析度以便增加半導體元件的產量。在一些情況下,當使用具有缺陷或污染物的光罩在光阻層中形成圖案時,遮罩中的圖案的缺陷或遮罩表面上的微粒污染將導致光阻層圖案中的缺陷。為了提高EUVL操作中的曝光解析度以及避免由於顆粒或遮罩的缺陷所導致的缺陷圖案,執行光阻覆蓋的晶圓的多次曝光。舉例來說,在一些實施方式中,使用遮罩的不同部分,用相同的圖案將光阻塗覆的晶圓上的每個晶粒被曝光四次。在一些實施方式中,曝光遮罩在遮罩上的四個相鄰位置中包括相同的圖案,並且隨著晶圓相對於曝光光束移動,每個晶粒被曝光四次到相同的圖案。為了防止給定晶粒的過度曝光,每一次曝光的曝光劑量是所需總曝光劑量的四分之一。在一些實施方式中,通過在每一次曝光時更快地掃描曝光光束來實現每一次曝光時減少的曝光劑量。因此,在每一次掃描曝光的期間,光阻塗覆的晶圓被曝光較短的時間。舉例來說,掃描光束可以正常速度的四倍相對於光阻層移動,使得在每一次曝光時,每個晶粒被曝光總曝光時間的四分之一。在一些實施方式中,通過在每一次掃描曝光時更快地移動晶圓台來實現減小的曝光劑量。在遮罩的另一圖案中的相同位置不可能會發現一個圖案上的缺陷或顆粒污染物。因為每一次曝光低於所需的總曝光量,遮罩的一部分上的隔離的缺陷 (isolated defect)或顆粒將不會成像到光阻層中。根據本揭露的一些實施方式,使用具有相同的電路或晶片圖案的遮罩的不同部分的多次曝光的累積曝光劑量將再現光阻中的圖案,而不對隔離的缺陷或顆粒成像。
儘管在不同聚焦深度執行多次曝光可能增加曝光晶圓上的所有晶粒所花費的時間,但是整體半導體元件的製造方法會由於缺陷的減少與由本揭露的一些實施方式所提供的增加的元件產量而更有效。通過具有相同的電路圖案的相位移遮罩的不同部分對光阻層的相同部分的多次曝光,防止在單一個遮罩圖案上形成的缺陷或污染物顆粒,而不利地影響使用具有缺陷或汙染物顆粒的遮罩在光阻層中形成的圖案。執行本揭露的一些實施方式的方法可提供改善的圖像對數斜率(image log slope;ILS),其是從亮到暗的過渡中的圖像的陡度(steepness)的測量,以及改善的遮罩誤差增強因子(mask error enhancement factor;MEEF),其是光阻特徵寬度的變化與遮罩特徵寬度的比率。
在本揭露的一實施方式中,極紫外線微影(EUVL)方法包含以下步驟。提供至少二個相位移遮罩區域,相位移遮罩區具有相同的圖案。形成光阻層於基板上。確定光阻層的最佳曝光劑量。通過多次曝光製程,在光阻層的相同的區域上形成潛像。多次曝光製程包含複數曝光製程,並且每一曝光製程使用與具有相同的圖案的至少二個相位移遮罩區域不同的相位移遮罩區域。在一實施方式中,前述的至少二個相位移遮罩區域中的至少一個包括缺陷。在一實施方式中,前述 的至少二個相位移遮罩區域是來自單一個相位移遮罩。在一實施方式中,前述的至少二個相位移遮罩區域是來自至少二個相位移遮罩區域。在一實施方式中,最佳曝光劑量是基於前述的至少二個相位移遮罩區域的其中一個上的圖案的曝光劑量,以在相應的單一個曝光製程下實現靶材尺寸。在一實施方式中,每一個曝光製程中的曝光劑量少於最佳曝光劑量。在一實施方式中,每一個曝光製程中的曝光劑量彼此相同。在一實施方式中,每一個曝光製程中的曝光劑量彼此不同。在一實施方式中,曝光製程的曝光劑量的總和的範圍在最佳曝光劑量的90%至110%之間。在一實施方式中,在光阻層的相同的區域上形成潛像包括執行四個曝光製程,每一個曝光製程使用具有相同的圖案的不同的相位移遮罩區域。
在本揭露的另一實施方式中,一種極紫外線微影(EUVL)方法包括提供具有相同的電路圖案的至少二個相位移遮罩,以及在基板上形成光阻層。確定光阻層的最佳曝光劑量,以及使用前述的至少兩個相位移遮罩與用極紫外線輻射照射光阻層,以多次曝光光阻層的相同區域。用極紫外線輻射照射光阻層包括複數次曝光,並且複數次曝光中的每一次曝光使用的極紫外線輻射的曝光劑量少於最佳曝光劑量。在一實施方式中,前述的至少兩個相位移遮罩中的至少一個包括缺陷。在一實施方式中,最佳曝光劑量是基於兩個相位移遮罩的其中一個上的圖案的曝光劑量,以在相應的單一個曝光過程下實現靶材尺寸。在一實施方式中,多個曝光製程中的每一個的曝光劑量的總和的範圍在最佳曝光劑量的90%至110%之間。在一實 施方式中,多個曝光製程中的每一個中的曝光劑量彼此不同。在一實施方式中,多個曝光製程中的每一個中的曝光劑量彼此相同。在一實施方式中,光阻層的每個區域的曝光是四次曝光的累積,每一次曝光使用具有相同的電路圖案的不同的遮罩區域。
在本揭露的另一實施方式中,一種極紫外線微影(EUVL)方法包括提供具有相同的圖案的至少二個相位移遮罩區域,以及在前述的二個相位移遮罩區域的至少一個具有缺陷。基板上形成光阻層。基於在前述的至少二個相位移遮罩區域的其中一個上的預定圖案的曝光劑量來確定最佳曝光劑量,以在相應的單一個曝光製程下實現靶材尺寸。使用前述的至少二個相位移遮罩區域在光阻層中形成潛像。形成潛像包括以下步驟。在光阻層的相同區域上進行至少兩次曝光,其中至少兩次曝光中的每一次使用的曝光劑量少於最佳曝光劑量,以及曝光劑量的總和的範圍在最佳曝光劑量的90%至110%之間。在一實施方式中,執行前述的至少兩次曝光包括在光阻層的相同區域上投射前述的至少兩個相位移遮罩區域的相同圖案。在一實施方式中,前述的至少兩個相位移遮罩區域來自單一個相位移遮罩。在一實施方式中,前述的至少兩個相位移遮罩區域來自至少兩個相位移遮罩。在一實施方式中,前述的至少兩次曝光中的每一次曝光的曝光劑量彼此相同。在一實施方式中,前述的至少兩次曝光中的每一次曝光的曝光劑量彼此不同。
在本揭露的另一實施方式中,一種方法包括提供 第一相位移遮罩區域,以及提供具有與第一相位移遮罩區域相同圖案的第二相位移遮罩區域。在基板上形成光阻層,以及油光阻層來確定最佳曝光劑量。用第一曝光劑量使用第一相位移遮罩區域以及用第二曝光劑量使用第二相位移遮罩區域來曝光光阻層的相同區域。第一曝光劑量與第二曝光劑量兩者均少於最佳曝光劑量。在一實施方式中,第一相位移遮罩區域與第二相位移遮罩區域來自單一個相位移遮罩。在一實施方式中,第一相位移遮罩區域與第二相位移遮罩區域來自二個相位移遮罩。在一實施方式中,第一相位移遮罩區域與第二相位移遮罩區域中的至少一個具有缺陷。在一個實施方式中,第一曝光劑量與第二曝光劑量不同。在一個實施方式中,第一曝光劑量與第二曝光劑量相同。在一個實施方式中,光阻層的相同區域的所有曝光劑量的總和的範圍在最佳曝光劑量的90%至110%之間。
在本揭露的另一實施方式中,一種微影設備包括輻射源、相位移遮罩、遮罩台、晶圓台與控制器。相位移遮罩包括第一遮罩區域與第二遮罩區域,第一遮罩區域與第二遮罩區域兩者具有相同的圖案。遮罩台配置以支撐相位移遮罩。晶圓台配置以支撐晶圓。控制器配置以確定用於塗覆在晶圓中的光阻層的最佳曝光劑量、控制晶圓相對於相位移遮罩的移動,以及使用相位移遮罩的第二遮罩區域控制光阻層的第一部分的曝光於第二曝光劑量,並且使用相位移遮罩的第一遮罩區域控制光阻層的第二部分的曝光於第二曝光劑量。在一實施方式中,相位移遮罩是反射遮罩。在一實施方式中,輻射源是極紫 外線輻射源。在一實施方式中,相位移遮罩包括第三遮罩區域與第四遮罩區域,第三遮罩區域與第四遮罩區域具有與第一遮罩區域或第二遮罩區域相同的圖案。在一實施方式中,控制器配置以使用相位移遮罩的第三遮罩區域控制光阻層的第一部分的曝光於第三曝光劑量、使用相位移遮罩的第一遮罩區域控制光阻層的第二部分的曝光於第三曝光劑量,以及使用相位移遮罩的第一遮罩區域控制光阻層的第三部分的曝光於第三曝光劑量,其中第三遮罩區域具有與第一遮罩區域或第二遮罩區域相同的圖案。在一實施方式中,控制器配置以使用相位移遮罩的第四遮罩區域控制光阻層的第一部分的曝光於第四曝光劑量、使用相位移遮罩的第三遮罩區域控制光阻層的第二部分的曝光於第四曝光劑量、使用相位移遮罩的第二遮罩區域控制光阻層的第三部分的曝光於第四曝光劑量,以及使用相位移遮罩的第一遮罩區域控制光阻層的第四部分的曝光於第四曝光劑量,其中第四遮罩區域具有與第一遮罩區域、第二遮罩區域或第三遮罩區域相同的圖案。在一實施方式中,控制器配置以控制另外的曝光,使得光阻層的每一部分通過不同的遮罩區域的相同的曝光次數。在一實施方式中,在從一個晶粒至另一個晶粒的掃描曝光操作與步進移動的期間,晶圓台配置以側向移動。在一實施方式中,晶圓台更配置以在垂直方向上移動,以及配置以圍繞一水平軸轉動。
在本揭露的另一實施方式中,一種微影設備包括輻射源、第一相位移遮罩與第二相位移遮罩、遮罩台、晶圓台與控制器。第一相位移遮罩與第二相位移遮罩兩者具有相同的 圖案。遮罩台配置以支撐第一相位移遮罩。晶圓台配置以支撐晶圓。控制器配置以確定用於塗覆在晶圓中的光阻層的最佳曝光劑量、使用第一相位移遮罩來控制光阻層的一部分的曝光於第一曝光劑量、控制在遮罩台上交換第一相位移遮罩為第二相位移遮罩,以及使用第二相位移遮罩控制光阻層的部分的曝光於第二曝光劑量。在一實施方式中,第一相位移遮罩與第二相位移遮罩兩者都是反射遮罩。在一實施方式中,輻射源是極紫外線輻射源。在一實施方式中,在從一個晶粒至另一個晶粒的掃描曝光操作與步進移動的期間,晶圓台配置以側向移動。在一實施方式中,控制器更配置以控制晶圓台的移動。在一實施方式中,微影設備包括第三相位移遮罩,其中第三相位移遮罩具有與第一相位移遮罩與第二相位移遮罩相同的圖案。在一實施方式中,微影設備包括第四相位移遮罩,其中第四相位移遮罩具有與第一相位移遮罩、第二相位移遮罩以及第三相位移遮罩相同的圖案。在一實施方式中,控制器配置以使用第三相位移遮罩控制光阻層的第一部分的曝光於第三曝光劑量、使用第二相位移遮罩控制光阻層的第二部分的曝光於第三曝光劑量,以及使用第一相位移遮罩控制光阻層的第三部分的曝光於第三曝光劑量,其中第三相位移遮罩具有與第一相位移遮罩與第二相位移遮罩相同的圖案。在一實施方式中,控制器配置以使用第四相位移遮罩控制光阻層的第一部分的曝光於第四曝光劑量、使用第三相位移遮罩控制光阻層的第二部分的曝光於第四曝光劑量、使用第二相位移遮罩控制光阻層的第三部分的曝光於第四曝光劑量,以及使用第一相位移遮罩控制光阻層的 第四部分的曝光於第四曝光劑量,其中第四遮罩區域具有與第一遮罩區域、第二遮罩區域以及第三遮罩區域相同的圖案。在一實施方式中,控制器配置以控制另外的曝光,使得光阻層的每一部分通過不同的遮罩區域的相同的曝光次數。在一實施方式中,晶圓台更配置以在垂直方向上移動,以及配置以圍繞一水平軸轉動。
前面概述了幾個實施方式或示例的特徵,以使得本領域技術人員可以更好地理解本揭露的一些實施方式的各方面。本領域的技術人員應該理解,他們可以容易地使用本揭露的一些實施方式作為用於設計或修改用於執行相同目的和/或實現本文所介紹的實施方式或示例的相同優點的其他過程和結構的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離本揭露的一些實施方式的精神和範圍,並且可以在不脫離本揭露的一些實施方式的精神和範圍的情況下進行各種改變、替換和變更。
40‧‧‧光罩
105‧‧‧導電層
110‧‧‧基板
120‧‧‧多層
130‧‧‧緩衝層
150‧‧‧第二反射層

Claims (20)

  1. 一種極紫外線微影方法,包含:
    提供至少二個相位移遮罩區域,該些相位移遮罩區具有相同的一圖案;
    形成一光阻層於一基板上;
    確定該光阻層的一最佳曝光劑量;以及
    通過一多次曝光製程,在該光阻層的相同的一區域上形成一潛像,
    其中該多次曝光製程包含複數曝光製程,並且每一該些曝光製程使用與具有相同的該圖案的該至少二個相位移遮罩區域不同的一相位移遮罩區域。
  2. 如請求項1所述之極紫外線微影方法,其中該至少二個相位移遮罩區域中的至少一者包括一缺陷。
  3. 如請求項1所述之極紫外線微影方法,其中該至少二個相位移遮罩區域是來自一單一個相位移遮罩。
  4. 如請求項1所述之極紫外線微影方法,其中該至少二個相位移遮罩區域是來自至少二個相位移遮罩。
  5. 如請求項1所述之極紫外線微影方法,其中該最佳曝光劑量係基於該至少二個相位移遮罩區域的其中一者上的一圖案的一曝光劑量,以在相應的一單一個曝光製程下實現一靶材尺寸。
  6. 如請求項5所述之極紫外線微影方法,其中每一該些曝光製程中的該曝光劑量少於該最佳曝光劑量。
  7. 如請求項5所述之極紫外線微影方法,其中每一該些曝光製程中的該曝光劑量彼此相同。
  8. 如請求項5所述之極紫外線微影方法,其中每一該些曝光製程中的該曝光劑量彼此不同。
  9. 如請求項5所述之極紫外線微影方法,其中該些曝光製程的該曝光劑量的一總和的範圍在該最佳曝光劑量的90%至110%之間。
  10. 如請求項1所述之極紫外線微影方法,在該光阻層的相同的該區域上形成該潛像包括執行四個曝光製程,每一該些曝光製程使用具有相同的該圖案的不同的該相位移遮罩區域。
  11. 一種微影設備,包含:
    一輻射源;
    一相位移遮罩,包括一第一遮罩區域與一第二遮罩區域,該第一遮罩區域與該第二遮罩區域具有相同的一圖案;
    一遮罩台,配置以支撐該相位移遮罩;
    一晶圓台,配置以支撐一晶圓;以及
    一控制器,
    其中控制器配置以:
    確定用於塗覆在該晶圓的一光阻層的一最佳曝光劑量;
    使用該相位移遮罩的該第一遮罩區域,控制該光阻層的一第一部分的一曝光於一第一曝光劑量;
    控制該晶圓相對於該相位移遮罩的移動;以及
    使用該相位移遮罩的該第二遮罩區域控制該光阻層的該第一部分的一曝光於一第二曝光劑量,並且使用該相位移遮罩的該第一遮罩區域控制該光阻層的一第二部分的一曝光於該第二曝光劑量。
  12. 如請求項11所述之微影設備,其中該相位移遮罩係一反射遮罩。
  13. 如請求項11所述之微影設備,其中該輻射源係一極紫外線輻射源。
  14. 如請求項11所述之微影設備,其中該相位移遮罩包括一第三遮罩區域與一第四遮罩區域,該第三遮罩區域與該第四遮罩區域具有與該第一遮罩區域或該第二遮罩區域相同的該圖案。
  15. 如請求項11所述之微影設備,其中該控制器配置以使用該相位移遮罩的一第三遮罩區域控制該光阻層 的該第一部分的一曝光於一第三曝光劑量,使用該相位移遮罩的該第一遮罩區域控制該光阻層的該第二部分的一曝光於該第三曝光劑量,以及使用該相位移遮罩的該第一遮罩區域控制該光阻層的一第三部分的一曝光於該第三曝光劑量,其中該第三遮罩區域具有與該第一遮罩區域或該第二遮罩區域相同的該圖案。
  16. 如請求項15所述之微影設備,其中該控制器配置以使用該相位移遮罩的一第四遮罩區域控制該光阻層的該第一部分的一曝光於一第四曝光劑量,使用該相位移遮罩的該第三遮罩區域控制該光阻層的該第二部分的一曝光於該第四曝光劑量,使用該相位移遮罩的該第二遮罩區域控制該光阻層的該第三部分的一曝光於該第四曝光劑量,以及使用該相位移遮罩的該第一遮罩區域控制該光阻層的一第四部分的一曝光於該第四曝光劑量,其中該第四遮罩區域具有與該第一遮罩區域、該第二遮罩區域或該第三遮罩區域相同的該圖案。
  17. 如請求項16所述之微影設備,其中該控制器配置以控制另外的曝光,使得該光阻層的每一部分通過不同的遮罩區域的相同的一曝光次數。
  18. 一種微影設備,包含:
    一輻射源;
    一第一相位移遮罩與一第二相位移遮罩,該第一相位移 遮罩與該第二相位移遮罩都具有相同的一圖案;
    一遮罩台,配置以支撐該第一相位移遮罩;
    一晶圓台,配置以支撐一晶圓;以及
    一控制器,
    其中控制器配置以:
    確定用於塗覆在該晶圓的一光阻層的一最佳曝光劑量;
    使用該第一相位移遮罩,控制該光阻層的一部分的一曝光於一第一曝光劑量;
    控制在該遮罩台上交換該第一相位移遮罩為該第二相位移遮罩;以及
    使用該第二相位移遮罩控制該光阻層的該部分的一曝光於一第二曝光劑量。
  19. 如請求項18所述之微影設備,其中該第一相位移遮罩與該第二相位移遮罩為反射遮罩。
  20. 如請求項18所述之微影設備,其中該輻射源係一極紫外線輻射源。
TW108129338A 2018-08-17 2019-08-16 極紫外線微影方法及微影設備 TWI727399B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862719313P 2018-08-17 2018-08-17
US62/719,313 2018-08-17
US16/534,965 US11429027B2 (en) 2018-08-17 2019-08-07 Photolithography method and apparatus
US16/534,965 2019-08-07

Publications (2)

Publication Number Publication Date
TW202016992A true TW202016992A (zh) 2020-05-01
TWI727399B TWI727399B (zh) 2021-05-11

Family

ID=69523969

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108129338A TWI727399B (zh) 2018-08-17 2019-08-16 極紫外線微影方法及微影設備

Country Status (4)

Country Link
US (1) US11429027B2 (zh)
KR (1) KR102319270B1 (zh)
CN (1) CN110837210B (zh)
TW (1) TWI727399B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11287746B1 (en) * 2020-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for overlay error reduction

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3387907B2 (ja) 2000-11-06 2003-03-17 株式会社日立製作所 半導体装置の製造方法
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP4326711B2 (ja) 2001-02-28 2009-09-09 富士フイルム株式会社 カーテン塗布方法
US6607862B2 (en) * 2001-08-24 2003-08-19 Intel Corporation Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
US7050155B2 (en) * 2001-10-30 2006-05-23 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
CN100468013C (zh) 2003-11-17 2009-03-11 凸版光掩公司 改善晶圆上结构的可印制性的相移光掩模及方法
US7169514B2 (en) * 2003-12-31 2007-01-30 Intel Corporation Extreme ultraviolet mask with molybdenum phase shifter
US8907456B2 (en) 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
JP2010040849A (ja) * 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
DE102010029651A1 (de) * 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
JP2012133280A (ja) 2010-12-24 2012-07-12 Mejiro Precision:Kk 基板パターンの製造方法及び露光装置
NL2011261A (en) * 2012-08-20 2014-02-24 Asml Netherlands Bv Method of preparing a pattern, method of forming a mask set, device manufacturing method and computer program.
KR20140096750A (ko) 2013-01-29 2014-08-06 삼성전자주식회사 노광 방법 및 이를 이용한 패턴 형성 방법
US9075313B2 (en) 2013-03-13 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple exposures in extreme ultraviolet lithography
US9229332B2 (en) 2013-09-18 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for high-throughput and small-footprint scanning exposure for lithography
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
DE102016203094B4 (de) 2016-02-26 2022-02-10 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum dauerhaften Reparieren von Defekten fehlenden Materials einer photolithographischen Maske
US10162257B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system, device, and method for printing low pattern density features

Also Published As

Publication number Publication date
KR20200020639A (ko) 2020-02-26
CN110837210A (zh) 2020-02-25
KR102319270B1 (ko) 2021-11-02
US11429027B2 (en) 2022-08-30
CN110837210B (zh) 2022-12-20
TWI727399B (zh) 2021-05-11
US20200057375A1 (en) 2020-02-20

Similar Documents

Publication Publication Date Title
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
TWI403864B (zh) 製造接觸孔之系統及方法
KR20150059596A (ko) 극자외선 리소그래피 공정, 및 쉐도우 현상이 감소되고 강도가 향상된 마스크
KR20090079190A (ko) 인시츄 이미지 편집층을 이용한 고 분해능 이미징 프로세스
US9733562B2 (en) Extreme ultraviolet lithography process and mask
TW201604658A (zh) 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法
US9075313B2 (en) Multiple exposures in extreme ultraviolet lithography
CN104656367A (zh) 在euv光刻制程期间使用的euv掩膜
TWI727399B (zh) 極紫外線微影方法及微影設備
US8492054B2 (en) Mechanisms for patterning fine features
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US11150561B2 (en) Method and apparatus for collecting information used in image-error compensation
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
US20210208505A1 (en) Lithography Method With Reduced Impacts of Mask Defects
US20220365438A1 (en) Photolithography method and apparatus
JP3028816B2 (ja) フォトレジストシステムおよびフォトエッチング方法
US11294290B2 (en) Reticle fabrication method and semiconductor device fabrication method including the same
Tawarayama et al. Lithographic Performance of Extreme Ultravolet Full-Field Exposure Tool at Selete
Hsu et al. Printability of buried mask defects in extreme UV lithography
US11733601B2 (en) EUV photomask and method of forming mask pattern using the same
Liu et al. SPIE Advanced Lithography
Fan Effects of radiation-induced carbon contamination on the printing performance of extreme ultraviolet masks