KR102319270B1 - 포토리소그래피 방법 및 장치 - Google Patents

포토리소그래피 방법 및 장치 Download PDF

Info

Publication number
KR102319270B1
KR102319270B1 KR1020190100391A KR20190100391A KR102319270B1 KR 102319270 B1 KR102319270 B1 KR 102319270B1 KR 1020190100391 A KR1020190100391 A KR 1020190100391A KR 20190100391 A KR20190100391 A KR 20190100391A KR 102319270 B1 KR102319270 B1 KR 102319270B1
Authority
KR
South Korea
Prior art keywords
mask
exposure
phase shift
exposure dose
shift mask
Prior art date
Application number
KR1020190100391A
Other languages
English (en)
Other versions
KR20200020639A (ko
Inventor
쉰-쉥 유
루-군 리우
쑤-팅 후앙
친-시앙 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200020639A publication Critical patent/KR20200020639A/ko
Application granted granted Critical
Publication of KR102319270B1 publication Critical patent/KR102319270B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

극자외선 리소그래피(EUVL; extreme ultraviolet lithography) 방법은, 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들을 제공하는 단계를 포함한다. 레지스트 층이 기판 위에 형성된다. 레지스트 층의 최적의 노출 선량이 결정되고, 다중 노출 프로세스에 의해 레지스트 층의 동일 영역 상에 잠상이 형성된다. 다중 노출 프로세스는 복수의 노출 프로세스들을 포함하고, 복수의 노출 프로세스들의 각각은 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들로부터의 상이한 위상 반전 마스크 영역을 사용한다.

Description

포토리소그래피 방법 및 장치{PHOTOLITHOGRAPHY METHOD AND APPARATUS}
본 출원은 2018년 8월 17일 출원된 미국 가특허 출원 번호 제62/719,313호의 우선권을 주장하며, 이 출원의 전체 내용은 참조에 의해 여기에 포함된다.
반도체 집적 회로(IC; integrated circuit) 산업은 급격한 성장을 겪어왔다. IC 재료 및 설계에 있어서의 기술 발전은 IC 세대들을 생성하였는데, 각 세대는 이전 세대보다 더 작고 보다 복잡한 회로를 갖는다. IC 진화 동안, 기능 밀도(즉, 칩 면적당 상호접속된 디바이스들의 수)는 전반적으로 증가한 반면에, 기하학적 크기(즉, 제조 프로세스를 사용하여 만들어질 수 있는 최소 컴포넌트(또는 라인))는 감소하였다. 이 스케일링 다운(scaling down) 프로세스는 전반적으로 생산 효율을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 하지만, 이러한 스케일링 다운은 또한, IC의 프로세싱 및 제조의 복잡도를 증가시켰고, 이 발전이 실현되기 위해서는, IC 프로세싱 및 제조에 있어서의 마찬가지의 개발이 필요하다. 예를 들어, 극자외선(EUV; extreme ultraviolet) 리소그래피 프로세스와 같은 더 높은 분해능 리소그래피 프로세스가, 7-nm 기술 노드 이하의 임계 치수 공차에 다다르는 크기 제약을 충족시키도록 구현된다. EUV 리소그래피는 집적 회로 디바이스의 층의 패턴을 웨이퍼에 전사하도록 반사성 마스크(레티클로도 지칭됨)를 사용한다. 반사성 마스크는 통상적으로 기판 상에 배치된 반사성 다층 코팅(다층 미러 스택)을 포함한다. 기판, 반사성 다층 또는 흡수체에서의 미세 결함을 포함한 임의의 결함은, 반사성 마스크의 패턴의 인쇄적성(printability)에 바람직하지 않은 영향을 미칠 수 있다. 이러한 결함은 종종 검사하기가 어려우며, 심지어 검출된다 하더라도 보수하기가 어렵다. 따라서, 기존의 EUV 마스크 및 EUV 마스크의 제조 방법은 일반적으로 그의 의도한 목적에는 충분하였지만, 모든 관점에서 완전히 만족스럽지는 못하였다.
극자외선 리소그래피(EUVL; extreme ultraviolet lithography) 방법은, 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크(phase-shifting mask) 영역들을 제공하는 단계를 포함한다. 레지스트 층이 기판 위에 형성된다. 레지스트 층의 최적의 노출 선량(exposure dose)이 결정되고, 다중 노출 프로세스에 의해 레지스트 층의 동일 영역 상에 잠상이 형성된다. 다중 노출 프로세스는 복수의 노출 프로세스들을 포함하고, 복수의 노출 프로세스들의 각각은 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들로부터의 상이한 위상 반전 마스크 영역을 사용한다.
본 개시는 다음의 상세한 설명으로부터 첨부 도면과 함께 볼 때 가장 잘 이해된다. 산업계에서의 표준 실시에 따라 다양한 특징부들이 실축척대로 도시되지 않고 단지 설명을 위한 목적으로 사용된 것임을 강조한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의로 증가되거나 감소되었을 수 있다.
도 1은 본 개시의 실시예에 따라 구성된 제조 단계에서의 EUV 마스크의 개략 단면도이다.
도 2는 본 개시의 실시예에 따라 구성된 도 1의 EUV 마스크(부분)의 개략 단면도이다.
도 3은 본 개시의 실시예에 따른 도 1의 EUV 마스크(부분)의 개략 단면도이다.
도 4는 본 개시의 실시예에 따른 도 1의 EUV 마스크(부분)의 개략 단면도이다.
도 5는 본 개시의 실시예에 따른 EUV 마스크의 개략 평면도이다.
도 6은 본 개시의 실시예에 따른 패터닝된 레지스트 층을 형성하는 방법의 흐름도이다.
도 7은 본 개시의 실시예에 따른 반도체 디바이스를 제조하는 방법의 단계 중의 레지스트 층의 개략 단면도이다.
도 8a, 도 8b, 도 8c, 도 8d, 및 도 8f는 본 개시의 실시예에 따라 반도체 디바이스를 제조하는 방법의 다양한 단계들 중의 레지스트 층의 개략 단면도들이다. 도 8e는 본 개시의 실시예에 따른 위상 반전 마스크(phase-shifting mask)의 평면도이다.
도 9는 본 출원의 실시예에 따른 포토리소그래피 장치를 도시한다.
도 10a 및 도 10b는 본 개시의 실시예에 따른 포토리소그래피 장치에 대한 컨트롤러의 실시예를 도시한다.
도 11은 본 개시의 실시예에 따라 반도체 디바이스를 제조하는 방법을 예시한 흐름도이다.
도 12는 본 개시의 실시예에 따라 반도체 디바이스를 제조하는 방법을 예시한 흐름도이다.
도 13은 본 개시의 실시예에 따라 반도체 디바이스를 제조하는 방법을 예시한 흐름도이다.
도 14는 본 개시의 실시예에 따라 반도체 디바이스를 제조하는 방법을 예시한 흐름도이다.
도 15는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 16은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 17은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 18은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 19는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 20은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 21은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 22는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 23은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 24는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 25는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 26은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 27는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 28은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 29는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 30은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 31은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 32는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 33은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 34는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 35는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 36은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 37은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 38은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 39는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 40은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 41은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 42는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 43은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 44는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 45는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 46은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 47은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 48은 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 49는 본 개시의 실시예에 따라 반도체 기판을 노출시키는 방법에서의 다양한 순차적 동작들 중의 하나를 도시한다.
도 50은 본 개시의 실시예에 따라 반도체 웨이퍼의 동일 영역 상의 4개의 상이한 마스크를 사용하여 단일 노출과 4회 노출 간의 비교를 도시한다.
다음의 개시는 본 개시의 상이한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공하는 것임을 이해하여야 할 것이다. 컴포넌트 및 구성의 구체적 실시예 또는 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이며 한정하고자 하는 것이 아니다. 예를 들어, 구성요소들의 치수는 개시된 범위 또는 값에 한정되지 않고, 디바이스의 프로세스 조건 및/또는 원하는 특성에 따라 달라질 수 있다. 또한, 이어지는 다음 기재에 있어서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 또한 포함할 수 있다. 다양한 특징부들은 단순하고 명확하게 하기 위해 임의로 상이한 스케일로 도시되어질 수 있다.
또한, “밑에”, “아래에”, “하부”, “위에”, “상부” 등과 같은 공간적으로 상대적인 용어는 도면에 예시된 바와 같이 하나의 구성요소 또는 특징부의 또다른 구성요소(들) 또는 특징부(들)에 대한 관계를 기재하고자 설명을 쉽게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향에 더하여 사용중이거나 동작중인 디바이스의 상이한 배향들을 망라하도록 의도된다. 디바이스는 달리 배향될 수 있고(90도 회전되거나 또는 다른 배향으로), 여기에서 사용된 공간적으로 상대적인 기술자는 마찬가지로 그에 따라 해석될 수 있다. 또한, 용어 “~로 제조된”은 “포함하는” 또는 “구성되는”을 의미할 수 있다.
다음의 설명은 EUV 포토마스크 또는 EUV 위상 반전 마스크(PSM; phase-shifting mask) 및 마스크 제조 프로세스에 관한 것이다. 용어 포토마스크와 마스크는 서로 교환가능하게 사용된다. 마스크 제조 프로세스는 2가지 동작, 즉 블랭크(blank) 마스크 제조 프로세스 및 마스크 패터닝 프로세스를 포함한다. 블랭크 마스크 제조 프로세스 동안, 적합한 기판 상에 적합한 층(예컨대, 반사성 다층)을 퇴적함으로써 블랭크 마스크가 형성된다. 블랭크 마스크는 집적 회로(IC)의 층의 설계를 갖도록 마스크 패터닝 프로세스 동안 패터닝된다. 그 다음, 패터닝된 마스크는 회로 패턴(예컨대, IC의 층의 설계)을 반도체 웨이퍼에 전사하도록 사용된다. 마스크 상의 패턴은 다양한 리소그래피 프로세스를 통해 복수의 웨이퍼에 여러 번 전사될 수 있다. 여러 마스크(예를 들어, 15 내지 30 마스크의 세트)가 완전한 IC를 구성하는데 사용될 수 있다. 일반적으로, 다양한 마스크들이 다양한 리소그래피 프로세스에 사용하기 위해 제조된다. 마스크의 타입은, BIM(binary intensity mask) 및 위상 반전 마스크(PSM)를 포함한다.
도 1 및 도 2는 본 개시의 실시예에 따라 구성된 다양한 제조 단계에서의 포토마스크(40)의 개략도이다. 마스크(40) 및 이의 제조 방법은 도 3 및 도 4와 다른 도면들을 참조하여 집합적으로 기재되어 있다. 다음의 실시예에서, 마스크(40)는 위상 반전 마스크(PSM)이다.
도 1을 참조하면, 이 단계에서의 마스크(40)는, 저열팽창 재료(LTEM; low thermal expansion material)로 제조된 기판(110)을 포함하는 블랭크 EUV 마스크이다. LTEM 재료는 TiO2 도핑된 SiO2, 또는 당해 기술분야에 공지된 다른 저열팽창 재료를 포함할 수 있다. LTEM 기판(110)은 마스크 가열로 인한 이미지 왜곡을 최소화하는 역할을 한다. 본 실시예에서, LTEM 기판(110)은 낮은 결함 레벨 및 평평한 표면을 갖는 재료를 포함한다. 또한, 정전기 척(electrostatic chucking) 목적을 위해 전도성 층(105)이 LTEM 기판(110)의 배면 표면 상에 배치될 수 있다. 실시예에서, 전도성 층(105)은 크롬 질화물(CrN)을 포함하지만, 다른 조성도 가능하다.
반사성 다층(ML; reflective multilayer)(120)이 전면 표면 상에 LTEM 기판(110) 위에 퇴적된다. ML(120)은, 또다른 반사성 층이 나중에 도입될 때 혼동을 피하기 위해, 제1 반사성 층으로도 지칭된다. 프레넬(Fresnel) 방정식에 따르면, 광이 상이한 굴절률의 2개의 재료 사이의 계면에 걸쳐 전파할 때 광 반사가 일어날 것이다. 반사 광은, 굴절률 차이가 더 클 때 더 크다. 반사 광을 증가시키기 위해, 교대하는 재료의 다층을 퇴적함으로써 계면의 수를 증가시킬 수도 있고, 다층 안의 각각의 층에 대하여 적합한 두께를 선택함으로써 상이한 계면들로부터 반사된 광을 보강(constructively) 간섭하게 할 수 있다. 그러나, 다층에 대하여 채용된 재료의 흡수는, 달성될 수 있는 최고 반사율을 제한한다. ML(120)은 복수의 막(film) 쌍들(pairs), 예컨대 몰리브덴-실리콘(Mo/Si) 막 쌍들(예컨대, 각각의 막 쌍에서 실리콘 층 위나 아래의 몰리브덴 층)을 포함한다. 대안으로서, ML(120)은 몰리브덴-베릴륨(Mo/Be) 막 쌍들을 포함할 수 있고, 또는 EUV 파장에서 반사율이 높은 임의의 재료가 ML(120)에 사용될 수 있다. ML(120)의 각각의 층의 두께는 EUV 파장 및 입사각에 따라 좌우된다. ML(120)의 두께는, 각각의 계면에서 반사된 EUV 광의 최대 보강 간섭 및 ML(120)에 의한 EUV 광의 최소 흡수를 달성하도록 조정된다. ML(120)은 일부 실시예에서 선택된 방사선 파장에 대한 높은 반사율을 제공하도록 선택된다. 일부 실시예에서, 막 쌍들의 수는 20 내지 80 범위이지만, 임의의 수의 막 쌍들이 가능하다. 실시예에서, ML(120)은 40 쌍의 Mo/Si 층들을 포함한다. 각각의 Mo/Si 막 쌍은 약 7 nm의 두께를 가지며, 280 nm 의 총 두께를 갖는다. 이 경우에, 약 70 %의 EUV 반사율이 달성된다.
일부 실시예에서 하나 이상의 기능을 위해 ML(120) 위에 버퍼 층(130)이 형성된다. 하나의 실시예에서, 버퍼 층(130)은 패터닝 프로세스 또는 보수나 세척과 같은 다른 동작에서 에칭 정지 층으로서 기능하다. 또다른 실시예에서, 버퍼 층(130)은 ML(120)의 산화를 막도록 기능한다. 버퍼 층(130)은 의도한 기능을 달성하기 위한 하나 이상의 막을 포함할 수 있다. 본 실시예에서, 버퍼 층(130)은 나중에 기재될 제2 반사성 층(150)과 상이한 에칭 특성을 갖는다. 하나의 실시예에서, 버퍼 층(130)은 루테늄(Ru)을 포함한다. 이 예에 더하여, 버퍼 층(130)은 약 2-5 nm 사이 범위의 두께를 갖는 Ru 막을 포함한다. 다른 실시예에서, 버퍼 층(130)은 Ru 화합물, 예컨대 RuB 및 RuSi, 크롬(Cr), Cr 산화물, 또는 Cr 질화물을 포함한다. ML(120)의 상호 확산(inter-diffusion)을 막기 위한 버퍼 층(130)을 형성하도록, 일부 실시예에서 저온 퇴적 프로세스가 사용된다.
실시예에서, 마스크(40)는 제1 반사성 층(120) 위에 형성된 제2 반사성 층(150)을 포함한다. 버퍼 층(130)이 존재하는 실시예에서, 도 1에 예시된 바와 같이, 제2 반사성 층(150)은 버퍼 층(130) 위에 형성된다. 제2 반사성 층(150)은 IC 레이아웃에 따라 패터닝될 것이다. 제2 반사성 층은, EUVL를 위한 위상 반전 마스크가 구현될 수 있도록, 반사 계수의 180° 위상 반전을 발생시키도록(패터닝 후에 제2 반사성 층이 제거되는 영역에 관련하여) 설계된다. 여기에서, 제2 영역에 관련한 제1 영역의 상대 반사 계수는, 동일 입사 광으로 제1 영역 및 제2 영역으로부터 반사된 광의 공통 마스크 표면으로부터의 동일 수직 높이에서 평가된, 진폭(위상 정보를 포함하는 복소수)의 비로서 정의된다.
반사 계수가 -1일 때(“1”은 감쇄(attenuation)가 없음을 의미하고, “-”는 180° 위상 반전을 의미함), 마스크(40)는 Alt-PSM(alternating phase-shifting mask)이며, 이 경우 IC 레이아웃의 라인-스페이스 면적 비율이 1:1이면 0차 회절이 없고, 가장 높은 에어리얼(aerial) 이미지 콘트라스트가 달성될 수 있다. 그러나, 제2 반사성 층의 필요한 두께가 너무 크다면, 마스크 쉐도잉(mask shadowing) 효과가 생기며, 이는 이어서 에어리얼 이미지 콘트라스트를 저하시킨다. 따라서, 제2 반사성 층의 설계는 반사 계수와 두께 간에 절충되어야 한다. 일부 실시예에서 가장 높은 에어리얼 이미지 콘트라스트가 달성되는데, 퓨필 필터(pupil filter)에 의해 0차 회절 차수가 제거되기 때문이다. 그러나, 반사 계수가 -1에 가까워지면, 0차 회절 차수의 진폭은 0에 가깝고, 0차 회절 차수의 제거로 인한 노출 선량 손실이 최소화되며 노광 툴의 쓰루풋이 최대화된다. 또한, 180° 위상 반전을 달성하기 위해 제2 반사성 층의 필요한 두께가 더 작아진다면, 마스크 토포그래피로 인한 광 산란이 감소되고, +/- 1차 회절 차수의 강도 증가로 인해 노광 툴의 쓰루풋이 더 향상된다. EUV 파장 범위에서는, 모든 재료가 흡수성이 매우 높다. 제2 반사성 층에 대하여 단일 재료를 사용하여 -1에 가까운 반사 계수를 달성하는 것은 어렵다. EUVL에서는, 높은 반사율을 달성하기 위해 교대하는 재료들의 다층이 사용된다. 반사율은 반사 계수의 절대값 제곱으로 정의된다.
13.5 nm의 이미징 파장을 사용하는 EUVL에 대하여, 상기에 서술된 바와 같이 Si 및 Mo가 사용되는데, 이는 13.5 nm 정도의 스펙트럼 범위에 걸쳐 가장 큰 통합 반사율을 제공하기 때문이다. ML 설계는 각각의 쌍에 약 3 nm Mo 상의 약 4 nm Si을 갖는 Si/Mo 쌍들로 구성된다. 위상 반전 마스크를 구현하기 위해 제2 반사성 층(150)에 대하여 이 ML 설계가 채용될 때, 각각의 Si/Mo 쌍은 약 12°의 위상 반전을 기여한다. 따라서, 180° 위상 반전을 달성하기 위해, 15 Si/Mo 쌍들이 필요하고, 약 105 nm의 총 두께를 가지며, 이 경우 마스크 쉐도잉 효과가 현저해야(prominent) 한다. EUV 파장에서 Si의 굴절률 및 흡광 계수(extinction coefficient)가 각각 1 및 0에 가까우므로, Si는 위상 반전에 거의 기여하지 않는다(공기 중에 전파하는 광에 관련하여). 거의 전체의 위상 반전은 Mo의 존재로 인한 것이다. Si가 담당하는 역할은, 광 반사가 일어나는 더 많은 Si/Mo 계면을 생성하는 것이다. 따라서, Mo의 총 두께는, 어떤 설계가 제2 반사성 층에 채택되든지, 44 nm에 가까워야 한다. ML 설계의 실시예에서, 인접한 Si/Mo 쌍들로부터 반사된 광의 위상 차이는 360°이다(360°의 정수배만 높은 반사율을 제공하므로 최소값임). 이러한 경우, 모든 각 3nm Mo 층은 4 nm Si 층을 동반하며, 그 결과 막 스택 높이는 커지게 된다. 하지만, 인접한 Si/Mo 쌍들로부터 반사된 광의 위상 차이가 720°인 경우, 그리 많은 Si 층을 삽입할 필요가 없으며, 제2 반사성 층에 대하여 180° 위상 반전을 생성하는데 필요한 총 두께가 감소될 수 있다.
제1 실시예에서, 도 2에 예시된 바와 같이, 제2 반사성 층(150)은 약 44 nm의 두께를 갖는 단일 몰리브덴(Mo) 층(151)을 포함한다. 여기에서 앞서 설명된 바와 같이, 이는 Mo가 사용되는 경우 제2 반사성 층의 가장 얇은 설계이어야 한다. 이 실시예에서, 반사 계수는 약 -0.7757이고, 반사율은 약 0.6017이다.
제2 실시예에서, 도 3(단면도)에 예시된 바와 같이, 제2 반사성 층(150)은 복수의 막들을 포함한다. 구체적으로, 제2 반사성 층(150)은, 2개의 인접한 Mo 막들 사이에 Si 막을 개재하고 2개의 인접한 Si 막들 사이에 Mo 막을 개재하도록 구성된, 5개의 Mo 막들(152, 154, 156, 158, 및 160) 뿐만 아니라 5개의 Si 막들(162, 164, 166, 168, 및 170)을 포함한다. 본 실시예에서, Mo 막(152)은 약 1 nm의 두께를 갖고, Mo 막들(154, 156, 156, 및 158)은 약 10.1 nm의 동일 두께를 가지며, Si 막(162)은 약 4 nm의 두께를 갖고, Si 막들(164, 166, 및 168)은 약 4.3 nm의 동일 두께를 갖고, 상부 Si 막(170)은 약 2.6 nm의 두께를 갖는다. 제2 반사성 층(150)은 상부 실리콘 막(160) 상에 퇴적된 또다른 버퍼 층(172)을 더 포함할 수 있다. 이 실시예에서, 반사 계수는 약 -0.8665이고, 반사율은 약 0.7508이다. 이 실시예에서, 제2 반사성 층(150)의 총 두께는 약 63.4 nm이며, 이는 105 nm보다 훨씬 더 작다. 제1 실시예(단일 Mo 층)와 비교하면, 제2 반사성 층의 총 두께는 약 19.4 nm 만큼 더 크고, 반사율은 약 55.7% 더 높다.
제1 실시예나 제2 실시예에서, 두께의 각각은 그 각자의 공칭 값의 20% 내에 있다. 다르게 서술하자면, 각각의 두께는 그 각자의 공칭 값의 80% 내지 120% 범위 내에 있다. 버퍼 층(172)은 버퍼 층(130)과 유사하다. 예를 들어, 버퍼 층(172)은 Ru 막을 포함한다. 일부 실시예에서, 버퍼 층(172)은 약 2 nm 내지 약 5 nm 범위의 두께를 갖는 Ru 막을 포함한다. 다른 실시예에서, 버퍼 층(172)은 Ru 화합물, 예컨대 RuB 및 RuSi, 크롬(Cr), Cr 산화물, 또는 Cr 질화물을 포함한다.
도 1을 다시 참조하면, 층(105, 120, 130 및 150)(예컨대, 도 2의 151 또는 도 3의 152-170)의 하나 이상은, 증발 및 DC 마그네트론 스퍼터링과 같은 물리적 기상 증착(PVD; physical vapor deposition) 프로세스; 무전해 도금 또는 전기 도금과 같은 도금 프로세스; 기압 CVD(APCVD; atmospheric pressure CVD), 저압 CVD(LPCVD; low pressure CVD), 플라즈마 강화 CVD(PECVD; plasma enhanced CVD), 또는 고밀도 플라즈마 CVD(HDP CVD; high density plasma CVD)와 같은 화학적 기상 증착(CVD; chemical vapor deposition) 프로세스; 원자층 증착(ALD; atomic layer deposition); 이온 빔 퇴적; 스핀-온 코팅; 금속-유기 퇴적(MOD; metal-organic decomposition); 및/또는 당해 기술분야에 공지된 다른 방법을 포함하는 다양한 방법에 의해 형성될 수 있다. MOD는 비진공 환경에서 액체 기반의 방법을 사용하는 퇴적 기술이다. MOD를 사용함으로써, 용매에 용해된 금속-유기 전구체가 기판 위에 스핀-코팅되고, 용매는 증발된다. 금속-유기 전구체를 그의 구성 금속 원소로 변환하도록 VUV(vacuum ultraviolet) 소스가 사용된다.
도 4를 참조하면, 실시예에서, 제2 반사성 층(150)은 2가지 상태로 마스크를 형성하도록 패터닝된다. 제2 반사성 층(150)은 마스크 패터닝 프로세스에 의해 상태 210 및 상태 220를 형성하도록 패터닝된다. 마스크 패터닝 프로세스는, 패터닝된 레지스트 층을 형성하기 위해, 레지스트 코팅(예컨대, 스핀온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 노출-후 베이킹, 현상, 린싱, 건조(예컨대, 하드 베이킹), 다른 적합한 프로세스, 및/또는 이들의 조합을 포함할 수 있다. 마스크 패터닝 프로세스에서 노출 단계에 전자, 이온, 또는 광자 빔 직접 기록이 사용될 수 있다.
앞 문단의 패터닝 프로세스에서, 에칭 마스크로서 패터닝된 레지스트 층을 이용해 제2 반사성 층(150)의 일부를 제거하도록 그 후에 에칭 프로세스가 사용된다. 에칭 프로세스는 건식(플라즈마) 에칭, 습식 에칭, 및/또는 다른 에칭 방법을 포함할 수 있다. 상태 210에 대하여, 제2 반사성 층이 제거된다. 상태 220에 대하여, 제2 반사성 층이 유지된다.
계속해서 도 4를 참조하면, 이제 EUV 마스크(40)는 2가지 상태, 210 및 220를 포함한다. 상태 210와 상태 220의 반사 계수는 각각 r1 및 r2이다. 2가지 상태는, r2의 절대값이 r1의 절대값과 실질적으로 동일하거나 가깝도록 구성된다. 이 실시예에서, 상태 210인 영역으로부터 반사된 EUV 광과 상태 220인 영역으로부터 반사된 EUV 광은 180° 위상 차이를 갖는다.
도 5는 본 개시의 실시예에 따라 구성된 마스크(40)의 평면도이다. 0차 회절을 제거하면(주파수 공간에서) 공간 주파수가 두 배가 된다(실 공간에서). 따라서, 타겟 상에 원하는 IC 패턴을 얻기 위해 마스크(40) 상의 절반의 공간 주파수가 필요하다. 이는 3가지 상태, 즉 3가지 상이한 반사 계수를 갖는 마스크에 의해 그리고 인접한 메인 다각형(main polygon)(IC 패턴용) 및 배경(즉, 메인 다각형이 없는 영역)에 상이한 상태들을 할당함으로써 달성될 수 있다. 이미 2가지 상태, 즉 상태 210 및 220가 존재한다. 본 실시예에서는, 배경에 서브-분해능(sub-resolution) 보조 다각형(assist polygon)을 구현하고 모든 서브분해능 보조 다각형에 동일 상태(예컨대, 상태 220)를 할당함으로써, 배경을 위한 제3 상태가 생성된다. 배경은 메인 다각형이 없는 영역으로서 정의되고 필드(field)는 메인 다각형 및 보조 다각형이 없는 영역으로서 정의된다는 것을 유의하자. 필드 및 서브-분해능 보조 다각형은 집합적으로 배경을 정의한다. 그러면, 필드는 보조 다각형에 할당된 바와는 상이한 또다른 상태(예컨대, 상태 210)와 연관된다. 서브-분해능 보조 다각형은 리소그래피 노출 프로세스 동안 인쇄 가능하지 않다. 서브-분해능 보조 다각형의 적어도 하나의 에지는 λ/NA보다 더 짧은데, 여기에서 λ는 방사선 소스의 파장이고 NA는 POB(projection optics box)의 개구 수이다. 서브-분해능 보조 다각형이 인쇄가능하지 않으므로, 배경은 집합적으로 제1 및 제2 상태(210 및 220)의 유효 반사 계수와는 상이한 유효 반사 계수를 갖는다. 따라서, 배경의 유효 반사 계수는 배경에서의 다양한 영역들(서브-분해능 보조 다각형 및 필드)의 반사 계수의 영역 가중화된 평균이다.
따라서, 도 5에 예시된 바와 같이, 마스크(40)는 3가지 상이한 상태를 갖는다. 인접한 메인 다각형(310 및 320)은 상이한 상태(예컨대, 각각 상태 210 및 220)로 할당된다. 배경에서, 필드(330) 및 서브-분해능 보조 다각형(340)은 상이한 상태(예컨대, 각각 상태 210 및 220)로 할당됨으로써, 제1 및 제2 마스크 상태(210 및 220)와는 상이한 제3 마스크 상태를 갖는 배경을 생성한다. 서브-분해능 보조 다각형(340)이 인쇄가능하지 않으므로, 배경은 제1 및 제2 상태의 유효 반사 계수와는 상이한 유효 반사 계수를 갖지만, 배경에서의 서브-분해능 보조 다각형(340)의 패턴 밀도에 의해 튜닝가능하다.
리소그래피 노출 프로세스 동안, 마스크(40)는 회절 광 및 비-회절 광을 생성하도록 0.3보다 작은 부분 간섭성(partial coherence) σ으로 거의 ONI(on-axis illumination)에 의해 노출되며, 대부분의 비-회절 광을 제거하고, 타겟을 노출시키도록 POB에 의해 회절 광 및 제거되지 않은 비회절 광을 집광 및 지향시킨다.
본 실시예에서, 마스크(40)는 EUV 리소그래피 프로세스를 위한 AltPSM(alternating phase-shifting mask)와 같은 위상 반전 마스크이다. 마스크(40)는 복수의 메인 다각형(IC 패턴용) 및 보조 다각형을 포함한다. 인접한 메인 다각형들에는 상이한 상태가 할당된다. 예를 들어, 다양한 메인 다각형(310 및 320)에 각각 상태 210 및 220가 할당된다. 서브-분해능 보조 다각형은 EUV 리소그래피 프로세스 동안 타겟(예컨대, 웨이퍼)에 이미징되지 않는다. 하지만, 이들은 배경의 (유효)반사 계수를 변경한다. 본 실시예에서, 배경에서의 패턴 밀도는 실질적으로 균일하다. 배경에서의 패턴 밀도는 단위 면적 내의 보조 다각형의 총 면적으로서 정의된다. 배경의 (유효) 반사 계수는 배경에서의 패턴 밀도를 변화시킴으로써 튜닝될 수 있다. 메인 다각형 및 보조 다각형은 제2 반사성 층(150)을 패터닝함으로써 형성된다.
또한, 일부 실시예에서, 상태 210으로부터의 반사된 EUV 광 및 상태 220으로부터의 반사된 EUV 광은 180° 위상 차이를 갖는다. 이 경우에, 0차 회절 차수의 진폭(마스크(40) 상의 메인 다각형, 보조 다각형, 및 필드를 포함한 다양한 영역들의 영역 가중화된 반사 계수에 비례함)은 패턴 밀도를 튜닝함으로써 제로일 수 있다. 예를 들어, r2=r1이고 패턴 밀도가 50%일 때, 0차 회절 차수의 진폭은 0이다. 따라서, 0차 회절 차수의 제거로 인한 노출 선량 손실이 최소화되고 노광 툴의 쓰루풋이 최대화된다.
제2 반사성 층(50)은 상태 210의 반사 계수에 대한 상태 220의 반사 계수를 결정한다. 도 2와 연관된 실시예에서, (상대) 반사 계수는 약 -0.7757이다. 도 3과 연관된 실시예에서, (상대) 반사 계수는 약 -0.8665이다. 여기에서 “-” 부호는 180° 위상 차이를 나타낸다.
본 개시의 상이한 실시예들에 의해 다양한 이점이 제공된다. 마스크(40)가 교번(alternating) 위상 반전 마스크일 때, 이미징 품질, 콘트라스트, 및 분해능이 개선된다. 마스크(40)는 다른 교번 위상 반전 마스크에 비교하여 패턴 불균형 문제가 없다. 하나의 실시예에서, 진폭 A가 실질적으로 1에 가까우므로(도 2와 연관된 실시예에서 0.776 및 도 3과 연관된 실시예에서 0.867), 전체 방사선 에너지 손실은 실질적으로 낮으며, 약 40 % 이하이다. 따라서, 리소그래피 노출 프로세스의 노출 지속기간이 감소되고 쓰루풋이 증가된다. 다양한 실시예에서, 마스크(40)의 패터닝된 층(제2 반사성 층(150))의 두께는 90 nm 이하로 감소되고, 노출 프로세스 중의 쉐도우 효과는 감소되거나 없어진다. 흡수 층이 아예 사용되지 않거나 적은 감쇄 재료가 패터닝된 층(150)을 형성하는데 사용되므로, 방사선 에너지 손실이 감소된다. 다른 실시예에서, 도 5에서의 마스크(400)는, 서브-분해능 보조 다각형(340)이 제1 상태 210에 있고 필드(330)가 제2 상태 220에 있도록 설계된다.
도 6은 본 개시의 실시예에 따라 패터닝된 레지스트 층을 형성하는 방법의 흐름도이다. 방법(400)은 기판 위에 레지스트 층이 형성되는 동작 S410에서 시작된다. 동작 S420에서, 최적의 노출 선량(EOP)이 결정된다. 주어진 리소그래피 프로세스를 겪는 주어진 레지스트 층은, 노출되지 않은 부분에 비교하여 레지스트 층의 노출된 부분을 거동을 신뢰성있게 변경하기 위한 최소한의 노출 선량인, 명확한 문턱 노출 선량(ETH)을 갖는다(마스크 상의 패턴이 채용된 방사선 소스의 파장에 비교하여 클 때). 예를 들어, 레지스트 층이 포지티브톤(positive-tone) 레지스트 재료를 포함하는 경우, 레지스트 층의 노출된 부분은 문턱 노출 선량을 넘어 가용성이 되며, 그리하여 레지스트 층의 노출된 부분은 현상 프로세스 동안 제거되고, 현상된 레지스트 층은 패턴을 포함한다. 또다른 실시예에서, 레지스트 층이 네가티브톤(negative-tone) 레지스트 재료를 포함하는 경우, 레지스트 층의 노출된 부분은 문턱 노출 선량을 넘어 불용성이 되며, 그리하여 레지스트 층의 노출되지 않은 부분은 현상 프로세스 동안 제거되고, 현상된 레지스트 층은 패턴을 포함한다. 하지만, 다양한 실세계 효과로 인해, 문턱 선량이 불충분하게 될 수 있다. 예를 들어, 에어리얼 이미지의 콘트라스트를 감소시키는 회절 효과는, 마스크 상의 패턴의 크기가 채용된 방사선 소스의 파장에 가깝거나 그보다 더 작을 때, 현저하게 된다. 또다른 실시예에서, 노출-후 베이킹 프로세스는 화학적 증폭된 레지스트에서의 산 확산, 소광제에 의한 산 소멸 등과 같은 효과를 나타낸다. 이를 보정하기 위하여, 일부 실시예에서, 대응하는 단일 노출 프로세스 하에 웨이퍼 상의 미리 지정된 타겟 치수를 달성하기 위한 미리 지정된 테스트 패턴에 대한 노출 선량에 기초하여 최적의 노출 선량이 결정된다. 동작 S430에서, 복수의 노출 프로세스를 수행함으로써 레지스트 층에 패턴이 형성된다. 일부 실시예에서, 복수의 노출 프로세스는 극자외선 방사선(EUV)을 사용하여 수행되고, 각각의 노출 프로세스에 대한 방사선은 대략 동일한 파장을 갖는다. 동작 S430의 복수의 노출 프로세스에서, 각각의 노출 프로세스는 최적의 노출 선량보다 더 적은 노출 선량을 이용하고, 복수의 노출 프로세스의 총 노출 선량(ET)은 최적의 노출 선량과 대략 동일하다(다르게 말하자면, E1<EOP, E2<EOP,..., EN<EOP 및 ET=E1+E2+E3+ ... +EN
Figure 112019104987441-pat00001
EOP, 여기에서 N은 수행되는 노출 프로세스의 총 횟수임). 일부 실시예에서, 복수의 노출 프로세스의 총 노출 선량(ET)은 최적의 노출 선량(EOP)의 약 90% 내지 약 110% 범위 내에 있다.
각각의 노출 프로세스 동안, 레지스트 층은 동일 집적 회로 패턴과 같은 동일 패턴에 노출된다. 실시예에서, 각각의 노출 프로세스는 레지스트 층을 노출시키도록 단일 위상 반전 마스크의 상이한 부분을 사용하는데, 마스크의 각각의 부분은 동일 패턴을 갖는다. 또다른 실시예에서, 각각의 노출 프로세스는 레지스트 층을 노출시키도록 상이한 위상 반전 마스크를 사용하는데, 각각의 마스크는 동일 패턴을 갖는다. 단일 위상 반전 마스크 및 상이한 위상 반전 마스크는 결함(예컨대, 범프 결함, 피츠 결함, 다른 유형의 결함, 또는 이들의 조합) 및 위상 결함 영역을 포함할 수 있다. 레지스트 층에 패턴을 형성하는 것은 현상 프로세스를 더 포함하는데, 노출된 레지스트 층에 현상 용액이 적용된다. 레지스트 층은 또한, 일부 실시예에서 레지스트 층이 노출되기 전에 그리고/또는 후에 베이킹 프로세스에 노출된다. 방법(400) 전에, 방법(400) 동안, 그리고 방법(400) 후에 추가의 단계가 제공될 수 있고, 방법(400)의 추가 실시예에 대하여, 기재된 단계의 일부가 교체되거나 제거되거나 뒤바뀔 수 있다. 이어지는 다음 설명은, 도 6의 방법(400)에 따라 패터닝되는 레지스트 층의 다양한 실시예를 예시한다.
도 7 내지 도 8d 및 도 8f는 도 6의 방법(400)의 다양한 단계 중의 레지스트 층의 다양한 개략 단면도들이다. 도 7 내지 도 8d 및 도 8f는 본 개시의 발명의 개념을 보다 잘 이해하도록 명확하게 하기 위해 단순화되었다. 도 7에서, 웨이퍼(510)와 같은 반도체 기판(510)이 제공된다. 일부 실시예에서, 기판(510)은 집적 회로(IC) 디바이스의 다양한 특징부들을 형성하기 위한 재료 층들을 제공하도록 프로세싱이 수행되는 임의의 베이스 재료이다. 도시된 실시예에서, 웨이퍼(510)는 실리콘(즉, 실리콘 웨이퍼)을 포함한다. 대안으로서 또는 추가적으로, 웨이퍼(510)는, 게르마늄과 같은 또다른 원소 반도체; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비소화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP을 포함한 합금 반도체; 또는 이들의 조합을 포함한다. 또 다른 실시예에서, 반도체 기판(510)은 SOI(semiconductor on insulator) 기판이다. 반도체 기판(510)은 대안으로서 재료 층으로 지칭될 수 있고, 또는 반도체 기판(510)은 그 위에 레지스트 층이 형성될 재료 층을 포함할 수 있다. 실시예에서, 재료 층은 금속 층, 반도체 층, 또는 유전체 층이다. 또다른 실시예에서, 재료 층은, 실리콘 산화물 층 또는 실리콘 질화물 층과 같은 하드 마스크 층이다.
레지스트 층(520)이, 예를 들어 스핀-온 코팅 기술에 의해 웨이퍼(510) 위에 퇴적된다. 레지스트 층(520)은 또한 포토레지스트 층, 감광 층, 이미징 층, 패터닝 층, 또는 방사선 감지 층으로도 지칭된다. 레지스트 층(520)을 퇴적하기 전에 웨이퍼(510)에 대해 탈이온수(DI water) 린스와 같은 린싱 프로세스가 수행될 수 있다. 레지스트 층(520)에 소프트 베이킹 프로세스(도포-후 베이킹 프로세스로도 지칭됨)와 같은 베이킹 프로세스가 가해질 수 있다. 도시된 실시예에서, 레지스트 층(520)은 포지티브톤 레지스트 재료를 포함한다. 대안으로서, 레지스트 층(520)은 네가티브톤 레지스트 재료를 포함한다. 레지스트 층(520)은 약 10 nm 내지 약 1,000 nm의 두께와 같은 임의의 적합한 두께를 갖는다.
도 8a 내지 도 8f에서, 레지스트 층(520)은 패터닝된 레지스트 층(520A)을 형성하도록 패터닝된다. 레지스트 층(520)을 패터닝하는 것은, 도 8a 내지 도 8d에 도시된 바와 같이 1회보다 많은 노출 프로세스를 수행하는 것을 포함하며, 각각의 노출 프로세스는 대응하는 단일 노출 프로세스에 대한 최적의 노출 선량(EOP)보다 더 적은 노출 선량을 사용한다. 도시된 실시예에서, 제1 노출 프로세스(530)(도 8a), 제2 노출 프로세스(540)(도 8b), 제3 노출 프로세스(550)(도 8c), 및 제4 노출 프로세스(560)(도 8d)는 각각, 방사선의 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3), 및 제4 노출 선량(E4)으로 각각 레지스트 층(520)을 선택적으로 조사함으로써 레지스트 층(520)에 잠상(latent image) 패턴(532D)을 형성하도록, 동일 회로 패턴을 갖는 단일 위상 반전 마스크의 상이한 영역 또는 상이한 위상 반전 마스크를 사용한다. 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3), 및 제4 노출 선량(E4)은 각각 최적의 노출 선량(EOP)보다 더 적다. 또한, 본 실시예에서, 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3), 및 제4 노출 선량(E4)의 총 노출 선량(ET)은 최적의 노출 선량(EOP)과 대략 동일하며(다르게 말하자면, ET=E1+E2+E3+E4=EOP), 그리하여 잠상 패턴(532)은 제4 노출 프로세스(560) 후에 현상 가능하다. 일부 실시예에서, 복수의 노출 프로세스의 총 노출 선량(ET)은 최적의 노출 선량(EOP)의 약 90% 내지 약 110% 범위 내에 있다.
도시된 실시예에서, 제1 노출 프로세스(530), 제2 노출 프로세스(540), 제3 노출 프로세스(550), 및 제4 노출 프로세스(560)는 약 100 nm보다 작은 파장을 갖는 방사선, 예컨대 극자외선(EUV) 범위, x-레이 범위, DUV(deep ultraviolet) 범위, 진공 자외선 범위, 또는 이들의 조합 내의 방사선으로 레지스트 층(520)을 조사한다. 실시예에서, 방사선은 약 13.5 nm와 동일한 파장을 갖는 EUV 방사선이다. 대안으로서, 일부 실시예에서, 방사선은 약 100 nm보다 더 큰 파장 범위를 갖는다. 예를 들어, 방사선 소스는, 248 nm의 파장을 갖는 KrF(krypton fluoride) 엑시머 레이저, 193 nm의 파장을 갖는 ArF(argon fluoride) 엑시머 레이저, 157 nm의 파장을 갖는 F2(fluorine dimer) 엑시머 레이저, 또는 기타 광원과 같은 광원일 수 있다. 일부 실시예에서, 2회의 노출 프로세스만으로 또는 4회보다 많은 노출 프로세스로 레지스트 층(520)에 현상 가능한 잠상 패턴을 형성할 수 있다.
제1 노출 프로세스(530), 제2 노출 프로세스(540), 제3 노출 프로세스(550), 및 제4 노출 프로세스(560)에 사용되는 위상 반전 마스크는, 노출 프로세스(530, 540, 550 및 560)의 각각 동안 레지스트 층(520)에 전사되는, 설계 레이아웃에 따른 집적 회로 패턴과 같은 패턴을 포함한다. 실시예에서, 위상 반전 마스크는 반사성 마스크이다. 예에서, 동일 집적 회로 패턴을 갖는 상이한 위상 반전 마스크(Mask 1, Mask 2, Mask 3, Mask 4)가 제1 노출 프로세스(530), 제2 노출 프로세스(540), 제3 노출 프로세스(550), 및 제4 노출 프로세스(560)에 사용된다. 일부 실시예에서, 도 8e에 도시된 바와 같이, Mask 1, Mask 2, Mask 3, 및 Mask 4는 단일 위상 반전 마스크의 상이한 영역들이다.
도 8a를 참조하면, 제1 노출 프로세스(530)는 제1 노출 선량(E1)의 방사선을 위상 반전 마스크(PSM) MASK 1에 투사하고, 방사선의 일부가 레지스트 층(520)으로 반사되며, 그에 의해 PSM MASK 1의 패턴을 레지스트 층(520)에 전사하여 잠상 부분(532A)을 포함하는 잠상 패턴(532)을 형성한다. 일부 실시예에서, 레지스트 층(520)이 포지티브톤 레지스트 재료를 포함하는 경우, 잠상 부분(532A)은 부분적으로 가용성이 된다. 그 다음, 도 8b를 참조하면, 제2 노출 프로세스(540)는 제2 노출 선량(E2)의 방사선을 PSM MASK 2에 투사하고, 방사선의 일부가 레지스트 층(520)으로 반사되며, 그에 의해 PSM MASK 2의 패턴을 레지스트 층(520)에 전사하여 잠상 패턴(532), 특히 잠상 부분(532A)의 가용성을 증가시킨다. 따라서 잠상 패턴(532)은 잠상 부분(532A)보다 더 가용성이 높은 잠상 부분(532B)을 포함한다. 잠상 부분의 가용성은 제2 노출 프로세스(540)에서 제공된 추가의 노출 선량 때문에 증가된다. 도 8c를 참조하면, 제3 노출 프로세스(550)는 제3 노출 선량(E3)의 방사선을 PSM MASK 3에 투사하고, 방사선의 일부가 레지스트 층(520)으로 반사되며, 그에 의해 PSM MASK 3의 패턴을 레지스트 층(520)에 전사하여 잠상 패턴(532), 특히 잠상 부분(532B)의 가용성을 더 증가시킨다. 따라서 잠상 패턴(532)은 잠상 부분(532B)보다 더 가용성이 높은 잠상 부분(532C)을 포함한다. 도 8d를 참조하면, 제4 노출 프로세스(560)는 제4 노출 선량(E4)의 방사선을 PSM MASK 4에 투사하고, 방사선의 일부가 레지스트 층(520)으로 반사되며, 그에 의해 PSM MASK 4의 패턴을 레지스트 층(520)에 전사하여 잠상 패턴(532), 특히 잠상 부분(532C)의 가용성을 더 증가시킨다. 따라서 잠상 패턴(532)은 잠상 부분(532C)보다 더 가용성이 높은 잠상 부분(532D)을 포함한다. 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3) 및 제4 노출 선량(E4)의 총 노출 선량이 최적의 노출 선량(EOP)과 대략 동일하기 때문에(최적의 노출 선량의 약 90% 내지 약 110%의 범위 내임), 잠상 부분(532D)은 가용성이며, 그리하여 잠상 패턴(532)은 현상 가능하다.
전술한 복수의 노출 프로세스는 스텝핑(stepping) 및 스캐닝(scanning)에 의해 전체 웨이퍼를 노출할 때 스캐너가 취하는 동작들과 완전히 양립 가능하다. 본 개시의 실시예에 따라 복수의 노출 프로세스로부터의 결과로서 웨이퍼 쓰루풋에 미치는 영향은 최소화될 수 있다. 위상 반전 마스크(PSM)는 감소된 노출 선량이 사용될 수 있게 해준다. 따라서, 복수의 노출이 사용되더라도, 각각의 노출 선량은, PSM이 사용되지 않았더라면 필요했을 것보다 더 적으며, 그에 의해 웨이퍼 쓰루풋을 증가시킨다. 따라서, 본 개시의 실시예는, 웨이퍼 쓰루풋의 증가 및 마스크 결함으로 인한 워크피스 거절 감소의 시너지를 제공한다. 랜덤 분포된 마스크 결함의 영향을 감소시키는 것 이외에도, 본 개시의 실시예는 또한, 라인 에지 거칠기 및 마스크 패턴의 레지스트레이션 오차와 같은 다른 랜덤 오차의 영향을 감소시키는 데에도 효과적이다.
레지스트 층(520)에 잠상 패턴(532)을 형성하기 위해, 각각의 노출 프로세스가 최적의 노출 선량보다 더 적은 노출 선량을 사용하여 동일 패턴에 레지스트 층(520)을 노출시키는 것인, 복수의 노출 프로세스를 사용하는 것은, 복수의 노출 프로세스 동안 사용되는 마스크의 결함 또는 결함 영역의 영향을 감소시킨다. 예를 들어, 도시된 실시예에서, 제1 노출 프로세스(530), 제2 노출 프로세스(540), 제3 노출 프로세스(550), 및 제4 노출 프로세스(560) 동안 사용된 마스크 또는 마스크 영역은 결함 또는 결함 영역을 갖는다. 상이한 위상 반전 마스크가 사용되는 예에서, MASK 1, MASK 2, MASK 3, MASK 4는 위상-결함 영역을 포함한다. 또는, MASK의 상이한 부분들이 사용되는 실시예에서, 상이한 부분들 중의 하나 이상은 위상-결함 영역을 포함한다. MASK 1, MASK 2, MASK 3, 및 MASK 4 또는 위상 반전 마스크의 상이한 부분들에 투사된 노출 선량이 최적의 노출 선량보다 더 적기 때문에, MASK 1, MASK 2, MASK 3, 및 MASK 4 또는 위상 반전 마스크의 상이한 부분들에서의 이러한 위상-결함 영역의 영향은 크게 감소된다. 이러한 결함 또는 결함 영역이 다양한 마스크 또는 다양한 마스크 영역에 랜덤으로 위치되어 있으므로, 어떠한 결함 또는 결함 영역도 풀(full) 노출 선량을 받지 않을 것이다. 보다 구체적으로, 각각의 개별 결함 또는 결함 영역에 의해 영향을 받는 에어리얼 이미지 강도가 감소되며, 그리하여 이러한 결함 또는 결함 영역의 인쇄적성을 감소시킨다.
상이한 실시예들은 상이한 이점을 가질 수 있고, 임의의 실시예의 어떠한 특정 이점도 요구되지 않는다. 하나의 실시예에서, 각각의 노출을 위한 노출 선량은 최적의 노출 선량(EOP)를 N으로 나눈 값이며, N은 총 노출 횟수이다. 따라서, 본 실시예에서, 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3), 및 제4 노출 선량(E4)은 최적의 노출 선량(EOP)의 1/4과 실질적으로 동일하다. 대안으로서, 제1 노출 선량(E1), 제2 노출 선량(E2), 제3 노출 선량(E3), 및 제4 노출 선량(E4)은 최적의 노출 선량(EOP)의 가변 분율(varying fractions)과 대략 동일하다. 복수의 EUV 노출 프로세스 각각의 노출 선량은 결함 인쇄적성에 따라 조정될 수 있다. 따라서, 마스크 또는 마스크 영역 상의 결함이 인쇄 가능성이 더 높다면, 그 마스크 또는 마스크 영역에 대한 노출 선량이 감소된다. 일부 실시예에서, 복수의 노출 선량의 총 노출 선량(ET)은 최적의 노출 선량(EOP)의 90% 내지 110% 범위 내에 있다.
레지스트 층(520)이 풀 노출을 받은 후에, 레지스트 층(520)에 노출-후 베이크(PEB; post-exposure bake) 프로세스가 가해질 수 있다. 도 8f를 참조하면, 레지스트 층(520)에 대해 현상 프로세스(570)가 수행되며, 그에 의해 패터닝된 레지스트 층(520A)을 제공한다. 현상 프로세스(570) 동안, 현상 용액이 레지스트 층(520)에 적용된다. 실시예에서, 현상 용액은 TMAH(tetramethylammonium hydroxide)와 같은 염기성 용액이다. 레지스트 층(520)의 특성에 따라, 대략 2.38% TMAH 현상제 용액과 같은, TMAH 현상제 용액의 임의의 농도 레벨이 이용된다. 현상 용액은 레지스트 재료에 따라 레지스트 층(520)의 노출된 또는 노출되지 않은 부분을 제거한다. 예를 들어, 본 실시예에서, 레지스트 층(520)은 포지티브톤 레지스트 재료를 포함하고, 그리하여 현상 프로세스(570)는 레지스트 층(520)의 노출된 부분(잠상 패턴(522))을 제거하며(용해시키며), 웨이퍼(510) 위에 레지스트 층(520)의 노출되지 않은 부분을 남긴다. 대안으로서, 레지스트 층(520)이 네가티브톤 레지스트 재료를 포함하는 경우에, 현상 프로세스(570)는 레지스트 층(520)의 노출되지 않은 부분을 제거하며(용해시키며), 웨이퍼(510) 위에 레지스트 층(520)의 노출된 부분을 남긴다. 일부 실시예에서 탈이온수(DI water) 린스와 같은 린싱 프로세스가 수행된다. 일부 실시예에서, 린싱 프로세스는 잔여 파티클을 제거한다.
패터닝된 레지스트 층(520A)은 아래의 웨이퍼(510)의 일부를 노출시키는 개구(572)를 포함한다. 후속 프로세싱은 에칭에 의해 노출된 웨이퍼(510)의 일부를 제거하는 것을 포함할 수 있다. 대안으로서, 금속 퇴적, 이온 주입, 또는 다른 프로세스가 웨이퍼(510)에 대해 수행될 수 있다. 그 다음, 패터닝된 레지스트 층(520A)은 임의의 적합한 프로세스에 의해 제거(또는 스트리핑)될 수 있다. 예를 들어, 패터닝된 레지스트 층(520A)은 유체(또는 스트리핑 용액)를 이용해 제거될 수 있다. 일부 경우에, 웨이퍼(510)의 노출된 부분에 이온 충돌 또는 플라즈마 트리트먼트 프로세스가 가해지는 경우에, 유체는 이온 충돌된, 패터닝된 레지스트 층(520A) 및/또는 플라즈마 트리트먼트된 패터닝된 레지스트 층(520A)을 스트리핑한다. 패터닝된 레지스트 층(520A)이 제거된 후에, 집적 회로 디바이스의 제조를 완료하도록 후속 프로세싱이 이어질 수 있다. 일부 실시예에서, 집적 회로 디바이스의 추가의 특징부를 형성하도록 추가의 패터닝 프로세스, 에칭 프로세스, 퇴적 프로세스, 및 기타 프로세스가 수행될 수 있다.
본 개시의 실시예에 따른 포토리소그래피 장치(900)가 도 9에 예시되어 있다. 위에 포토레지스트 층(520)이 코팅되어 있는 반도체 기판(510)이 포토리소그래피 장치(900)에서 방사선에 노출된다. 포토레지스트 코팅된 반도체 기판은 웨이퍼 스테이지(910)에 의해 지지된다. 포토마스크(40)가 마스크 스테이지(905)에 의해 지지된다. 일부 실시예에서, 포토마스크(40)와 포토레지스트 층은 거리(D1)만큼 떨어져 있다. 일부 실시예에서, 웨이퍼 스테이지(910)는 포토마스크(40)에 대하여 측방향으로(L) 또는 수직으로(V) 이동하도록 구성된다. 측방향 이동(L)은 X 축 또는 Y 축을 따른(페이지 안으로) 이동을 포함한다. 수직 이동(V)은 Z 축을 따라 이루어진다. 일부 실시예에서, 웨이퍼 스테이지(910)는 포토마스크(40)에 대하여 수직으로 이동되며, 그에 의해 포토마스크(40)와 포토레지스트 층(520) 사이의 거리를 변경한다. 따라서, 일부 실시예에서, 포토마스크(40)와 포토레지스트 층(520) 사이의 거리는 초기 거리(D1)에 비해 증가되거나 감소된다. 일부 실시예에서, 웨이퍼 스테이지는 X 축 또는 Y 축을 중심으로 기울어지도록(tilt) 구성된다.
일부 실시예에서, 방사선은 극자외선 방사선이고, 포토마스크(40)는 반사성 EUV 위상 반전 포토마스크이다. 일부 실시예에서, 극자외선 방사선은 EUV 방사선 소스(915)에서 생성된다. EUV 방사선 소스(915)는 EUV 방사선(534)을 생성하고, 이는 포토마스크(40)를 향해 지향되며 반사성 포토마스크(40) 밖으로 반사된다. 반사된 EUV 방사선(536)은 포토마스크(40)에서의 패턴에 따른 패턴 정보를 포함한다. 패턴 정보를 지닌 반사된 EUV 방사선(536)은 패턴별(patternwise) 방식으로 포토레지스트 층(520)을 노출시키며, 그에 의해 포토마스크 패턴에 대응하는 포토레지스트 층(520)에서의 잠재 패턴을 형성한다. 적합한 현상제를 사용하여 노출된 포토레지스트 층을 현상함으로써 패턴별 노출된 포토레지스트 층에 패턴이 형성된다. 포토레지스트는 포지티브톤 레지스트 또는 네가티브톤 레지스트이다. 일부 실시예에서 포토레지스트에서의 패턴은 적합한 에칭 동작을 사용하여 아래의 층 안으로 연장된다. 에칭 동작은 습식 에칭 동작 또는 건식 에칭 동작일 수 있다. 아래의 층에 패턴을 형성한 후에, 적합한 포토레지스트 스트리핑 또는 플라즈마 애싱 동작에 의해 남은 포토레지스트가 제거된다.
EUV 리소그래피 장치(900)는 약 1 nm와 약 100 nm 사이 범위의 파장을 갖는 EUV 광과 같은 EUV 광을 생성하도록 EUV 방사선 소스(915)를 채용한다. 하나의 특정 예에서, EUV 방사선 소스(915)는 약 13.5 nm가 중심인 파장을 갖는 EUV 광을 생성한다. 본 실시예에서, EUV 방사선 소스(195)는 EUV 방사선을 생성하도록 LPP(laser-produced plasma)의 메커니즘을 이용한다.
EUV 방사선 소스(195)는 타겟 액적(target droplet) 생성기, LPP 콜렉터(collector)를 포함한다. 일부 실시예에서, 타겟 액적은 주석(Sn), 리튬(Li), 또는 Sn과 Li의 합금의 액적이다. 일부 실시예에서, 타겟 액적은 각각 약 10 미크론(μm) 내지 약 100 μm 범위의 직경을 갖는다. 예를 들어, 실시예에서, 타겟 액적은 약 10 μm 내지 약 100 μm의 직경을 갖는 주석 액적이다. 다른 실시예에서, 타겟 액적은 약 25 μm 내지 약 50 μm의 직경을 갖는 주석 액적이다. 일부 실시예에서, 타겟 액적은 타겟 액적 생성기의 노즐을 통해 공급된다. 타겟 액적은 그 후에 노즐을 통한 타겟 액적의 토출과 동기하여 펄싱하는 레이저에 의해 가열된다. 일부 실시예에서, 타겟 액적이 여기 구역(excitation zone)을 통해 이동함에 따라, 레이저 프리-펄스(pre-pulse)는 타겟 액적을 가열하고 이를 저밀도 타겟 플륨(plumes)으로 변환하며, 이는 그 후에 고온 플라즈마를 생성하는 레이저의 메인 펄스에 의해 가열된다. 플라즈마는 EUV 방사선을 방출하며, 이는 콜렉터에 의해 수집되어 리소그래피 노출 프로세스를 위해 포커싱된다.
일부 실시예에서, 레이저는 전자기 스펙트럼의 적외선 영역의 파장을 갖는 이산화탄소(CO2) 또는 Nd:YAG 레이저 소스를 포함한다. 일부 실시예에서, 레이저는 9.4 μm 또는 10.6 μm의 파장을 갖는다.
일부 실시예에서, 포토레지스트 층(520) 상의 패턴의 크기를 더 감소시키거나 EUV 방사선을 포커싱하기 위해, 필요한 대로, EUV 방사선 소스(915)와 포토마스크(40) 사이 또는 포토마스크(40)와 포토레지스트 층(520) 사이에 추가적인 광학기기(optics)가 존재한다. 여기에서 사용될 때에, 용어 “광학기기”는, 입사 광에 대해 반사 및/또는 투과 및/또는 작용하는 하나 이상의 컴포넌트를 포함하지만 반드시 이에 한정되는 것은 아니도록 광범위하게 해석되는 것으로 의도되며, 하나 이상의 렌즈, 윈도우, 필터, Ÿ‡지, 프리즘, 그리즘, 그레이팅, 투과 섬유, 에탈론, 확산기, 균질화기, 검출기 및 기타 장비 컴포넌트, 조리개, 액시콘 및 다층 미러, 거의-수직 입사 미러, 그레이징 입사 미러, 정반사기, 확산 반사기 및 이들의 조합을 포함한 미러를 포함하지만, 이에 한정되는 것은 아니다. 또한, 달리 지정되지 않는 한, 용어 “광학기기”는 여기에서 사용될 때에, 단독으로 작용하는 컴포넌트에 한정되지 않으며, 또는 EUV 출력 광 파장, 조사 레이저 파장, 계측에 적합한 파장, 또는 임의의 다른 특정 파장과 같은 하나 이상의 특정 파장 범위(들) 내의 이점에도 한정되지 않는 것으로 의도된다.
일부 실시예에서, 웨이퍼 스테이지(910)의 이동 및 노출 방사선의 생성은 컨트롤러에 의해 제어된다. 도 10a 및 도 10b는 본 개시의 일부 실시예에 따른 컨트롤러(920)를 예시한다. 실시예의 일부에서, 컨트롤러(920)는 컴퓨터 하드웨어 및 컴퓨터 프로그램을 포함한 컴퓨터 시스템이다. 도 10a는 방사선 생성 및 웨이퍼 스테이지 이동을 제어하는 컴퓨터 시스템의 개략도이다. 전술한 실시예의 프로세스의 전부 또는 일부, 방법 및/또는 동작은 컴퓨터 하드웨어 및 그 상에서 실행되는 컴퓨터 프로그램을 사용하여 구현될 수 있다. 동작들은 웨이퍼 스페이지의 이동, 하나의 위상 반전 마스크의 또다른 위상 반전 마스크로의 교환, 노출 샷(exposure shot)의 시퀀스, 노출 선량, Sn 액적 생성의 주파수 및 레이저 펄스의 타이밍을 포함한 EUV 방사선의 생성을 포함한다. 일부 실시예에서, 컴퓨터 시스템은 광학 디스크 판독 전용 메모리(예컨대, CD-ROM 또는 DVD-ROM) 드라이브(945) 및 자기 디스크 드라이브(950), 키보드(930), 마우스(935), 및 모니터(940)를 포함한 컴퓨터(925)를 구비한다.
도 10b는 컨트롤러(920)의 일부 실시예의 내부 구성을 보여주는 도면이다. 도 10b에서, 컴퓨터(925)는, 광학 디스크 드라이브(945) 및 자기 디스크 드라이브(950)에 추가적으로, 마이크로 프로세싱 유닛(MPU; micro processing unit)(960)과 같은 하나 이상의 프로세서(960), 부트 업 프로그램과 같은 프로그램이 저장되는 ROM(965), MPU(960)에 접속되며 애플리케이션 프로그램의 커맨드가 임시 저장되고 임시 저장 영역이 제공되는 랜덤 액세스 메모리(RAM; random access memory)(970), 애플리케이션 프로그램, 시스템 프로그램, 및 데이터가 저장되는 하드 디스크(975), 및 MPU(960), RPM(965) 등을 접속시키는 버스(990)를 구비한다. 컴퓨터(925)는 LAN에의 접속을 제공하기 위한 네트워크 카드(도시되지 않음)를 포함할 수 있다는 것을 유의하자.
컨트롤러(920)가 전술한 실시예의 노출 샷의 시퀀스, 노출 선량, 및 웨이퍼 스테이지 이동의 기능들을 실행하게 하기 위한 프로그램은, 광학 디스크 드라이브(945) 또는 자기 디스크 드라이브(950)로 삽입되는 광학 디스크(980) 또는 자기 디스크(985)에 저장될 수 있으며, 하드 디스크(975)에 전송될 수 있다. 대안으로서, 프로그램은 네트워크(도시되지 않음)를 통해 컴퓨터(925)에 전송되고 하드 디스크(975)에 저장될 수 있다. 실행 시에, 프로그램이 RAM(970)으로 로딩된다. 프로그램은 광학 디스크(980) 또는 자기 디스크(985)로부터 또는 네트워크로부터 직접 로딩될 수 있다. 프로그램은, 예를 들어 컴퓨터(925)로 하여금 전술한 실시예에서의 포토마스크 데이터 생성 및 병합 장치의 기능을 실행하게 하도록 운영 체제(OS; operating system) 또는 써드 파티 프로그램을 반드시 포함하여야 하는 것은 아니다. 프로그램은 단지, 제어 모드로 적합한 기능(모듈)을 호출하고 원하는 결과를 얻기 위한 커맨드 부분을 포함할 수 있다.
도 11은 본 개시의 실시예에 따른 극자외선 리소그래피 방법을 예시한 흐름도이다. 동작 S1110에서, 동일 회로 패턴을 갖는 적어도 2개의 마스크 영역을 갖는 위상 반전 마스크가 제공된다. 동작 S1120에서 레지스트 층이 기판 위에 형성된다. 동작 S1130에서 포토레지스트 층(520)에 대하여 최적의 노출 선량(Eop)이 결정된다. 동작 S1140에서, 레지스트 층은 2개의 마스크 영역을 통해 레지스트 층의 동일 영역을 복수 회 노출시키도록 위상 반전 마스크를 사용하여 극자외선 방사선으로 조사된다. 예를 들어, 동일 패턴이 4개의 상이한 마스크 또는 마스크 영역을 사용함으로써 4회 노출될 때, 각각의 선량은 Eop/4와 같다. 레지스트 층을 극자외선 방사선으로 조사하는 것은 복수의 노출 프로세스를 포함하고, 복수의 노출 프로세스의 각각은 최적의 노출 선량보다 더 적은 극자외선 방사선의 노출 선량을 사용한다.
일부 실시예에서, 위상 반전 마스크의 2개의 마스크 영역 중의 적어도 하나는 결함을 포함한다. 일부 실시예에서, 최적의 노출 선량은 대응하는 단일 노출 프로세스 하에 타겟 치수를 달성하기 위한, 적어도 2개의 마스크 영역의 어느 하나 상의 패턴에 대한 노출에 기초한다. 일부 실시예에서, 총 노출 선량은 포토레지스트 층(520)에 대한 최적의 노출 선량이다. 일부 실시예에서, 최적의 노출 선량은 미리 결정되어 메모리에 저장된다. 최적의 노출 선량은 다양한 웨이퍼 크기, 포토레지스트 조성, 및 포토레지스트 층 두께에 대하여 결정되어 저장될 수 있다. 일부 실시예에서, 저장된 최적의 노출 선량은 노출 동작 전에 컨트롤러(900)에 입력된다.
일부 실시예에서, 노출은 스캐닝 노출이고, 반도체 기판(510)은 스캐닝 방사선이 포토레지스트 층(520)에서의 원하는 패턴을 노출시키도록 스캐닝 노출 동작 동안 이동된다. 노출 동작들 사이에 포토마스크(40)에 대한 반도체 기판(510)의 이동은, 반도체 웨이퍼를 지지하는 웨이퍼 스테이지(910)를 포토마스크(40)에 더 가까이 또는 더 멀어지도록, 예컨대 수직 방향으로 이동시키는 것, 또는 측방 방향으로, 예컨대 반도체 기판(510) 상의 하나의 다이로부터 또다른 다이로, 웨이퍼 스테이지(910)를 이동시키는 것(스텝핑)을 포함한다. 스텝핑 동작 동안, 웨이퍼 스테이지(910)는, 후속 노출 동작에서 이전 노출 동작에서와는 상이한 다이 위에 마스크의 동일 부분이 위치되도록 스텝핑된다. 일부 실시예에서 포토레지스트 층(520)의 제1 부분 및 제2 부분은 노출 동작 동안 동시에 노출된다.
그 다음, 일부 실시예에서, 반도체 기판(510)은 포토마스크(40)에 대하여 이동되며, 포토레지스트 층(520)의 제1 부분은 제3 마스크 영역을 사용하여 극자외선 방사선의 제3 노출 선량에 노출되고, 포토레지스트 층(520)의 제2 부분은 제2 마스크 영역을 사용하여 극자외선 방사선의 제3 노출 선량에 노출되고, 포토레지스트 층(520)의 제3 부분은 제1 마스크 영역을 사용하여 제3 노출 선량에 노출된다. 일부 실시예에서, 포토레지스트 층(520)의 제1, 제2 및 제3 부분은 노출 동작 동안 실질적으로 동시에 노출된다.
일부 실시예에서, 그 다음, 반도체 기판(510)은 포토마스크(40)에 대하여 이동되며, 포토레지스트 층(520)의 제1 부분은 제4 마스크 영역을 사용하여 극자외선 방사선의 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제2 부분은 제3 마스크 영역을 사용하여 극자외선 방사선의 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제3 부분은 제2 마스크 영역을 사용하여 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제4 부분은 제1 마스크 영역을 사용하여 극자외선 방사선의 제4 노출 선량에 노출된다. 일부 실시예에서 포토레지스트 층(520)의 제1, 제2, 제3 및 제4 부분은 노출 동작 동안 실질적으로 동시에 노출된다.
일부 실시예에서, 제1 마스크 영역, 제2 마스크 영역, 제3 마스크 영역, 및 제4 마스크 영역은 각각 동일 회로 또는 칩 패턴을 갖는다. 따라서, 동일 패턴 이미지가 포토레지스트 층(520)의 제1 부분에서 중첩된다.
일부 실시예에서, 제1 노출 선량, 제2 노출 선량, 제3 노출 선량, 및 제4 노출 선량은 상이하다. 일부 실시예에서, 제1 노출 선량과 제3 노출 선량은 동일하고, 제2 노출 선량과 제4 노출 선량은 동일하며, 일부 실시예에서, 제1 및 제3 노출 선량은 제2 및 제4 노출 선량와 상이하다. 일부 실시예에서, 제1 노출 선량, 제2 노출 선량, 제3 노출 선량, 및 제4 노출 선량의 각각은 타겟 노출 선량보다 더 적다. 일부 실시예에서, 포토레지스트 층의 각각의 부분이 받는 총 모든 노출 선량은 최적의 노출 선량과 실질적으로 동일하다. 일부 실시예에서, 제1, 제2, 제3 및 제4 노출 선량의 각각은 최적의 총 노출 선량의 약 1/4이다.
일부 실시예에서, 방법은 포토레지스트 층(520)의 각각의 부분이 동일 횟수 노출되도록 추가적인 노출 단계(샷)를 수행하는 것을 포함한다.
도 12는 본 개시의 실시예에 따른 극자외선 노출 방법(1200)을 예시한 흐름도이다. 동작 S1210에서, 동일 회로 패턴을 갖는 2개의 위상 반전 마스크가 제공된다. 동작 S1120에서 레지스트 층이 기판 위에 형성된다. 동작 S1230에서 포토레지스트 층(520)에 대하여 최적의 노출 선량이 결정된다. 동작 S1240에서, 레지스트 층은 2개의 위상 반전 마스크를 통해 레지스트 층의 동일 영역을 복수 회 노출시키도록 2개의 위상 반전 마스크를 사용하여 극자외선 방사선으로 조사된다. 레지스트 층을 극자외선 방사선으로 조사하는 것은 복수의 노출 프로세스를 포함하고, 복수의 노출 프로세스의 각각은 최적의 노출 선량보다 더 적은 극자외선 방사선의 노출 선량을 사용한다.
일부 실시예에서, 2개의 위상 반전 마스크 중의 적어도 하나는 결함을 포함한다. 일부 실시예에서, 최적의 노출 선량은 대응하는 단일 노출 프로세스 하에 타겟 치수를 달성하기 위한, 적어도 2개의 위상 반전 마스크의 어느 하나 상의 패턴에 대한 노출에 기초한다. 일부 실시예에서, 총 노출 선량은 포토레지스트 층(520)에 대한 최적의 노출 선량이다. 일부 실시예에서, 최적의 노출 선량은 미리 결정되어 메모리에 저장된다. 최적의 노출 선량은 다양한 웨이퍼 크기, 포토레지스트 조성, 및 포토레지스트 층 두께에 대하여 결정되어 저장될 수 있다. 일부 실시예에서, 저장된 최적의 노출 선량은 노출 동작 전에 컨트롤러(900)에 입력된다.
일부 실시예에서, 노출은 스캐닝 노출이고, 반도체 기판(510)은 스캐닝 방사선이 포토레지스트 층(520)에서의 원하는 패턴을 노출시키도록 스캐닝 노출 동작 동안 이동된다. 노출 동작들 사이에 마스크(40)에 대한 반도체 기판(510)의 이동은, 반도체 웨이퍼를 지지하는 웨이퍼 스테이지(910)를 포토마스크(40)에 더 가까이 또는 더 멀어지도록, 예컨대 수직 방향으로 이동시키는 것, 또는 측방 방향으로, 예컨대 반도체 기판(510) 상의 하나의 다이로부터 또다른 다이로, 웨이퍼 스테이지(910)를 이동시키는 것(스텝핑)을 포함한다. 스텝핑 동작 동안, 웨이퍼 스테이지(910)는, 후속 노출 동작에서 이전 노출 동작에서와는 상이한 다이 위에 하나의 마스크가 위치되도록 스텝핑된다. 일부 실시예에서 포토레지스트 층(520)의 제1 부분 및 제2 부분은 노출 동작 동안 동시에 노출된다.
그 다음, 일부 실시예에서, 반도체 기판(510)은 마스크(40)에 대하여 이동되며, 포토레지스트 층(520)의 제1 부분은 제3 위상 반전 마스크를 사용하여 극자외선 방사선의 제3 노출 선량에 노출되고, 포토레지스트 층(520)의 제2 부분은 제2 위상 반전 마스크를 사용하여 극자외선 방사선의 제3 노출 선량에 노출되고, 포토레지스트 층(520)의 제3 부분은 제1 위상 반전 마스크를 사용하여 제3 노출 선량에 노출된다. 일부 실시예에서, 포토레지스트 층(520)의 제1, 제2 및 제3 부분은 각각의 노출 동작 동안 실질적으로 동시에 노출된다.
일부 실시예에서, 그 다음, 반도체 기판(510)은 마스크(40)에 대하여 이동되며, 포토레지스트 층(520)의 제1 부분은 제4 위상 반전 마스크를 사용하여 극자외선 방사선의 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제2 부분은 제3 위상 반전 마스크를 사용하여 극자외선 방사선의 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제3 부분은 제2 위상 반전 마스크를 사용하여 제4 노출 선량에 노출되고, 포토레지스트 층(520)의 제4 부분은 제1 위상 반전 마스크를 사용하여 극자외선 방사선의 제4 노출 선량에 노출된다. 일부 실시예에서 포토레지스트 층(520)의 제1, 제2, 제3 및 제4 부분은 노출 동작 동안 실질적으로 동시에 노출된다.
일부 실시예에서, 제1 위상 반전 마스크, 제2 위상 반전 마스크, 제3 위상 반전 마스크, 및 제4 위상 반전 마스크는 각각 동일 회로 또는 칩 패턴을 갖는다. 따라서, 동일 패턴 이미지가 포토레지스트 층(520)의 제1 부분에서 중첩된다.
일부 실시예에서, 제1 노출 선량, 제2 노출 선량, 제3 노출 선량, 및 제4 노출 선량은 상이하다. 일부 실시예에서, 제1 노출 선량과 제3 노출 선량은 동일하고, 제2 노출 선량과 제4 노출 선량은 동일하며, 일부 실시예에서, 제1 및 제3 노출 선량은 제2 및 제4 노출 선량과 상이하다. 일부 실시예에서, 제1 노출 선량, 제2 노출 선량, 제3 노출 선량, 및 제4 노출 선량의 각각은 타겟 노출 선량보다 더 적다. 일부 실시예에서, 포토레지스트 층의 각각의 부분이 받는 총 모든 노출 선량은 최적의 노출 선량과 실질적으로 동일하다. 일부 실시예에서, 제1, 제2, 제3 및 제4 노출 선량의 각각은 최적의 총 노출 선량의 약 1/4이다.
일부 실시예에서, 방법은 포토레지스트 층(520)의 각각의 부분이 동일 횟수 노출되도록 추가적인 노출 단계(샷)를 수행하는 것을 포함한다.
도 13은 본 개시의 실시예에 따른 극자외선 리소그래피 방법(1300)을 예시한 흐름도이다. 동작 S1310에서, 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역이 제공된다. 동작 S1320에서 레지스트 층이 기판 위에 형성된다. 동작 S1330에서 레지스트 층(520)에 대하여 최적의 노출 선량이 결정된다. 최적의 노출 선량은, 대응하는 단일 노출 프로세스 하에 타겟 치수를 달성하기 위한, 적어도 2개의 위상 반전 마스크 영역의 하나 상의 미리 지정된 패턴에 대한 노출 선량에 기초한다. 동작 S1140에서, 2개의 위상 반전 마스크 영역을 사용하여 레지스트 층에 잠상이 형성된다. 잠상을 형성하는 것은 레지스트 층의 동일 영역에 대해 적어도 2회 노출을 수행하는 것을 포함한다. 적어도 2회 노출의 각각은 최적의 노출 선량보다 더 적은 노출 선량을 사용한다. 적어도 2회 노출 각각의 노출 선량의 합은 최적의 노출 선량과 대략 동일하다.
일부 실시예에서, 적어도 2회 노출을 수행하는 것은, 적어도 2회 노출 프로세스의 각각이 레지스트 층의 동일 영역 상에 적어도 2개의 위상 반전 마스크 영역의 동일 패턴을 투사하는 것을 포함한다. 일부 실시예에서, 적어도 2개의 위상 반전 마스크 영역은 단일 위상 반전 마스크로부터 이루어진다. 일부 실시예에서, 적어도 2개의 위상 반전 마스크 영역은 적어도 2개의 위상 반전 마스크로부터 이루어진다. 일부 실시예에서, 적어도 2회 노출 각각의 노출 선량은 서로 실질적으로 동일하다. 일부 실시예에서, 적어도 2회 노출 각각의 노출 선량은 서로 상이하다. 일부 실시예에서, 방법은 포토레지스트 층(520)의 각각의 부분이 동일 횟수 노출되도록 추가적인 노출 단계(샷)를 수행하는 것을 포함한다.
도 14는 본 개시의 실시예에 따른 극자외선 리소그래피 방법(1400)을 예시한 흐름도이다. 동작 S1410에서, 제1 위상 반전 마스크 영역이 제공된다. 동작 S1420에서 제1 위상 반전 마스크 영역과 동일한 패턴을 갖는 제2 위상 반전 마스크 영역이 제공된다. 동작 S1430에서 레지스트 층이 기판 위에 형성된다. 동작 S1440에서 레지스트 층(520)에 대하여 최적의 노출 선량이 결정된다. 레지스트 층의 동일 영역이, 제1 노출 선량으로 제1 위상 반전 마스크 영역을 사용하여 그리고 제2 노출 선량으로 제2 위상 반전 마스크 영역을 사용하여 노출된다. 제1 및 제2 노출 선량은 각각 최적의 노출 선량보다 더 적다.
일부 실시예에서, 제1 위상 반전 마스크 영역 및 제2 위상 반전 마스크 영역은 단일 위상 반전 마스크(40)로부터 이루어진다. 일부 실시예에서, 제1 위상 반전 마스크 영역 및 제2 위상 반전 마스크 영역은 2개의 위상 반전 마스크(40)로부터 이루어진다. 일부 실시예에서, 제1 위상 반전 마스크 영역과 제2 위상 반전 마스크 영역 중의 적어도 하나는 결함을 포함한다. 일부 실시예에서, 제1 노출 선량은 제2 노출 선량과 상이하다. 일부 실시예에서, 제1 노출 선량은 제2 노출 선량과 동일하다. 일부 실시예에서, 레지스트 층(520)의 동일 영역이 제4 노출 선량에 노출된다. 일부 실시예에서, 레지스트 층의 동일 영역의 모든 노출 선량의 합은 최적의 노출 선량과 실질적으로 동일하다. 일부 실시예에서, 방법은 포토레지스트 층(520)의 각각의 부분이 동일 횟수 노출되도록 추가적인 노출 단계(샷)를 수행하는 것을 포함한다.
EUVL 동작에서의 노출 분해능을 개선하기 위해, 포토레지스트 커버된 웨이퍼의 복수의 노출은 하나 이상의 위상 반전 마스크를 사용하여 수행된다. 예를 들어, 일부 실시예에서, 포토레지스트 커버된 웨이퍼 상의 각각의 다이가 하나 이상의 위상 반전 마스크 상의 동일 패턴으로 4회 노출된다.
일부 실시예에서, 위상 반전 마스크는 마스크 상의 4개의 인접한 위치에 동일 패턴을 포함하고, 웨이퍼가 노출 빔에 대해 이동됨에 따라, 각각의 다이가 동일 패턴에 4회 노출된다. 주어진 다이의 과노출(overexposure)을 막기 위해, 각각의 노출로부터의 노출 선량은 원하는 총 노출 선량의 1/4이다. 일부 실시예에서, 각각의 노출에서의 감소된 노출 선량은 각각의 노출에서 웨이퍼 스테이지를 더 빨리 이동시킴으로써 달성된다. 예를 들어, 웨이퍼 스테이지는 스캐닝 노출 동안 정상 속도의 4배로 이동될 수 있으며, 그리하여 각각의 노출에서 각각의 다이는 총 노출 시간의 1/4 동안만 노출된다. 본 개시는 각각의 다이의 4회 노출에 한정되지 않고, 복수의 노출 횟수는 2회, 3회, 또는 5회 또는 그 이상일 수 있다. 일부 실시예에서, 동일 패턴을 갖는, 단일 위상 반전 마스크의 상이한 부분들 또는 복수의 위상 반전 마스크들에 의한 복수의 노출은, 위상 반전 마스크(들)의 주어진 위치에 존재할 수 있는 임의의 결함을 없앤다.
도 15 내지 도 49는 본 개시의 실시예에 따라 포토레지스트 코팅된 반도체 웨이퍼(510)를 노출시키는 방법을 도시한다. 도 15에 도시된 바와 같이, 하단 좌측 다이가 먼저, 반사성 위상 반전 마스크를 사용하여 최적의 선량보다 더 적은 방사선 선량으로 방사선에 노출된다.
그 후에 도 16에서 포토마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 3개의 다이가 최적의 선량보다 더 적은 방사선 선량으로 노출된다. 따라서, 원래 노출된 다이(하단 좌측 다이)는 2회 노출되었다. 처음 다이 위의 2개의 다이는 각각 1회 노출되었다.
포토마스크(40)는 동일 패턴을 갖는 6개의 패턴 영역을 갖는 것으로 도시되어 있고, 따라서 한 번에 최대 6개의 다이가 노출될 수 있다. 그러나, 본 개시는 6개의 동일 패턴을 갖는 마스크에 한정되지 않고, 일부 실시예에서, 마스크는 2개, 3개, 4개, 5개의 동일 패턴 또는 6개보다 더 많은 동일 패턴을 포함하며, 웨이퍼 상의 2개, 3개, 4개, 5개, 또는 더 많은 다이가 동시에 이미징될 수 있게 한다.
도 17에서, 포토마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적은 노출 선량을 사용하여 노출된다. 여기에서 설명되는 바와 같이, 웨이퍼(510)에 대해 마스크(40)를 이동시키는 것은, 일부 실시예에서 웨이퍼 스테이지(910)를 이동시킴으로써 달성된다.
도 18에 도시된 바와 같이, 포토마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 최적의 노출 선량보다 더 적은 노출 선량을 사용하여 노출이 수행된다. 2개의 맨 윗쪽 다이들은 1회 노출되었고, 4개의 하부 다이들은 2회 노출되었다.
도 19에 도시된 바와 같이, 포토마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 2개의 상단 좌측 다이들은 최적의 노출 선량보다 더 적은 노출 선량을 사용하여 두 번째로 노출된다. 따라서, 다이들 중 좌측 제1 열에 있는 각각의 다이는 2회 노출되었다.
그 후에 도 20에서 마스크(40)는 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 4개의 다이가 노출되며, 그 결과 제1 열에 있는 2개의 맨 윗쪽 다이들은 3회 노출되고 제2 열에 있는 2개의 맨 윗쪽 다이들은 최적의 노출 선량보다 더 적은 노출 선량으로 1회 노출되었다.
도 21에서, 마스크(40)는 웨이퍼(510)에 대하여 아래로 이동되고, 웨이퍼(510)는 EUV 방사선에 노출된다. 따라서, 제1 열에 있는 2개의 맨 윗쪽 다이들은 4회 노출되었고, 제2 열에 있는 2개의 맨 윗쪽 다이들은 2회 노출되었다. 제1 및 제2 열에서 위로부터 세 번째 다이들은 각각 3회 및 1회 노출되었다.
도 22에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제1 열의 위로부터 세 번째 다이는 이제 4회 노출되었고, 제2 열의 위로부터 세 번째 다이는 2회 노출되었고, 제1 열의 아래로부터 두 번째 및 세 번째 다이는 3회 노출되었고, 제2 열의 아래로부터 두 번째 및 세 번째 다이는 1회 노출되었다.
그 후에 도 23에서 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 제1 및 제2 열 둘 다 3개의 하부 다이들이 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제1 열의 아래로부터 두 번째 및 세 번째 다이는 4회 노출되었다. 제2 열의 아래로부터 두 번째 및 세 번째 다이는 2회 노출되었다. 제1 열에서의 하단 다이는 3회 노출되었고, 제2 열에서의 하단 다이는 1회 노출되었다.
도 24에서, 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 이 단계 후에, 제1 열의 모든 다이들은 4회 노출되었고, 제2 열의 모든 다이들은 2회 노출되었다. 각각의 노출이 총 노출 선량의 약 1/4인 실시예에서, 제1 열에서의 각각의 다이는 이제 완전히 노출된 것이다.
도 25에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제2 열에서의 하단 다이는 이제 3회 노출되었고, 제3 열에서의 하단 다이는 1회 노출되었다.
그 후에 도 26에서 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 6개의 다이가 최적의 노출 선량보다 더 적게 노출된다. 따라서, 제2 열의 아래로부터 두 번째 및 세 번째 다이는 3회, 타겟 포커스 위로 1회 그리고 타겟 포커스 아래로 2회 노출되었고, 제3 열의 아래로부터 두 번째 및 세 번째 다이는 1회 노출되었다. 제2 및 제3 열의 하단 다이는 각각 4회 및 2회 노출되었다.
도 27에서, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제2 열의 아래로부터 두 번째 및 세 번째 다이는 4회 노출되었고, 제2 열의 위로부터 세 번째 다이는 3회 노출되었다. 제3 열의 아래로부터 두 번째 및 세 번째 다이는 2회 노출되었고, 제3 열의 위로부터 세 번째 다이는 1회 노출되었다.
도 28에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제2 열에 있는 2개의 맨 윗쪽 다이들은 세 번째로 노출되고, 제2 열의 위로부터 세 번째 다이는 이제 4회 노출되었다. 제3 열에서의 2개의 맨 윗쪽 다이들은 1회 노출되었고, 제3 열의 위로부터 세 번째 다이는 2회 노출되었다.
그 후에 도 29에서 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 제2 및 제3 열에서의 2개의 맨 윗쪽 다이들은 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제2 열에서의 2개의 맨 윗쪽 다이들은 4회 노출되었고, 제3 열에서의 2개의 맨 윗쪽 다이들은 2회 노출되었다.
도 30에서, 마스크(40)가 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제3 열에서의 2개의 맨 윗쪽 다이들 및 제4 열에서의 2개의 맨 윗쪽 다이들은 각각 3회 및 1회 노출되었다.
도 31에 도시된 바와 같이, 그 후에 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제3 및 제4 열에서의 2개의 맨 윗쪽 다이들은 이제 각각 4회 및 2회 노출되었다. 제3 열의 위로부터 세 번째 다이는 3회 노출되었고, 제4 열의 위로부터 세 번째 다이는 1회 노출되었다.
도 32에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 6개의 다이는 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제3 및 제4 열의 위로부터 세 번째 다이는 각각 4회 및 2회 노출되었다. 제3 열의 아래로부터 두 번째 및 세 번째 다이는 3회 노출되었다. 제4 열의 아래로부터 두 번째 및 세 번째 다이는 1회 노출되었다.
그 후에 도 33에서 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 6개의 다이가 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제3 열의 아래로부터 두 번째 및 세 번째 다이는 4회 노출되었다. 제4 열의 아래로부터 두 번째 및 세 번째 다이는 2회 노출되었다. 제3 및 제4 열의 하단 다이들은 각각 3회 및 1회 노출되었다.
도 34에서, 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적게 노출된다. 따라서, 제3 및 제4 열의 하단 다이들은 각각 4회 및 2회 노출되었다.
도 35에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제4 및 제5 열의 하단 다이들은 이제 각각 3회 및 1회 노출되었다.
그 후에 도 36에서 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 제4 및 제5 열에 있는 6개의 하부 다이들은 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 따라서, 제4 열의 아래로부터 두 번째 및 세 번째 다이는 3회 노출되었다. 제5 열의 아래로부터 두 번째 및 세 번째 다이는 1회 노출되었다. 제4 열의 하단 다이는 4회 노출되었고, 제5 열의 하단 다이는 2회 노출되었다.
도 37에서, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 제4 열의 아래로부터 두 번째 및 세 번째 다이는 이제 4회 노출되었고, 제5 열의 아래로부터 두 번째 및 세 번째 다이는 2회 노출되었다. 제4 열의 위로부터 세 번째 다이는 3회 노출되었고, 제5 열의 위로부터 세 번째 다이는 1회 노출되었다.
도 38에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제4 열에서의 2개의 맨 윗쪽 다이들은 이제 3회 노출되었고, 제5 열에서의 2개의 맨 윗쪽 다이들은 1회 노출되었다. 제4 열의 위로부터 세 번째 다이는 4회 노출되었고, 제5 열의 위로부터 세 번째 다이는 2회 노출되었다.
도 39에서, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 4개의 다이들이 최적의 노출 선량보다 더 적은 노출 선량으로 노출된다. 제4 열에서의 2개의 맨 윗쪽 다이들은 4회 노출되었고, 제5 열의 2개의 맨 윗쪽 다이들은 2회 노출되었다.
도 40에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제5 열에서의 2개의 맨 윗쪽 다이들은 3회 노출되고, 제5 열에서의 2개의 맨 윗쪽 다이들은 1회 노출되었다.
그 후에 도 41에서 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 제5 및 제6 열의 상단 우측 코너에 있는 6개의 다이들이 최적의 노출 선량보다 더 적게 노출된다. 따라서, 제4 열에서의 2개의 맨 윗쪽 다이들은 4회 노출되었고, 제6 열에서의 2개의 맨 윗쪽 다이들은 2회 노출되었다. 제5 열의 위로부터 세 번째 다이는 3회 노출되었고, 제6 열의 위로부터 세 번째 다이는 1회 노출되었다.
도 42에서, 마스크(40)가 웨이퍼(510)에 대하여 오른쪽 아래로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적게 노출된다. 따라서, 제5 열의 아래로부터 두 번째 및 세 번째 다이 및 제6 열의 아래로부터 두 번째 및 세 번째 다이는 각각 3회 및 1회 노출되었다. 제5 열의 위로부터 세 번째 다이 및 제6 열의 위로부터 세 번째 다이는 각각 4회 및 2회 노출되었다.
도 43에 도시된 바와 같이, 그 후에 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제5 및 제6 열의 아래로부터 두 번째 및 세 번째 다이들은 이제 각각 4회 및 2회 노출되었다. 제5 및 제6 열의 하단 다이들은 각각 3회 및 1회 노출되었다.
도 44에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 아래로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제5 및 제6 열의 2개의 하단 다이들은 이제 각각 4회 및 2회 노출되었다.
그 후에 도 45에서 마스크(40)가 웨이퍼(510)에 대하여 오른쪽으로 이동되고, 제6 열에서의 하단 다이는 최적의 노출 선량보다 더 적게 노출된다. 따라서, 제6 열의 하단 다이는 3회 노출되었다.
도 46에서, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 4개의 다이들이 최적의 노출 선량보다 더 적게 노출된다. 제6 열에서의 하단 다이는 이제 4회 노출되었고, 제6 열의 아래로부터 두 번째 및 세 번째 다이는 3회 노출되었다.
도 47에 도시된 바와 같이, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 최적의 노출 선량보다 더 적은 노출 선량으로 노출이 수행된다. 제6 열의 아래로부터 두 번째 및 세 번째 다이는 4회 노출되었고, 제6 열의 위로부터 세 번째 다이는 3회 노출되었다.
그 후에 도 48에서 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 최적의 노출 선량보다 더 적은 노출이 수행된다. 제6 열에서의 2개의 맨 윗쪽 다이들은 이제 3회 노출되었고, 제6 열의 위로부터 세 번째 다이는 4회 노출되었다.
도 49에서, 마스크(40)가 웨이퍼(510)에 대하여 위로 이동되고, 웨이퍼(510)는 최적의 노출 선량보다 더 적게 노출된다. 제6 열의 2개의 맨 윗쪽 다이들은 4회 노출되었고, 따라서 6×6 어레이의 모든 다이들이 4회 노출되었다.
도 15 내지 도 49의 하나의 반전 위상 마스크에서 반도체 웨이퍼(510) 상의 6×6 어레이의 다이들 또는 2×3 어레이의 다이들은 본 개시의 예이며, 본 개시는 36개 다이들을 갖는 웨이퍼 또는 6개의 동일 다이 패턴을 갖는 마스크에 한정되지 않는다. 일부 실시예에서, 36개 다이보다 더 적거나 36개 다이보다 더 많이 노출된다. 도 15 내지 도 49의 실시예에서, 하나의 다이로부터 인접 다이로 스텝핑한 다음 2개의 다이를 스텝핑하고 인접 다이로 스텝핑하는 것이 이어지며 1-다이 스텝과 2-다이 스텝 간에 교대하는 사이클로, 마스크(40)가 웨이퍼(510)에 대하여 이동되거나 스텝핑되었다.
일부 실시예에서, 위상 반전 마스크는 2×2 어레이의 다이들을 가지며, 각각의 다이는 동일 패턴을 갖는다. 2×2 어레이의 다이들을 갖는 위상 반전 마스크가 사용될 때, 마스크는 노출 샷 사이에 한 번에 하나의 다이씩 스텝핑된다.
도 50에 도시된 바와 같이, 개시된 실시예에 따른 방법은 예상치 못한 결함 감소를 제공한다. 예를 들어, 히스토그램에 도시된 바와 같이, CD 편차가 특히 분포 단부에서 현저하게 감소되며, 이는 인쇄적성의 에지에 가까운 특징부에 대응한다. 도 50에서의 수직 바 사이의 영역에 도시된 바와 같이, 단일 노출 프로세스는 22 +/- 5 nm의 임계 치수에서 120 고장을 생성한 반면에, 일부 실시예에서 4회 노출 프로세스는 고장 횟수를 12로 감소시켰다. 수직 바와 경계를 이루는 영역은 17 nm 이하이다(임계 치수의 수락가능한 하한).
일부 실시예에서, 위상 반전 마스크를 검사하는 방법이 제공된다. 방법은 복수의 동일 회로 패턴을 포함하는 위상 반전 마스크를 검사하는 것을 포함한다. 위상 반전 마스크가 검사된다. 결함이 회로 패턴 중의 하나의 특정 위치에서 발견되고 위상 반전 마스크 상의 다른 동일 회로 패턴의 동일 위치에서는 발견되지 않는다면, 마스크는 검사를 통과한다. 하나의 마스크 패턴에서 결함이 발견되는 경우, 일부 실시예에서 이는 이미징된 포토레지스트에 분해되지 않을 것이다.
일부 실시예에서, 복수의 동일 회로 패턴을 갖는 위상 반전 마스크가 제공된다. 복수의 동일 회로 패턴 중의 하나는 특정 위치에서 결함을 갖는다. 다른 동일 회로 패턴은 동일 위치에 동일 결함을 갖지 않는다. 일부 실시예에서 이 위상 반전 마스크는 포토리소그래피 프로세스에 사용하도록 수락가능한데, 복수의 동일 회로 패턴 중의 하나에만 있는 결함은 결함을 갖는 마스크를 사용하여 노출된 이미징된 포토레지스트에 분해되지 않을 것이기 때문이다.
반도체 디바이스의 수율을 증가시키기 위하여 EUVL 동작의 분해능을 개선하는 것이 바람직하다. 일부 경우에, 마스크에서의 패턴 결함 또는 마스크 표면 상의 입자 오염물은, 이 결함 또는 오염물을 갖는 포토마스크가 포토레지스트 층에 패턴을 형성하는데 사용될 때 포토레지스트 층 패턴에 결함을 야기한다. EUVL 동작에서의 노출 분해능을 개선하기 위해 그리고 입자 또는 마스크 결함으로 인한 결함 패턴을 피하기 위해, 포토레지스트 커버된 웨이퍼의 복수 노출이 수행된다. 예를 들어, 일부 실시예에서, 포토레지스트 코팅된 웨이퍼 상의 각각의 다이는 마스크의 상이한 부분을 사용하여 동일 패턴으로 4회 노출된다. 일부 실시예에서, 노출 마스크는 마스크 상의 4개의 인접한 위치에 동일 패턴을 포함하고, 웨이퍼가 노출 빔에 대해 이동됨에 따라, 각각의 다이가 동일 패턴에 4회 노출된다. 주어진 다이의 과노출(overexposure)을 막기 위해, 각각의 노출의 노출 선량은 원하는 총 노출 선량의 1/4이다. 일부 실시예에서, 각각의 노출에서의 감소된 노출 선량은 각각의 노출에서 더 빨리 노출 빔을 스캐닝함으로써 달성된다. 따라서, 포토레지스트 코팅된 웨이퍼는 각각의 스캐닝 노출 중에 더 짧은 기간 동안 노출된다. 예를 들어, 스캐닝 빔은 정상 속도의 4배로 포토레지스트 층에 대하여 이동될 수 있으며, 그리하여 각각의 노출에서 각각의 다이는 총 노출 시간의 1/4 동안 노출된다. 일부 실시예에서, 각각의 스캐닝 노출에서 웨이퍼 스테이지를 더 빨리 이동시킴으로써 감소된 노출 선량이 달성된다. 하나의 패턴에서의 결함 또는 하나의 패턴 상의 입자 오염물이 마스크의 또다른 패턴의 동일 위치에서 발견될 가능성이 없을 것이다. 각각의 노출이 요구되는 총 노출 이하이기 때문에, 마스크의 하나의 부분 상의 분리된 결함 또는 입자는 포토레지스트 층으로 이미징되지 않을 것이다. 본 개시의 실시예에 따르면, 동일 회로 또는 칩 패턴을 갖는 마스크의 상이한 부분들을 사용한 복수 노출의 누적 노출 선량이, 분리된 결함 또는 입자를 이미징하는 일 없이, 포토레지스트에 패턴을 재현할 것이다.
상이한 포커스 깊이로 복수의 노출을 수행하는 것은 웨이퍼 상의 모든 다이들을 노출시키는데 걸리는 시간을 증가시킬 수 있지만, 전체적인 반도체 디바이스 제조 방법은 본 개시의 실시예에 의해 제공되는 디바이스 수율 증가 및 결함 감소 때문에 더 효율적이다. 동일 회로 패턴을 갖는 위상 반전 마스크의 상이한 부분들에 의한 포토레지스트 층의 동일 부분의 복수 노출은, 단일 마스크 패턴 상에 형성된 결함 또는 오염물 입자가, 그 결함 또는 입자 오염물을 갖는 마스크를 사용하여 포토레지스트 층에 형성된 패턴에 악영향을 미치는 것을 막는다. 본 개시의 방법을 수행하는 것은, 명암 전이에 있어서 이미지의 가파름(steepness) 측정치인 ILS(image log slope)의 개선 및 마스크 피처 폭의 변화에 대한 레지스트 피처 폭의 변화의 비인 MEEF(mask error enhancement factor)의 개선을 제공한다.
본 개시의 실시예는, 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들을 제공하는 단계, 및 기판 위에 레지스트 층을 형성하는 단계를 포함하는, 극자외선 리소그래피(EUVL) 방법이다. 상기 레지스트 층의 최적의 노출 선량(exposure dose)이 결정된다. 다중 노출 프로세스에 의해 상기 레지스트 층의 동일 영역 상에 잠상이 형성된다. 상기 다중 노출 프로세스는 복수의 노출 프로세스들을 포함하고, 상기 복수의 노출 프로세스들의 각각은 상기 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들로부터의 상이한 위상 반전 마스크 영역을 사용한다. 실시예에서, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 적어도 하나는 결함을 포함한다. 실시예에서, 상기 적어도 2개의 위상 반전 마스크 영역들은 단일 위상 반전 마스크로부터 이루어진다. 실시예에서, 상기 적어도 2개의 반전 마스크 영역들은 적어도 2개의 반전 마스크들로부터 이루어진다. 실시예에서, 상기 최적의 노출 선량은, 대응하는 단일 노출 프로세스 하의 타겟 치수를 달성하기 위한, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 하나 상의 패턴에 대한 노출 선량에 기초한다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 상기 최적의 노출 선량보다 더 적다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 서로 동일하다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 서로 상이하다. 실시예에서, 상기 복수의 노출 프로세스들의 노출 선량의 합은 상기 최적의 노출 선량의 90% 내지 110%의 범위 내이다. 실시예에서, 상기 레지스트 층의 동일 영역 상에 잠상을 형성하는 단계는 4회의 노출 프로세스들을 수행하는 단계를 포함하며, 상기 4회의 노출 프로세스들의 각각은 상기 동일 패턴을 갖는 상이한 위상 반전 마스크 영역을 사용한다.
본 개시의 또다른 실시예는, 동일 회로 패턴을 갖는 적어도 2개의 위상 반전 마스크들을 제공하는 단계, 및 기판 위에 레지스트 층을 형성하는 단계를 포함하는, 극자외선 리소그래피(EUVL) 방법이다. 상기 레지스트 층의 최적의 노출 선량이 결정되고, 상기 레지스트 층은 상기 적어도 2개의 위상 반전 마스크들의 각각을 사용하여 상기 레지스트 층의 동일 영역을 복수 회 노출시키도록 상기 적어도 2개의 위상 반전 마스크들을 사용하여 극자외선 방사선으로 조사된다. 상기 레지스트 층을 극자외선 방사선으로 조사하는 것은 복수의 노출들을 포함하고, 상기 복수의 노출들의 각각은 상기 최적의 노출 선량보다 더 적은 극자외선 방사선의 노출 선량을 사용한다. 실시예에서, 상기 적어도 2개의 위상 반전 마스크들 중의 적어도 하나는 결함을 포함한다. 실시예에서, 상기 최적의 노출 선량은, 대응하는 단일 노출 프로세스 하의 타겟 치수를 달성하기 위한, 상기 2개의 위상 반전 마스크들 중의 하나 상의 패턴에 대한 노출 선량에 기초한다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량의 합은 상기 최적의 노출 선량의 90% 내지 110%의 범위 내이다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 서로 상이하다. 실시예에서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 서로 동일하다. 실시예에서, 상기 레지스트 층의 각각의 영역의 노출은 4회 노출들의 누적이며, 상기 4회 노출들의 각각은 동일 회로 패턴을 갖는 상이한 마스크 영역을 사용한다.
본 개시의 또다른 실시예는, 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들을 제공하는 단계를 포함하는, 극자외선 리소그래피(EUVL) 방법이며, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 적어도 하나는 결함을 포함한다. 레지스트 층이 기판 위에 형성된다. 대응하는 단일 노출 프로세스 하의 타겟 치수를 달성하기 위한, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 하나 상의 미리 지정된 패턴에 대한 노출 선량에 기초하여, 최적의 노출 선량이 결정된다. 상기 적어도 2개의 위상 반전 마스크 영역들을 사용하여 상기 레지스트 층에 잠상이 형성된다. 상기 잠상을 형성하는 것은, 상기 레지스트 층의 동일 영역에 대해 적어도 2회 노출들을 수행하는 것을 포함하며, 상기 적어도 2회 노출들의 각각은 상기 최적의 노출 선량보다 더 적은 노출 선량을 사용하고, 상기 적어도 2개의 노출들의 노출 선량의 합은 상기 최적의 노출 선량의 90% 내지 110%의 범위 내이다. 실시예에서, 상기 적어도 2회 노출들을 수행하는 것은, 상기 레지스트 층의 동일 영역 상에 상기 적어도 2개의 위상 반전 마스크 영역들의 동일 패턴을 투사하는 것을 포함한다. 실시예에서, 상기 적어도 2개의 위상 반전 마스크 영역들은 단일 위상 반전 마스크로부터 이루어진다. 실시예에서, 상기 적어도 2개의 반전 마스크 영역들은 적어도 2개의 반전 마스크들로부터 이루어진다. 실시예에서, 상기 적어도 2회 노출들 각각의 노출 선량은 서로 동일하다. 실시예에서, 상기 적어도 2회 노출들 각각의 노출 선량은 서로 상이하다.
본 개시의 또다른 실시예는, 제1 위상 반전 마스크 영역을 제공하는 단계, 및 상기 제1 위상 반전 마스크 영역과 동일한 패턴을 갖는 제2 위상 반전 마스크 영역을 제공하는 단계를 포함하는 방법이다. 레지스트 층이 기판 위에 형성되고, 상기 레지스트 층에 대하여 최적의 노출 선량이 결정된다. 적어도 상기 제1 위상 반전 마스크 영역을 사용하여 제1 노출 선량으로 그리고 상기 제2 위상 반전 마스크 영역을 사용하여 제2 노출 선량으로 상기 레지스트 층의 동일 영역이 노출된다. 상기 제1 노출 선량 및 상기 제2 노출 선량은 둘 다 상기 최적의 노출 선량보다 더 적다. 실시예에서, 상기 제1 위상 반전 마스크 영역 및 상기 제2 위상 반전 마스크 영역은 단일 위상 반전 마스크로부터 이루어진다. 실시예에서, 상기 제1 위상 반전 마스크 영역 및 상기 제2 위상 반전 마스크 영역은 2개의 위상 반전 마스크들로부터 이루어진다. 실시예에서, 상기 제1 위상 반전 마스크 영역 및 상기 제2 위상 반전 마스크 영역 중의 적어도 하나는 결함을 포함한다. 실시예에서, 상기 제1 노출 선량은 상기 제2 노출 선량과 상이하다. 실시예에서, 상기 제1 노출 선량은 상기 제2 노출 선량과 동일하다. 실시예에서, 상기 레지스트 층의 동일 영역에의 모든 노출 선량의 합은 상기 최적의 노출 선량의 90% 내지 110%의 범위 내이다.
본 개시의 또다른 실시예는, 방사선 소스, 및 제1 마스크 영역과 제2 마스크 영역 - 둘 다 동일 패턴을 가짐 - 을 포함하는 위상 반전 마스크를 포함하는 포토리소그래피 장치이다. 마스크 스테이지가 상기 위상 반전 마스크를 지지하도록 구성되고, 웨이퍼 스테이지가 웨이퍼를 지지하도록 구성된다. 컨트롤러는, 상기 웨이퍼 상에 코팅된 레지스트 층에 대한 최적의 노출 선량을 결정하고; 상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 제1 노출 선량에의 상기 레지스트 층의 제1 부분의 노출을 제어하고; 상기 위상 반전 마스크에 대한 상기 웨이퍼의 이동을 제어하고; 상기 위상 반전 마스크의 제2 마스크 영역을 사용하여 제2 노출 선량에의 상기 레지스트 층의 제1 부분의 노출과, 상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 상기 제2 노출 선량에의 상기 레지스트 층의 제2 부분의 노출을 제어하도록 구성된다. 실시예에서, 상기 위상 반전 마스크는 반사성 마스크이다. 실시예에서, 상기 방사선 소스는 극자외선 방사선 소스이다. 실시예에서, 상기 위상 반전 마스크는 제3 마스크 영역 및 제4 마스크 영역을 포함하며, 둘 다 상기 제1 마스크 영역 또는 상기 제2 마스크 영역에서와 같은 동일 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 상기 위상 반전 마스크의 제3 마스크 영역을 사용하여 제3 노출 선량에의 상기 레지스트 층의 제1 부분의 노출과, 상기 위상 반전 마스크의 제2 마스크 영역을 사용하여 상기 제3 노출 선량에의 상기 레지스트 층의 제2 부분의 노출과, 그리고 상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 상기 제3 노출 선량에의 상기 레지스트 층의 제3 부분의 노출을 제어하도록 구성되며, 상기 제3 마스크 영역은 상기 제1 마스크 영역 또는 상기 제2 마스크 영역에서와 같은 동일 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 상기 위상 반전 마스크의 제4 마스크 영역을 사용하여 제4 노출 선량에의 상기 레지스트 층의 제1 부분의 노출과, 상기 위상 반전 마스크의 제3 마스크 영역을 사용하여 상기 제4 노출 선량에의 상기 레지스트 층의 제2 부분의 노출과, 상기 위상 반전 마스크의 제2 마스크 영역을 사용하여 상기 제4 노출 선량에의 상기 레지스트 층의 제3 부분의 노출과, 그리고 상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 상기 제4 노출 선량에의 상기 레지스트 층의 제4 부분의 노출을 제어하도록 구성되며, 상기 제4 마스크 영역은 상기 제1 마스크 영역 또는 상기 제2 마스크 영역 또는 상기 제3 마스크 영역에서와 같은 동일 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 상기 레지스트 층의 각각의 부분이 동일한 수의 상이한 마스크 영역들에 의해 노출되도록 추가적인 노출을 제어하도록 구성된다. 실시예에서, 상기 웨이퍼 스테이지는, 스캐닝 노출 동작들 중의 측방향(lateral) 이동 및 하나의 다이로부터 또다른 다이로의 스텝핑(stepping) 이동을 위해 구성된다. 실시예에서, 상기 웨이퍼 스테이지는 또한, 수직 방향으로 이동하도록 구성되고, 수평 축을 중심으로 회전하도록 구성된다.
본 개시의 또다른 실시예는, 방사선 소스, 및 제1 위상 반전 마스크와 제2 위상 반전 마스크 - 둘 다 동일 패턴을 가짐 - 를 포함하는 포토리소그래피 장치이다. 마스크 스테이지가 상기 제1 위상 반전 마스크를 지지하도록 구성되고, 웨이퍼 스테이지가 웨이퍼를 지지하도록 구성된다. 컨트롤러는, 상기 웨이퍼 상에 코팅된 레지스트 층에 대한 최적의 노출 선량을 결정하고; 상기 제1 위상 반전 마스크를 사용하여 제1 노출 선량에의 상기 레지스트 층의 일부의 노출을 제어하고; 상기 마스크 스테이지 상의 상기 제1 위상 반전 마스크의 상기 제2 위상 반전 마스크로의 교환을 제어하고; 상기 제2 위상 반전 마스크를 사용하여 제2 노출 선량에의 상기 레지스트 층의 일부의 노출을 제어하도록 구성된다. 실시예에서, 상기 제1 위상 반전 마스크 및 상기 제2 위상 반전 마스크는 둘 다 반사성 마스크이다. 실시예에서, 상기 방사선 소스는 극자외선 방사선 소스이다. 실시예에서, 상기 웨이퍼 스테이지는, 스캐닝 노출 동작들 중의 측방향(lateral) 이동 및 하나의 다이로부터 또다른 다이로의 스텝핑(stepping) 이동을 위해 구성된다. 실시예에서, 상기 컨트롤러는 또한 상기 웨이퍼 스테이지의 이동을 제어하도록 구성된다. 실시예에서, 상기 포토리소그래피 장치는 제3 위상 반전 마스크를 포함하며, 상기 제3 위상 반전 마스크는 상기 제1 위상 반전 마스크 및 상기 제2 위상 반전 마스크와 동일한 회로 패턴을 갖는다. 실시예에서, 상기 포토리소그래피 장치는 제4 위상 반전 마스크를 포함하며, 상기 제4 위상 반전 마스크는 상기 제1, 제2, 및 제3 위상 반전 마스크와 동일한 회로 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 제3 위상 반전 마스크를 사용하여 방사선의 제3 노출 선량에의 상기 포토레지스트 층의 제1 부분의 노출과, 상기 제2 위상 반전 마스크를 사용하여 상기 제3 노출 선량에의 상기 포토레지스트 층의 제2 부분의 노출과, 그리고 상기 제1 위상 반전 마스크를 사용하여 상기 제3 노출 선량에의 상기 포토레지스트 층의 제3 부분의 노출을 제어하도록 구성되며, 상기 제3 위상 반전 마스크는 상기 제1 위상 반전 마스크 또는 상기 제2 위상 반전 마스크와 동일한 회로 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 제4 위상 반전 마스크를 사용하여 방사선의 제4 노출 선량에의 상기 포토레지스트 층의 제1 부분의 노출과, 상기 제3 위상 반전 마스크를 사용하여 상기 제4 노출 선량에의 상기 포토레지스트 층의 제2 부분의 노출과, 상기 제2 위상 반전 마스크를 사용하여 상기 제4 노출 선량에의 상기 포토레지스트 층의 제3 부분의 노출과, 그리고 상기 제1 위상 반전 마스크를 사용하여 상기 제4 노출 선량에의 상기 포토레지스트 층의 제4 부분의 노출을 제어하도록 구성되며, 상기 제4 위상 반전 마스크는 상기 제1, 제2, 및 제3 위상 반전 마스크와 동일한 회로 패턴을 갖는다. 실시예에서, 상기 컨트롤러는 또한, 상기 포토레지스트 층의 각각의 부분이 동일한 횟수 노출되도록 추가적인 노출 단계를 제어하도록 구성된다. 실시예에서, 상기 웨이퍼 스테이지는 또한, 수직 방향으로 이동하도록 구성되고, 수평 축을 중심으로 회전하도록 구성된다.
전술한 바는 당해 기술 분야에서의 숙련자들이 본 개시의 양상을 보다 잘 이해할 수 있도록 여러 실시예 또는 예들의 특징을 나타낸 것이다. 당해 기술 분야에서의 숙련자라면, 여기에서 소개된 실시예 또는 예와 동일한 목적을 수행하고/하거나 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기반으로서 본 개시를 용이하게 사용할 수 있다는 것을 알아야 한다. 당해 기술 분야에서의 숙련자는 또한, 이러한 등가의 구성이 본 개시의 진정한 의미 및 범위로부터 벗어나지 않으며, 본 개시의 진정한 의미 및 범위에서 벗어나지 않고서 다양한 변경, 치환 및 대안을 행할 수 있다는 것을 알아야 한다.

Claims (20)

  1. 극자외선 리소그래피(EUVL; extreme ultraviolet lithography) 방법에 있어서,
    동일 패턴을 갖는 적어도 2개의 위상 반전 마스크(phase-shifting mask) 영역들을 제공하는 단계;
    기판 위에 레지스트 층을 형성하는 단계;
    상기 레지스트 층의 최적의 노출 선량(exposure dose)을 결정하는 단계; 및
    다중 노출 프로세스에 의해 상기 레지스트 층의 동일 영역 상에 잠상을 형성하는 단계를 포함하고,
    상기 다중 노출 프로세스는 복수의 노출 프로세스들을 포함하고, 상기 복수의 노출 프로세스들의 각각은 상기 동일 패턴을 갖는 적어도 2개의 위상 반전 마스크 영역들로부터의 상이한 위상 반전 마스크 영역을 사용하는 것인, 극자외선 리소그래피(EUVL) 방법.
  2. 청구항 1에 있어서, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 적어도 하나는 결함을 포함하는 것인, 극자외선 리소그래피(EUVL) 방법.
  3. 청구항 1에 있어서, 상기 적어도 2개의 위상 반전 마스크 영역들은 단일 위상 반전 마스크로부터 또는 적어도 2개의 반전 마스크들로부터 이루어지는 것인, 극자외선 리소그래피(EUVL) 방법.
  4. 청구항 1에 있어서, 상기 최적의 노출 선량은, 대응하는 단일 노출 프로세스 하의 타겟 치수를 달성하기 위한, 상기 적어도 2개의 위상 반전 마스크 영역들 중의 하나 상의 패턴에 대한 노출 선량에 기초하는 것인, 극자외선 리소그래피(EUVL) 방법.
  5. 청구항 1에 있어서, 상기 복수의 노출 프로세스들 각각의 노출 선량은 상기 최적의 노출 선량보다 더 적은 것인, 극자외선 리소그래피(EUVL) 방법.
  6. 청구항 1에 있어서, 상기 레지스트 층의 동일 영역 상에 잠상을 형성하는 단계는 4회의 노출 프로세스들을 수행하는 단계를 포함하며, 상기 4회의 노출 프로세스들의 각각은 상기 동일 패턴을 갖는 상이한 위상 반전 마스크 영역을 사용하는 것인, 극자외선 리소그래피(EUVL) 방법.
  7. 포토리소그래피 장치에 있어서,
    방사선 소스;
    제1 마스크 영역 및 제2 마스크 영역 - 둘 다 동일 패턴을 가짐 - 을 포함하는 위상 반전 마스크;
    상기 위상 반전 마스크를 지지하도록 구성된 마스크 스테이지;
    웨이퍼를 지지하도록 구성된 웨이퍼 스테이지; 및
    컨트롤러를 포함하고,
    상기 컨트롤러는,
    상기 웨이퍼 상에 코팅된 레지스트 층에 대한 최적의 노출 선량을 결정하고;
    상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 제1 노출 선량에의 상기 레지스트 층의 제1 부분의 노출을 제어하고;
    상기 위상 반전 마스크에 대한 상기 웨이퍼의 이동을 제어하고;
    상기 위상 반전 마스크의 제2 마스크 영역을 사용하여 제2 노출 선량에의 상기 레지스트 층의 제1 부분의 노출과, 상기 위상 반전 마스크의 제1 마스크 영역을 사용하여 상기 제2 노출 선량에의 상기 레지스트 층의 제2 부분의 노출을 제어하도록
    구성되는 것인, 포토리소그래피 장치.
  8. 청구항 7에 있어서, 상기 위상 반전 마스크는 반사성 마스크인 것인, 포토리소그래피 장치.
  9. 청구항 7에 있어서, 상기 방사선 소스는 극자외선 방사선 소스인 것인, 포토리소그래피 장치.
  10. 포토리소그래피 장치에 있어서,
    방사선 소스;
    제1 위상 반전 마스크 및 제2 위상 반전 마스크 - 둘 다 동일 패턴을 가짐 - ;
    상기 제1 위상 반전 마스크를 지지하도록 구성된 마스크 스테이지;
    웨이퍼를 지지하도록 구성된 웨이퍼 스테이지; 및
    컨트롤러를 포함하고,
    상기 컨트롤러는,
    상기 웨이퍼 상에 코팅된 레지스트 층에 대한 최적의 노출 선량을 결정하고;
    상기 제1 위상 반전 마스크를 사용하여 제1 노출 선량에의 상기 레지스트 층의 일부의 노출을 제어하고;
    상기 마스크 스테이지 상의 상기 제1 위상 반전 마스크의 상기 제2 위상 반전 마스크로의 교환을 제어하고;
    상기 제2 위상 반전 마스크를 사용하여 제2 노출 선량에의 상기 레지스트 층의 일부의 노출을 제어하도록
    구성되는 것인, 포토리소그래피 장치.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020190100391A 2018-08-17 2019-08-16 포토리소그래피 방법 및 장치 KR102319270B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862719313P 2018-08-17 2018-08-17
US62/719,313 2018-08-17
US16/534,965 2019-08-07
US16/534,965 US11429027B2 (en) 2018-08-17 2019-08-07 Photolithography method and apparatus

Publications (2)

Publication Number Publication Date
KR20200020639A KR20200020639A (ko) 2020-02-26
KR102319270B1 true KR102319270B1 (ko) 2021-11-02

Family

ID=69523969

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190100391A KR102319270B1 (ko) 2018-08-17 2019-08-16 포토리소그래피 방법 및 장치

Country Status (4)

Country Link
US (1) US11429027B2 (ko)
KR (1) KR102319270B1 (ko)
CN (1) CN110837210B (ko)
TW (1) TWI727399B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11287746B1 (en) * 2020-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for overlay error reduction

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002141275A (ja) * 2000-11-06 2002-05-17 Hitachi Ltd 露光方法、及びそれを用いて作製された半導体素子
US20140272720A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Exposures in Extreme Ultraviolet Lithography

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP4326711B2 (ja) 2001-02-28 2009-09-09 富士フイルム株式会社 カーテン塗布方法
US6607862B2 (en) * 2001-08-24 2003-08-19 Intel Corporation Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
WO2003038518A1 (en) * 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
CN100468013C (zh) 2003-11-17 2009-03-11 凸版光掩公司 改善晶圆上结构的可印制性的相移光掩模及方法
US7169514B2 (en) 2003-12-31 2007-01-30 Intel Corporation Extreme ultraviolet mask with molybdenum phase shifter
WO2008115600A1 (en) 2007-03-21 2008-09-25 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
JP2010040849A (ja) * 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
JP2012133280A (ja) 2010-12-24 2012-07-12 Mejiro Precision:Kk 基板パターンの製造方法及び露光装置
NL2011261A (en) * 2012-08-20 2014-02-24 Asml Netherlands Bv Method of preparing a pattern, method of forming a mask set, device manufacturing method and computer program.
KR20140096750A (ko) 2013-01-29 2014-08-06 삼성전자주식회사 노광 방법 및 이를 이용한 패턴 형성 방법
US9229332B2 (en) 2013-09-18 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for high-throughput and small-footprint scanning exposure for lithography
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
DE102016203094B4 (de) 2016-02-26 2022-02-10 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum dauerhaften Reparieren von Defekten fehlenden Materials einer photolithographischen Maske
US10162257B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system, device, and method for printing low pattern density features

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002141275A (ja) * 2000-11-06 2002-05-17 Hitachi Ltd 露光方法、及びそれを用いて作製された半導体素子
US20140272720A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Exposures in Extreme Ultraviolet Lithography

Also Published As

Publication number Publication date
KR20200020639A (ko) 2020-02-26
US20200057375A1 (en) 2020-02-20
TWI727399B (zh) 2021-05-11
CN110837210B (zh) 2022-12-20
TW202016992A (zh) 2020-05-01
CN110837210A (zh) 2020-02-25
US11429027B2 (en) 2022-08-30

Similar Documents

Publication Publication Date Title
US9618837B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9116435B2 (en) Extreme ultraviolet lithography mask
US10684552B2 (en) Method to mitigate defect printability for ID pattern
US8628897B1 (en) Extreme ultraviolet lithography process and mask
US9733562B2 (en) Extreme ultraviolet lithography process and mask
NL2012175A (en) An extreme ultraviolet lithography process.
US9075313B2 (en) Multiple exposures in extreme ultraviolet lithography
KR102319270B1 (ko) 포토리소그래피 방법 및 장치
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US8492054B2 (en) Mechanisms for patterning fine features
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
US20210208505A1 (en) Lithography Method With Reduced Impacts of Mask Defects
US20220365438A1 (en) Photolithography method and apparatus
US20210165333A1 (en) Reticle fabrication method and semiconductor device fabrication method including the same
Hsu et al. Low k1 lithography patterning option for the 90-nm and 65-nm nodes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant