CN110837210B - 光刻方法和装置 - Google Patents

光刻方法和装置 Download PDF

Info

Publication number
CN110837210B
CN110837210B CN201910765186.2A CN201910765186A CN110837210B CN 110837210 B CN110837210 B CN 110837210B CN 201910765186 A CN201910765186 A CN 201910765186A CN 110837210 B CN110837210 B CN 110837210B
Authority
CN
China
Prior art keywords
mask
phase shift
exposure
exposure dose
shift mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910765186.2A
Other languages
English (en)
Other versions
CN110837210A (zh
Inventor
游信胜
刘如淦
黄旭霆
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110837210A publication Critical patent/CN110837210A/zh
Application granted granted Critical
Publication of CN110837210B publication Critical patent/CN110837210B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching

Abstract

本公开涉及光刻方法和装置。一种极紫外光刻(EUVL)方法,包括:提供具有相同图案的至少两个相移掩模区域。在衬底上方形成抗蚀剂层。确定抗蚀剂层的最佳曝光剂量,并且通过多重曝光工艺在抗蚀剂层的相同区域上形成潜像。多重曝光工艺包括多个曝光工艺,并且多个曝光工艺中的每个曝光工艺使用与具有相同图案的至少两个相移掩模区域不同的相移掩模区域。

Description

光刻方法和装置
技术领域
本公开涉及光刻方法和装置。
背景技术
半导体集成电路(IC)工业经历了快速增长。IC材料和设计的技术进步已经产生了几代IC,其中每一代都具有比上一代更小和更复杂的电路。在IC演变过程中,功能密度(即,每芯片面积的互连器件的数量)通常增加,而几何尺寸(即,能够使用制造工艺产生的最小组件(或线))减小。这种按比例缩小过程通常通过提高生产效率和降低相关成本来提供益处。然而,这种按比例缩小也增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。例如,实现更高分辨率的光刻工艺(例如,极紫外(EUV)光刻工艺)以满足接近7nm技术节点及以下的临界尺寸公差的尺寸约束。EUV光刻使用反射掩模(也称为掩模版(reticle))将集成电路器件层的图案转移到晶圆。反射掩模通常包括设置在衬底上的反射多层涂层(多层镜堆叠)。衬底、反射多层或吸收器(absorber)中的任何缺陷(包括微观缺陷)都会不利地影响反射掩模的图案的可印刷性。这些缺陷通常难以检查,并且即使检测到也难以修复。因此,虽然现有的EUV掩模和制造EUV掩模的方法通常已经足够用于它们的预期目的,但是它们不是在所有方面都完全令人满意。
发明内容
根据本公开的一个实施例,提供了一种极紫外光刻(EUVL)方法,包括:提供具有相同图案的至少两个相移掩模区域;在衬底上方形成抗蚀剂层;确定所述抗蚀剂层的最佳曝光剂量;以及通过多重曝光工艺在所述抗蚀剂层的相同区域上形成潜像,其中,所述多重曝光工艺包括多个曝光工艺,并且所述多个曝光工艺中的每个曝光工艺使用与具有相同图案的所述至少两个相移掩模区域不同的相移掩模区域。
根据本公开的另一实施例,提供了一种光刻装置,包括:辐射源;相移掩模,包括第一掩模区域和第二掩模区域,所述第一掩模区域和所述第二掩模区域具有相同图案;掩模台,被配置为支撑所述相移掩模;晶圆台,被配置为支撑晶圆;以及控制器,其中,所述控制器被配置为:确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第一部分曝光至第一曝光剂量;控制所述晶圆相对于所述相移掩模的移动;以及使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第二曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第二部分曝光至所述第二曝光剂量。
根据本公开的又一实施例,提供了一种光刻装置,包括:辐射源;第一相移掩模和第二相移掩模,所述第一相移掩模和所述第二相移掩模具有相同的图案;掩模台,被配置为支撑所述第一相移掩模;晶圆台,被配置为支撑晶圆;以及控制器,其中,所述控制器被配置为:确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;使用所述第一相移掩模来控制将所述抗蚀剂层的一部分曝光至第一曝光剂量;控制在所述掩模台上将所述第一相移掩模交换为所述第二相移掩模;以及使用所述第二相移掩模来控制将所述抗蚀剂层的所述一部分曝光至第二曝光剂量。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本公开。需要强调的是,根据工业中的标准实践,各种特征未按比例绘制,并且仅用于说明目的。实际上,为了清楚讨论,可以任意增加或减少各种特征的尺寸。
图1是根据本公开的实施例构造的处于制造阶段的EUV掩模的示意性横截面视图。
图2是根据本公开的实施例构造的图1的EUV掩模(部分)的示意性横截面视图。
图3是根据本公开的实施例的图1的EUV掩模(部分)的示意性横截面视图。
图4是根据本公开的实施例的图1的EUV掩模(部分)的示意性横截面视图。
图5是根据本公开的实施例的EUV掩模的示意性俯视图。
图6是根据本公开的实施例的用于形成图案化的抗蚀剂层的方法的流程图。
图7是根据本公开的实施例的在制造半导体器件的方法的阶段期间的抗蚀剂层的示意性横截面视图。
图8A、8B、8C、8D和8F是根据本公开的实施例的在制造半导体器件的各个阶段期间的抗蚀剂层的示意性横截面视图。图8E是根据本公开的实施例的相移掩模的平面视图。
图9示出了根据本公开的实施例的光刻装置。
图10A和10B示出了根据本公开的实施例的用于光刻装置的控制器的实施例。
图11是示出根据本公开的实施例的制造半导体器件的方法的流程图。
图12是示出根据本公开的实施例的制造半导体器件的方法的流程图。
图13是示出根据本公开的实施例的制造半导体器件的方法的流程图。
图14是示出根据本公开的实施例的制造半导体器件的方法的流程图。
图15示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图16示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图17示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图18示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图19示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图20示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图21示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图22示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图23示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图24示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图25示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图26示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图27示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图28示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图29示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图30示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图31示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图32示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图33示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图34示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图35示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图36示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图37示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图38示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图39示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图40示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图41示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图42示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图43示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图44示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图45示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图46示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图47示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图48示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图49示出了根据本公开的实施例的曝光半导体衬底的方法中的各种顺序操作之一。
图50示出了根据本公开的实施例的在半导体晶圆的相同区域上的单个曝光和使用4个不同掩模的4个曝光之间的比较。
具体实施方式
应理解,以下公开内容提供了用于实现本公开的不同特征的许多不同实施例或示例。以下描述组件和布置的特定实施例或示例以简化本公开。当然,这些仅仅是示例,而不是限制性的。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于器件的工艺条件和/或所需特性。此外,在以下描述中在第二特征上方或之上形成第一特征可以包括其中第一和第二特征以直接接触的方式被形成的实施例,并且还可以包括其中可以在第一和第二特征之间形成附加特征,使得第一和第二特征可能不直接接触的实施例。为了简单和清楚起见,可以以不同的比例任意绘制各种特征。
此外,本文可以使用空间相对术语(例如,“下”、“之下”、“下方”、“之上”、“上方”等)以便于描述,以描述一个元件或特征与如图所示的另一个(或多个)元件或特征的关系。除了图中所示的取向之外,空间相对术语旨在包括使用或操作中的设备的不同取向。装置可以以其他方式来定向(旋转90度或在其他取向上),并且同样可以相应地解释本文所使用的空间相对描述符。此外,术语“由...构成”可以表示“包括”或“由...组成”。
以下描述涉及EUV光掩模或EUV相移掩模(PSM)和掩模制造工艺。术语光掩模和掩模可互换使用。掩模制造工艺包括两个操作:空白掩模制造工艺和掩模图案化工艺。在空白掩模制造工艺期间,通过在适当的衬底上沉积适当的层(例如,反射多层)来形成空白掩模。空白掩模在掩模图案化工艺期间被图案化以具有集成电路(IC)层的设计。然后使用经图案化的掩模将电路图案(例如,IC层的设计)转移到半导体晶圆上。掩模上的图案可以通过各种光刻工艺一遍又一遍地转移到多个晶圆上。可以使用若干掩模(例如,一组15至30个掩模)来构造完整的IC。通常,制造各种掩模以用于各种光刻工艺。掩模的类型包括二元强度掩模(binary intensity mask,BIM)和相移掩模(PSM)。
图1和图2是根据本公开的实施例构造的处于各种制造阶段的光掩模40的横截面视图。参考图3和图4以及其他附图共同描述掩模40及其制造方法。在以下实施例中,掩模40是相移掩模(PSM)。
参考图1,该阶段处的掩模40是空白EUV掩模,其包括由低热膨胀材料(LTEM)构成的衬底110。LTEM材料可以包括TiO2掺杂的SiO2,或本领域已知的其他低热膨胀材料。LTEM衬底110用于最小化由于掩模加热而引起的图像失真。在本实施例中,LTEM衬底110包括具有低缺陷水平和光滑表面的材料。此外,导电层105可以被设置在LTEM衬底110的后表面上以用于静电吸附目的。在实施例中,导电层105包括氮化铬(CrN),但是其他组分也是可能的。
在LTEM衬底110上方在前表面上沉积反射多层(ML)120。ML 120也被称为第一反射层,拥有在稍后引入另一反射层时避免混淆。根据菲涅耳方程(Fresnel equation),当光在两种不同折射率的材料之间的界面上传播时,将发生光反射。在折射率差异较大时,反射光较大。为了增加反射光,还可以通过沉积多层交替材料来增加界面的数量,并且通过为多层内的每个层选择适当的厚度来让从不同界面反射的光增强干涉。然而,多层所采用的材料的吸收限制了能够实现的最高反射率。ML 120包括多个膜对,例如,钼-硅(Mo/Si)膜对(例如,在每个膜对中,钼层位于硅层上方或下方)。替代地,ML 120可以包括钼-铍(Mo/Be)膜对,或可以将在EUV波长处具有高反射性的任意材料用于ML 120。ML 120的每个层的厚度取决于EUV波长和入射角度。调节ML 120的厚度以实现在每个界面处反射的EUV光的最大相长干涉以及ML 120对EUV光的最小吸收。在一些实施例中,选择ML 120,使得该ML 120针对所选择的辐射波长提供高反射率。在一些实施例中,膜对的数量在从20到80的范围内,然而任意数量的膜对都是可能的。在实施例中,ML 120包括四十对Mo/Si层。每个Mo/Si膜对具有约为7nm的厚度,总厚度为280nm。在这种情况下,实现了约70%的EUV反射率。
在一些实施例中,针对一个或多个功能,在ML 120上方形成缓冲层130。在一个实施例中,缓冲层130在图案化工艺或其他操作(例如,修复或清洁)中用作蚀刻停止层。在另一实施例中,缓冲层130用于防止ML 120的氧化。缓冲层130可以包括一个或多个膜以实现预期功能。在本实施例中,缓冲层130具有与第二反射层150不同的蚀刻特性,这将在后面进行描述。在一个实施例中,缓冲层130包括钌(Ru)。在进一步的示例中,缓冲层130包括厚度范围在约2-5nm之间的Ru膜。在其他实施例中,缓冲层130包括Ru化合物(例如,RuB和RuSi)、铬(Cr)、Cr氧化物、或Cr氮化物。在一些实施例中,使用低温沉积工艺来形成缓冲层130以防止ML 120的相互扩散。
在实施例中,掩模40包括形成在第一反射层120上方的第二反射层150。在其中存在缓冲层130的实施例中,第二反射层150被形成在缓冲层130上方,如图1所示。根据IC布局来对第二反射层150进行图案化。第二反射层被设计为在反射系数中生成180°相移(相对于其中在图案化之后移除第二反射层的区域),使得能够实现用于EUVL的相移掩模。这里,第一区域相对于第二区域的相对反射系数被定义为在相同的入射光情况下在距离从第一区域和第二区域反射的光的公共掩模表面的相同垂直高度处评估的幅度(复数,包括相位信息)的比率。
在反射系数为-1(“1”表示没有衰减,而“-”表示180°相移)时,掩模40是交替相移掩模(Alt-PSM),在这种情况下如果IC布局的线-间隔面积比为1:1,则没有0阶衍射,并且可以实现最高的空间图像对比度。然而,如果第二反射层的所需厚度太大,则会出现掩模遮蔽效应,这进而降低了空间图像对比度。因此,第二反射层的设计需要在反射系数和厚度之间进行折衷。在一些实施例中,实现了最高的空间图像对比度,因为通过光瞳滤波器(pupilfilter)移除了0阶衍射。然而,如果反射系数接近-1,则第0衍射阶的幅度接近0,并且由于移除第0衍射阶而产生的曝光剂量损失被最小化并且曝光工具的吞吐量被最大化。此外,如果第二反射层的实现180°相移的所需厚度较小,则由掩模形貌而引起的光散射减少,并且由于第+/-1衍射阶的强度的增加,曝光工具的吞吐量进一步增加。在EUV波长范围内,每种材料都具有高吸收性。使用单个材料作为第二反射层难以实现接近-1的反射系数。在EUVL中,使用多层交替材料来实现高反射率。反射率被定义为反射系数的绝对值平方。
针对使用13.5nm的成像波长的EUVL,如上所述使用Si和Mo,因为它们在13.5nm附近的光谱范围内提供最大的积分反射率。ML设计由Si/Mo对组成,在每个对中,约4nm的Si位于约3nm的Mo上。在该ML设计被用于第二反射层150以实现相移掩模时,每个Si/Mo对提供约12°的相移。因此,为了实现180°相移,需要15个Si/Mo对,总厚度为约105nm,在这种情况下,掩模遮蔽效应应该是显著的。因为Si在EUV波长处的折射率和消光系数分别接近1和0,所以Si对相移的贡献很小(参考在空气中传播的光)。几乎整个相移都是由于Mo的存在。Si所起的作用是产生更多的Si/Mo界面,其中发生光的反射。因此,无论采用何种设计用于第二反射层,Mo的总厚度应接近44nm。在ML设计的实施例中,从相邻Si/Mo对反射的光的相位差是360°(最小值,因为仅360°的整数倍提供高反射率)。在这种情况下,每3nm的Mo层伴有4nm的Si层,产生较大膜堆叠高度。然而,如果从相邻Si/Mo对反射的光的相位差是720°,则不必插入如此多的Si层,并且可以减小针对第二反射层生成180°相移所需的总厚度。
在第一实施例中,第二反射层150包括厚度为约44nm的单个钼(Mo)层151,如图2所示。如前所述,如果使用Mo,则这应该是第二反射层的最薄设计。在该实施例中,反射系数约为-0.7757,并且反射率约为0.6017。
在第二实施例中,第二反射层150包括多个膜,如图3(横截面视图)所示。具体地,第二反射层150包括五个Mo膜152、154、156、158和160以及五个Si膜162、164、166、168和170,其配置为使得两个相邻Mo膜夹着Si膜,并且两个相邻Si薄膜夹着Mo薄膜。在本实施例中,Mo膜152具有约1nm的厚度;Mo膜154、156、158和160具有约10.1nm的相同厚度;Si膜162具有约4nm的厚度;Si膜164、166和168具有约4.3nm的相同厚度;顶部Si膜170具有约2.6nm的厚度。第二反射层150还可以包括沉积在顶部钼膜160上的另一缓冲层172。在该实施例中,反射系数约为-0.8665,并且反射率约为0.7508。在该实施例中,第二反射层150的总厚度约为63.4nm,其远小于105nm。与第一实施例(单个Mo层)相比,虽然第二反射层的总厚度大了约19.4nm,但是反射率高了约55.7%。
在第一或第二实施例中,每个厚度在其相应标称值的20%之内。换句话说,每个厚度在其相应标称值的80%至120%的范围内。缓冲层172类似于缓冲层130。例如,缓冲层172包括Ru膜。在一些实施例中,缓冲层172包括Ru膜,其厚度范围从约2nm到约5nm。在其他实施例中,缓冲层172包括Ru化合物(例如,钌硼(RuB)和钌硅(RuSi))、铬(Cr)、Cr氧化物、或Cr氮化物。
返回参考图1,层105、120、130和150中的一个或多个(例如,图2中的151、或图3中的152-170)可以通过各种方法形成,包括:物理气相沉积(PVD)工艺,例如,蒸发和DC磁控溅射;电镀工艺,例如,无电极镀或电镀;化学气相沉积(CVD)工艺,例如,常压CVD(APCVD),低压CVD(LPCVD),等离子体增强CVD(PECVD),或高密度等离子体CVD(HDP CVD);原子层沉积(ALD);离子束沉积;旋涂;金属有机物分解(MOD);和/或本领域已知的其他方法。MOD是在非真空环境中使用基于液体的方法的沉积技术。通过使用MOD,将溶解在溶剂中的金属有机前体旋涂到衬底上,并且蒸发溶剂。真空紫外(VUV)源被用来将金属有机前体转化为其构成金属元素。
参考图4,在实施例中,第二反射层150被图案化以形成具有两种状态的掩模。第二反射层150被图案化以通过掩模图案化过程形成状态210和状态220。掩模图案化过程可以包括抗蚀剂涂覆(例如,旋涂)、软烘、掩模对准、曝光、曝光后烘烤、显影、漂洗、干燥(例如,硬烘)、其他合适的工艺、和/或它们的组合,以形成经图案化的抗蚀剂层。电子、离子、或光子束直接写入可用于掩模图案化过程中的曝光步骤。
在先前部分的图案化工艺中,用经图案化的抗蚀剂层作为蚀刻掩模,随后使用蚀刻工艺来去除第二反射层150的部分。蚀刻工艺可以包括干法(等离子体)蚀刻、湿法蚀刻、和/或其他蚀刻方法。对于状态210,去除第二反射层。对于状态220,保留第二反射层。
仍然参考图4,现在EUV掩模40包括两种状态210和220。状态210和状态220的反射系数分别是r1和r2。这两种状态被配置为使得r2的绝对值基本上等于或接近r1的绝对值。在该实施例中,来自具有状态210的区域的反射EUV光和来自具有状态220的区域的反射EUV光具有180°的相位差。
图5是根据本公开的实施例构造的掩模40的俯视图。去除(频率空间中的)第0阶衍射导致(真实空间中的)空间倍频。因此,掩模40上的空间频率减半对于在目标上获得期望的IC图案是必要的。这可以通过具有三种状态(即,三种不同的反射系数)的掩模以及向相邻的主多边形(用于IC图案)和背景(即,没有主多边形的区域)分配不同的状态来实现。已经存在两种状态,即,状态210和220。在本实施例中,通过在背景中实现亚分辨率辅助多边形(sub-resolution assist polygon)并且向所有亚分辨率辅助多边形分配相同的状态(例如,状态220)来创建用于背景的第三状态。请注意,背景被定义为没有主多边形的区域,并且场被定义为没有主多边形和辅助多边形的区域。场和亚分辨率辅助多边形共同定义背景。然后,场与跟分配给辅助多边形的状态不同的状态(例如,状态210)相关联。在光刻曝光工艺期间,亚分辨率辅助多边形不可印刷。亚分辨率辅助多边形的至少一个边缘比λ/NA短,其中λ是辐射源的波长,NA是投影光学盒(POB)的数值孔径。由于亚分辨率辅助多边形不可印刷,因此背景共同具有与第一和第二状态(210和220)的有效反射系数不同的有效反射系数。因此,背景的有效反射系数是背景中各个区域(亚分辨率辅助多边形和场)的反射系数的面积加权平均值。
因此,如图5所示,掩模40具有3种不同的状态。相邻的主多边形310和320被分配以不同的状态(例如,分别为状态210和220)。在背景中,场330和亚分辨率辅助多边形340被分配以不同的状态(例如,分别为状态210和220),从而产生具有与第一和第二掩模状态(210和220)不同的第三掩模状态的背景。由于亚分辨率辅助多边形340不可印刷,因此背景具有与第一和第二状态的有效反射系数不同的有效反射系数,但是可以通过背景中的亚分辨率辅助多边形340的图案密度来调整。
在光刻曝光工艺期间,掩模40通过如下操作来曝光:进行具有小于0.3的部分相干σ的近轴上照射(ONI)以产生衍射光和非衍射光,去除大部分非衍射光,通过POB收集和引导衍射光和未被去除的非衍射光以曝光目标。
在本实施例中,掩模40是相移掩模,例如,用于EUV光刻工艺的交替相移掩模(AltPSM)。掩模40包括多个主多边形(用于IC图案)和辅助多边形。相邻的主多边形被分配不同的状态。例如,各个主多边形310和320分别被分配状态210和220。在EUV光刻工艺期间,亚分辨率辅助多边形不会被成像到目标(例如,晶圆)。但是,它们改变了背景的(有效)反射系数。在本实施例中,背景中的图案密度基本上是均匀的。背景中的图案密度被定义为单位面积中辅助多边形的总面积。可以通过改变背景中的图案密度来调整背景的(有效)反射系数。通过图案化第二反射层150来形成主多边形和辅助多边形。
此外,在一些实施例中,来自状态210的反射EUV光和来自状态220的反射EUV光具有180°相位差。在这种情况下,通过调整图案密度,第0衍射阶的幅度(其与掩模40上的各个区域的面积加权反射系数成比例,该各个区域包括主多边形、辅助多边形、和场)可以为零。例如,当r2=r1且图案密度为50%时,第0衍射阶的幅度为0。因此,曝光剂量损失通过去除第0衍射阶被最小化并且曝光工具的吞吐量被最大化。
第二反射层150确定相对于状态210的反射系数的状态220的反射系数。在与图2相关联的实施例中,(相对)反射系数约为-0.7757。在与图3相关联的实施例中,(相对)反射系数约为-0.8665。这里,“-”符号代表180°相位差。
本公开的不同实施例提供了各种优点。当掩模40是交替相移掩模时,成像质量、对比度、和分辨率得到改善。与其他交替相移掩模相比,掩模40不会出现图案不平衡问题。在一个实施例中,由于振幅A基本上接近1(在与图2相关联的实施例中为0.776,在与图3相关联的实施例中为0.867),因此总辐射能量损失基本上低,约为40%或更低。因此,减少了光刻曝光工艺的曝光持续时间并且提高了吞吐量。在各种实施例中,掩模40的图案化层(第二反射层150)的厚度被减小到90nm以下,并且曝光工艺期间的阴影效应被减少或消除。由于不使用吸收层或使用较少衰减的材料来形成图案化层150,因此减少了辐射能量损失。在其他实施例中,图5中的掩模40被设计为使得亚分辨率辅助多边形340处于第一状态210并且场330处于第二状态220。
图6是根据本公开的实施例的用于形成经图案化的抗蚀剂层的方法的流程图。方法400开始于操作S410,其中在衬底上方形成抗蚀剂层。在操作S420,确定最佳曝光剂量(EOP)。经历给定光刻工艺的给定抗蚀剂层具有确定的阈值曝光剂量(ETH),该阈值曝光剂量是与未曝光部分相比可靠地改变抗蚀剂层的曝光部分的行为的最小曝光剂量(当掩模上的图案与所采用的辐射源的波长相比较大时)。例如,在抗蚀剂层包括正性抗蚀剂材料的情况下,抗蚀剂层的曝光部分在超过阈值曝光剂量时变得可溶,使得在显影工艺期间抗蚀剂层的曝光部分被去除并且经显影的抗蚀剂层包括图案。在另一实施例中,在抗蚀剂层包括负性抗蚀剂材料的情况下,抗蚀剂层的曝光部分在超过阈值曝光剂量时变得不可溶,使得在显影工艺期间抗蚀剂层的未曝光部分被去除并且经显影的抗蚀剂层包括图案。然而,各种现实世界的影响可能导致阈值剂量不足。例如,当掩模上的图案的大小接近或小于所采用的辐射源的波长时,降低空间图像对比度的衍射效果变得显著。在另一实施例中,曝光后烘烤工艺表现出诸如化学放大抗蚀剂中的酸扩散、猝灭剂的酸湮灭等之类的效果。为了校正这些,在一些实施例中,基于针对预先指定的测试图案的曝光剂量来确定最佳曝光剂量,以在相应的单次曝光工艺下在晶圆上实现预先指定的目标尺寸。在操作S430,通过执行多重曝光工艺来在抗蚀剂层中形成图案。在一些实施例中,多重曝光工艺是使用极紫外辐射(EUV)来执行的,并且针对每个曝光工艺的辐射具有近似相同的波长。在操作S430的多重曝光工艺中,每个曝光工艺使用小于最佳曝光剂量的曝光剂量,并且多重曝光工艺的总曝光剂量(ET)近似等于最佳曝光剂量(换句话说,E1<EOP、E2<EOP、……、EN<EOP并且ET=E1+E2+E3+...+EN≈EOP,其中N是所执行的曝光工艺的总数)。在一些实施例中,多重曝光工艺的总曝光剂量(ET)在最佳曝光剂量(EOP)的约90%至约110%的范围内。
在每个曝光工艺期间,抗蚀剂层曝光于相同的图案,例如,相同的集成电路图案。在实施例中,每个曝光工艺使用单个相移掩模的不同部分来曝光抗蚀剂层,其中掩模的每个部分具有相同的图案。在另一实施例中,每个曝光工艺使用不同的相移掩模来曝光抗蚀剂层,其中每个掩模具有相同的图案。单个相移掩模和不同的相移掩模可能包括缺陷(例如,凸块缺陷、凹坑缺陷、其他类型的缺陷、或它们的组合)和相位缺陷区域。在抗蚀剂层中形成图案还包括显影工艺,其中将显影溶液施加到经曝光的抗蚀剂层上。在一些实施例中,在抗蚀剂层被曝光之前和/或之后,抗蚀剂层也暴露于烘烤工艺。可以在方法400之前、期间和之后提供附加步骤,并且可以替换、消除或移动所描述的一些步骤以用于方法400的另外的实施例。下面的讨论示出了根据图6的方法400被图案化的抗蚀剂层的各种实施例。
图7-8D和8F是在图6的方法400的各个阶段期间的抗蚀剂层的各种示意性横截面视图。为了清楚起见,已经简化了图7-8D和8F,以更好地理解本公开的发明构思。在图7中,提供诸如晶圆510之类的半导体衬底510。在一些实施例中,衬底510是在其上进行处理以提供用于形成集成电路(IC)器件的各种特征的材料层的任何基础材料。在所描绘的实施例中,晶圆510包括硅(即,硅晶圆)。可选地或另外地,晶圆510包括另一种基本半导体,例如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或它们的组合。在又一实施例中,半导体衬底510是绝缘体上半导体(SOI)衬底。可选地,半导体衬底510可以被称为材料层,或者半导体衬底510可以包括抗蚀剂层将被形成在其上的材料层。在实施例中,材料层是金属层、半导体层、或电介质层。在另一实施例中,材料层是硬掩模层,例如,氧化硅层或氮化硅层。
例如,通过旋涂技术在晶圆510上沉积抗蚀剂层520。抗蚀剂层520也被称为光致抗蚀剂层、光敏层、成像层、图案化层、或辐射敏感层。在沉积抗蚀剂层520之前,可以在晶圆510上执行诸如去离子(DI)水漂洗之类的漂洗工艺。可以对抗蚀剂层520进行烘烤工艺,例如,软烘工艺(也被称为后施(post-applied)烘烤工艺)。在所描绘的实施例中,抗蚀剂层520包括正性抗蚀剂材料。可选地,抗蚀剂层520包括负性抗蚀剂材料。抗蚀剂层520具有任何合适的厚度,例如,约10nm至约1,000nm的厚度。
在图8A-8F中,抗蚀剂层520被图案化以形成经图案化的抗蚀剂层520A。如图8A-8D中所描绘的,对抗蚀剂层520进行图案化包括执行多于一个曝光工艺,其中每个曝光工艺使用小于针对相应的单次曝光工艺的最佳曝光剂量EOP的曝光剂量。在所描绘的实施例中,第一曝光工艺530(图8A)、第二曝光工艺540(图8B)、第三曝光工艺550(图8C)、和第四曝光工艺560(图8D)各自使用具有相同电路图案的不同的相移掩模或单个相移掩模的不同区域,以分别以辐射的第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)选择性地照射抗蚀剂层520,从而在抗蚀剂层520中形成潜像图案532D。第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)均小于最佳曝光剂量(EOP)。此外,在本实施例中,第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)的总曝光剂量(ET)近似等于最佳曝光剂量(EOP)(换句话说,ET=E1+E2+E3+E4=EOP),使得潜像图案532在第四曝光工艺560之后可显影。在一些实施例中,多重曝光工艺的总曝光剂量(ET)在最佳曝光剂量(EOP)的约90%至约110%的范围内。
在所描绘的实施例中,第一曝光工艺530、第二曝光工艺540、第三曝光工艺550、和第四曝光工艺560用波长小于约100nm的辐射(例如,在极紫外(EUV)范围、X射线范围、深紫外范围、真空紫外范围中的辐射、或它们的组合)照射抗蚀剂层520。在实施例中,辐射是波长等于约13.5nm的EUV辐射。可选地,在一些实施例中,辐射具有大于约100nm的波长范围。例如,辐射源可以是光源,例如,波长为248nm的氟化氪(KrF)准分子激光、波长为193nm的氟化氩(ArF)准分子激光、波长为157nm的氟二聚体(F2)准分子激光、或其他光源。在一些实施例中,仅两个曝光工艺或多于四个曝光工艺可以在抗蚀剂层520中形成可显影的潜像图案。
在第一曝光工艺530、第二曝光工艺540、第三曝光工艺550、和第四曝光工艺560中使用的相移掩模包括图案(例如,根据设计布局的集成电路图案),该图案在曝光工艺530、540、550、和560中的每一个期间转移到抗蚀剂层520。在实施例中,相移掩模是反射掩模。在示例中,在第一曝光工艺530、第二曝光工艺540、第三曝光工艺550、和第四曝光工艺560中使用具有相同集成电路图案的不同的相移掩模(掩模1、掩模2、掩模3、掩模4)。在一些实施例中,掩模1、掩模2、掩模3、和掩模4是单个相移动掩模的不同区域,如图8E所示。
参考图8A,第一曝光工艺530将第一曝光剂量(E1)的辐射投射到相移掩模(PSM)掩模1上,并且一部分辐射被反射到抗蚀剂层520,从而将PSM掩模1的图案转移到抗蚀剂层520以形成包括潜像部分532A的潜像图案532。在一些实施例中,在抗蚀剂层520包括正性抗蚀剂材料的情况下,潜像部分532A变为部分可溶的。然后,参考图8B,第二曝光工艺540将第二曝光剂量(E2)的辐射投射到PSM掩模2上,并且一部分辐射被反射到抗蚀剂层520,从而将PSM掩模2的图案转移到抗蚀剂层520以增加潜像图案532(特别是潜像部分532A)的溶解度。因此,潜像图案532包括潜像部分532B,其比潜像部分532A更可溶。由于在第二曝光工艺540中提供的附加曝光剂量,潜像部分的溶解度被增加。参考图8C,第三曝光工艺550将第三曝光剂量(E3)的辐射投射到PSM掩模3上,并且一部分辐射被反射到抗蚀剂层520,从而将PSM掩模3的图案转移到抗蚀剂层520以进一步增加潜像图案532(特别是潜像部分532B)的溶解度。因此,潜像图案532包括潜像部分532C,其比潜像部分532B更可溶。参考图8D,第四曝光工艺560将第四曝光剂量(E4)的辐射投射到PSM掩模4上,并且一部分辐射被反射到抗蚀剂层520,从而将PSM掩模4的图案转移到抗蚀剂层520以进一步增加潜像图案532(特别是潜像部分532C)的溶解度。因此,潜像图案532包括潜像部分532D,其比潜像部分532C更可溶。因为第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)的总曝光剂量近似等于最佳曝光剂量(EOP)(在最佳曝光剂量的约90%至约110%的范围内),潜像部分532D是可溶的,使得潜像图案532是可显影的。
上述多重曝光工艺与扫描仪在通过步进和扫描来曝光整个晶圆时所采取的动作完全兼容。可以根据本公开的实施例来最小化由多重曝光工艺导致的对晶圆吞吐量的影响。相移掩模(PSM)允许使用减少的曝光剂量。因此,即使使用多重曝光,每次的曝光剂量也小于不使用PSM时所需的曝光剂量,从而增加晶圆吞吐量。因此,本公开的实施例提供了增加的晶圆吞吐量和减少的由于掩模缺陷而被拒绝的工件的协同效益。除了减少随机分布的掩模缺陷的影响之外,本公开的实施例还有效地减少其他随机误差的影响,例如,掩模图案的线边缘粗糙度和配准误差。
使用多重曝光工艺以在抗蚀剂层520中形成潜像图案532,其中每个曝光工艺通过使用小于最佳曝光剂量的曝光剂量来将抗蚀剂层520曝光于相同的图案,这减少了在多重曝光工艺中使用的掩模的缺陷或缺陷区域的影响。例如,在所描绘的实施例中,在第一曝光工艺530、第二曝光工艺540、第三曝光工艺550、和第四曝光工艺560期间使用的掩模或掩模区域具有缺陷或缺陷区域。在其中不同的相移掩模被使用的示例中,掩模1、掩模2、掩模3、掩模4包括相位缺陷区域。或者,在其中掩模的不同部分被使用的实施例中,不同部分中的一个或多个包括相位缺陷区域。因为投射到掩模1、掩模2、掩模3、和掩模4、或相移掩模的不同部分上的曝光剂量小于最佳曝光剂量,所以掩模1、掩模2、掩模3和掩模4或相移掩模的不同部分中的这种相位缺陷区域的影响被大大减少。由于这种缺陷或缺陷区域随机地位于各种掩模或各种掩模区域上,所以没有缺陷或缺陷区域将接收完全的曝光剂量。更具体地,减小了受每个单独的缺陷或缺陷区域影响的空间图像强度,从而减小了这种缺陷或缺陷区域的可印刷度。
不同的实施例可以具有不同的优点,并且任何实施例都不需要特定的优点。在一个实施例中,每次曝光的曝光剂量近似等于最佳曝光剂量(EOP)除以N,其中N是曝光的总数。因此,在本实施例中,第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)基本上等于最佳曝光剂量(EOP)的四分之一。可选地,第一曝光剂量(E1)、第二曝光剂量(E2)、第三曝光剂量(E3)、和第四曝光剂量(E4)近似等于最佳曝光剂量(EOP)的变化的一部分。可以根据缺陷可印刷度来调整多个EUV曝光工艺中的每个EUV曝光工艺的曝光剂量。因此,如果掩模或掩模区域上的缺陷更可印刷,则针对该掩模或该掩模区域的曝光剂量随后被减小。在一些实施例中,其中多重曝光剂量的总曝光剂量(ET)在最佳曝光剂量(EOP)的90%至110%之内。
在抗蚀剂层520接收完全曝光之后,可以对抗蚀剂层520进行曝光后烘烤(PEB)工艺。参考图8F,在抗蚀剂层520上执行显影工艺570,从而提供经图案化的抗蚀剂层520A。在显影工艺570期间,将显影溶液施加到抗蚀剂层520。在实施例中,显影溶液是碱性溶液,例如,四甲基氢氧化铵(TMAH)。根据抗蚀剂层520的特性来使用任何浓度水平的TMAH显影剂溶液,例如,约2.38%TMAH显影剂溶液。显影溶液根据抗蚀剂材料来去除抗蚀剂层520的曝光或未曝光部分。例如,在本实施例中,抗蚀剂层520包括正性抗蚀剂材料,因此显影工艺570去除(溶解)抗蚀剂层520的曝光部分(潜像图案522),留下晶圆510上抗蚀剂层520的未曝光部分。可选地,在抗蚀剂层520包括负性抗蚀剂材料的情况下,显影工艺570去除(溶解)抗蚀剂层520的未曝光部分,留下晶圆510上抗蚀剂层520的曝光部分。在一些实施例中,执行漂洗工艺,例如,去离子(DI)水漂洗。在一些实施例中,漂洗工艺去除残留颗粒。
经图案化的抗蚀剂层520A包括暴露下面的晶圆510的部分的开口572。随后的工艺可以包括通过蚀刻来去除暴露的晶圆510的部分。可选地,可以在晶圆510上/上方执行金属沉积、离子注入、或其他工艺。然后可以通过任何合适的工艺来去除(或剥离)经图案化的抗蚀剂层520A。例如,可以用流体(或剥离溶液)来去除经图案化的抗蚀剂层520A。在一些情况下,在晶圆510的暴露部分经受离子轰击或等离子体处理过程的情况下,流体对离子轰击的,经图案化的抗蚀剂层520A和/或等离子体处理的经图案化的抗蚀剂层520A进行剥离。在去除了经图案化的抗蚀剂层520A之后,后续处理可以继续以完成对集成电路器件的制造。在一些实施例中,可以执行附加的图案化工艺、蚀刻工艺、沉积工艺、和其他工艺以形成集成电路器件的附加特征。
图9中示出了根据本公开实施例的光刻装置900。其上涂覆有光致抗蚀剂层520的半导体衬底510在光刻装置900中暴露于辐射。光致抗蚀剂涂覆的半导体衬底由晶圆台910支撑。光掩模40由掩模台905支撑。在一些实施例中,光掩模40和光致抗蚀剂层分开距离D1。在一些实施例中,晶圆台910被配置为相对于光掩模40横向移动L或垂直移动V。横向移动L包括沿X轴或Y轴(进入页面)的移动。垂直移动V沿Z轴。在一些实施例中,晶圆台910相对于光掩模40垂直移动,从而改变光掩模40和光致抗蚀剂层520之间的距离。因此,在一些实施例中,光掩模40与光致抗蚀剂层520之间的距离相对于初始距离D1增大或减小。在一些实施例中,晶圆台被配置为围绕X轴或Y轴倾斜。
在一些实施例中,辐射是极紫外辐射,并且光掩模40是反射EUV相移光掩模。在一些实施例中,在EUV辐射源915中生成极紫外辐射。EUV辐射源915生成EUV辐射534,其朝向光掩模40并被反射光掩模40反射。反射EUV辐射536包括根据光掩模40中的图案的图案信息。带有图案信息的反射EUV辐射536以图案方式对光致抗蚀剂层520进行曝光,从而在光致抗蚀剂层520中形成与光掩模图案相对应的潜在图案。通过使用合适的显影剂对经曝光的光致抗蚀剂层进行显影,来在经图案式曝光的光致抗蚀剂层中形成图案。光致抗蚀剂是正性抗蚀剂或负性抗蚀剂。在一些实施例中,使用合适的蚀刻操作来将光致抗蚀剂中的图案延伸到下面的层中。蚀刻操作可以是湿法蚀刻操作或干法蚀刻操作。在下面的层中形成图案之后,通过合适的光致抗蚀剂剥离或等离子体灰化操作来去除剩下的光致抗蚀剂。
EUV光刻装置900采用EUV辐射源915来产生EUV光,例如,波长范围在约1nm和约100nm之间的EUV光。在一个特定示例中,EUV辐射源915产生波长以约13.5nm为中心的EUV光。在本实施例中,EUV辐射源915利用激光产生的等离子体(laser-produced plasma,LPP)的机制来产生EUV辐射。
EUV辐射源915包括目标液滴生成器和LPP收集器。在一些实施例中,目标液滴是锡(Sn)、锂(Li)、或Sn和Li的合金的液滴。在一些实施例中,目标液滴各自具有从约10微米(μm)至约100μm的直径。例如,在实施例中,目标液滴是直径为约10μm至约100μm的锡液滴。在其他实施例中,目标液滴是直径为约25μm至约50μm的锡液滴。在一些实施例中,目标液滴通过目标液滴生成器的喷嘴来提供。随后通过激光加热目标液滴,这与通过喷嘴喷射目标液滴同步地脉冲。在一些实施例中,当目标液滴移动通过激发区时,激光预脉冲对目标液滴进行加热并将它们转换成低密度目标羽流,其随后由产生高温等离子体的激光的主脉冲加热。等离子体发射EUV辐射,其由收集器收集并聚焦用于光刻曝光工艺。
在一些实施例中,激光器包括二氧化碳(CO2)或掺杂钕的钇铝石榴石(Nd:YAG)激光源(其波长在电磁波谱的红外区域中)。在一些实施例中,激光具有9.4μm或10.6μm的波长。
在一些实施例中,根据需要,在EUV辐射源915和光掩模40之间,或者在光掩模40和光致抗蚀剂层520之间存在附加的光学器件,以进一步减小光致抗蚀剂层520上的图案的大小或进一步聚焦EUV辐射。如本文所使用的,术语“光学器件”旨在广义地解释为包括但不必限于反射和/或透射和/或操作入射光的一个或多个组件,并且包括但不限于:一个或多个透镜、窗口、滤光片、楔形物、棱镜、棱栅、光栅、传输光纤、标准具、漫射器、均化器、探测器、和其他仪器组件、孔径、轴棱镜和包括多层反射镜的反射镜、近法向入射镜、掠入射镜、镜面反射器、漫反射器、及它们的组合。此外,除非另有说明,否则本文所使用的术语“光学器件”均不限于在一个或多个特定波长范围内(例如,以EUV输出光波长、辐照激光波长、适合于计量的波长或任何其他特定波长)单独或有利地进行操作的组件。
在一些实施例中,晶圆台910的移动和曝光辐射的产生由控制器控制。图10A和10B示出了根据本公开的一些实施例的控制器920。在一些实施例中,控制器920是计算机系统,包括计算机硬件和计算机程序。图10A是控制辐射产生和晶圆台移动的计算机系统的示意图。可以通过使用计算机硬件和在其上执行的计算机程序来实现前述实施例的过程、方法和/或操作的全部或一部分。操作包括晶圆台的移动、将一个相移掩模更换为另一个相移掩模、曝光照射顺序、曝光剂量、EUV辐射的产生(包括Sn液滴产生的频率和激光脉冲的时序)。在一些实施例中,计算机系统配备有计算机925,计算机925包括光盘只读存储器(例如,CD-ROM或DVD-ROM)驱动器945和磁盘驱动器950、键盘930、鼠标935和监视器940。
图10B是示出控制器920的一些实施例的内部配置的图。在图10B中,除了光盘驱动器945和磁盘驱动器950之外,计算机925还配备有一个或多个处理器960(例如,微处理单元(MPU)960)、ROM 965(其中存储有诸如启动程序之类的程序)、随机存取存储器(RAM)970(连接到MPU 960并且其中临时存储有应用程序的命令并且其中配备有临时存储区域)、硬盘975(其中存储有应用程序、系统程序、和数据)、以及连接MPU 960、ROM 965等的总线990。注意,计算机925可以包括用于提供到LAN的连接的网络卡(未示出)。
用于使得控制器920执行上述实施例的曝光照射的顺序、曝光剂量、和晶圆台移动的功能的程序可以存储在光盘980或磁盘985中,其被插入到光盘驱动器945或磁盘驱动器950中并被传输到硬盘975。可选地,程序可以经由网络(未示出)传输到计算机925并存储在硬盘975中。在执行时,程序被加载到RAM 970中。程序可以从光盘980或磁盘985加载,或者直接从网络加载。程序不一定必须包括例如使得计算机925执行前述实施例中的光掩模数据生成和合并装置的功能的操作系统(OS)或第三方程序。程序可以仅包括命令部分,用于在受控模式下调用适当的功能(模块)并获得期望的结果。
图11是示出根据本公开的实施例的极紫外光刻方法1的流程图。在操作S1110中,提供具有至少两个掩模区域的相移掩模,该至少两个掩模区域具有相同电路图案。在操作S1120中,在衬底上方形成抗蚀剂层。在操作S1130中,确定光致抗蚀剂层520的最佳曝光剂量Eop。在操作S1140中,使用相移掩模来对抗蚀剂层照射极紫外辐射,以通过两个掩模区域来多次曝光抗蚀剂层的相同区域。例如,当通过使用四个不同的掩模或掩模区域将相同的图案曝光四次时,每次剂量等于Eop/4。用极紫外辐射来照射抗蚀剂层包括多个曝光工艺工艺,并且多个曝光工艺中的每一个曝光工艺使用的极紫外辐射的曝光剂量小于最佳曝光剂量。
在一些实施例中,相移掩模的两个掩模区域中的至少一个包括缺陷。在一些实施例中,最佳曝光剂量基于针对在至少两个掩模区域中的任一者上的图案的曝光,以在相应的单次曝光工艺下实现目标尺寸。在一些实施例中,总曝光剂量是光致抗蚀剂层520的最佳曝光剂量。在一些实施例中,最佳曝光剂量是预先确定的并被存储在存储器中。可以针对各种晶圆尺寸、光致抗蚀剂组合物、和光致抗蚀剂层厚度来确定和存储最佳曝光剂量。在一些实施例中,在曝光操作之前将所存储的最佳曝光剂量输入到控制器900。
在一些实施例中,曝光是扫描曝光,并且在扫描曝光操作期间移动半导体衬底510,以使得扫描辐射在光致抗蚀剂层520中曝光期望的图案曝光来。半导体衬底510在曝光操作之间相对于掩模40进行的移动包括移动支撑半导体晶圆的晶圆台910更接近或进一步远离光掩模40,例如,-在垂直方向上,或者在横向方向上移动(步进)晶圆台910(例如,-从半导体衬底510上的一个管芯到另一个管芯)。在步进操作期间,步进晶圆台910,以使得掩模的相同部分在随后的曝光操作中被定位在不同于先前的曝光操作中的管芯上。在一些实施例中,在曝光操作期间,同时将光致抗蚀剂层520的第一部分和第二部分进行曝光。
随后,在一些实施例中,半导体衬底510相对于光掩模40被移动,并使用第三掩模区域来将光致抗蚀剂层520的第一部分暴露于第三曝光剂量的极紫外辐射,使用第二掩模区域将光致抗蚀剂层520的第二部分暴露于第三曝光剂量的极紫外辐射,并且使用第一掩模区域将光致抗蚀剂层520的第三部分暴露于第三曝光剂量。在一些实施例中,在曝光操作期间,光致抗蚀剂层520的第一、第二、和第三部分的曝光基本上是同时进行的。
在一些实施例中,随后使半导体衬底510相对于光掩模40移动,并且使用第四掩模区域将光致抗蚀剂层520的第一部分暴露于第四曝光剂量的极紫外辐射,使用第三掩模区域将光致抗蚀剂层520的第二部分暴露于第四曝光剂量的极紫外辐射,使用第二掩模区域将光致抗蚀剂层520的第三部分暴露于第四曝光剂量,并且使用第一掩模区域将光致抗蚀剂层520的第四部分暴露于第四曝光剂量的极紫外辐射。在一些实施例中,在曝光操作期间,光致抗蚀剂层520的第一、第二、第三、和第四部分的曝光基本上是同时进行的。
在一些实施例中,第一掩模区域、第二掩模区域、第三掩模区域、和第四掩模区域均具有相同的电路或芯片图案。因此,相同的图案图像被叠加在光致抗蚀剂层520的第一部分中。
在一些实施例中,第一曝光剂量、第二曝光剂量、第三曝光剂量、和第四曝光剂量是不同的。在一些实施例中,第一曝光剂量与第三曝光剂量相同,并且第二曝光剂量与第四曝光剂量相同,并且在一些实施例中,第一和第三曝光剂量不同于第二和第四曝光剂量。在一些实施例中,第一曝光剂量、第二曝光剂量、第三曝光剂量、和第四曝光剂量中的每一者都小于目标曝光剂量。在一些实施例中,由光致抗蚀剂层的每个部分接收的所有曝光剂量的总和基本上等于最佳曝光剂量。在一些实施例中,第一、第二、第三、和第四曝光剂量中的每一者约为最佳总曝光剂量的四分之一。
在一些实施例中,该方法包括执行额外的曝光步骤(曝射),以使得光致抗蚀剂层520的每个部分均曝光相同的次数。
图12是示出根据本公开的实施例的远紫外曝光方法1200的流程图。在操作S1210中,提供具有相同电路图案的两个相移掩模。在操作S1220中,在衬底上方形成抗蚀剂层。在操作S1230中,确定光致抗蚀剂层520的最佳曝光剂量。在操作S1240中,使用两个相移掩模来对抗蚀剂层照射极紫外辐射,以通过两个相移掩模来多次曝光抗蚀剂层的相同区域。用极紫外辐射来照射抗蚀剂层包括多个曝光工艺,并且多个曝光工艺中的每一个曝光工艺使用的极紫外辐射的曝光剂量小于最佳曝光剂量。
在一些实施例中,两个相移掩模中的至少一个包括缺陷。在一些实施例中,最佳曝光剂量基于针对在至少两个相移掩模中的任一者上的图案的曝光,以在相应的单次曝光工艺下实现目标尺寸。在一些实施例中,总曝光剂量是光致抗蚀剂层520的最佳曝光剂量。在一些实施例中,最佳曝光剂量是预先确定的并被存储在存储器中。可以针对各种晶圆尺寸、光致抗蚀剂组合物、和光致抗蚀剂层厚度来确定和存储最佳曝光剂量。在一些实施例中,在曝光操作之前将所存储的最佳曝光剂量输入到控制器900。
在一些实施例中,曝光是扫描曝光,并且在扫描曝光操作期间移动半导体衬底510,以使得扫描辐射在光致抗蚀剂层520中曝光期望的图案。半导体衬底510在曝光操作之间相对于掩模40进行的移动包括移动支撑半导体晶圆的晶圆台910更接近或进一步远离光掩模40,例如,-在垂直方向上,或者在横向方向上移动(步进)晶圆台910(例如,-从半导体衬底510上的一个管芯到另一个管芯)。在步进操作期间,步进晶圆台910,以使得一个掩模分在随后的曝光操作中被定位在不同于先前的曝光操作中的管芯上。在一些实施例中,在曝光操作期间,同时将光致抗蚀剂层520的第一部分和第二部分进行曝光。
在一些实施例中,半导体衬底510随后相对于光掩模40被移动,并使用第三相移掩模来将光致抗蚀剂层520的第一部分暴露于第三曝光剂量的极紫外辐射,使用第二相移掩模将光致抗蚀剂层520的第二部分暴露于第三曝光剂量的极紫外辐射,并且使用第一掩模区域将光致抗蚀剂层520的第三部分暴露于第三曝光剂量。在一些实施例中,在曝光操作期间,光致抗蚀剂层520的第一、第二、和第三部分的曝光基本上是同时进行的。
在一些实施例中,随后使半导体衬底510相对于光掩模40移动,并且使用第四相移掩模将光致抗蚀剂层520的第一部分暴露于第四曝光剂量的极紫外辐射,使用第三相移掩模将光致抗蚀剂层520的第二部分暴露于第四曝光剂量的极紫外辐射,使用第二相移掩模将光致抗蚀剂层520的第三部分暴露于第四曝光剂量,并且使第一相移掩模将光致抗蚀剂层520的第四部分暴露于第四曝光剂量的极紫外辐射。在一些实施例中,在曝光操作期间,光致抗蚀剂层520的第一、第二、第三、和第四部分的曝光基本上是同时进行的。
在一些实施例中,第一相移掩模、第二相移掩模、第三相移掩模、和第四相移掩模均具有相同的电路或芯片图案。因此,相同的图案图像被叠加在光致抗蚀剂层520的第一部分中。
在一些实施例中,第一曝光剂量、第二曝光剂量、第三曝光剂量、和第四曝光剂量是不同的。在一些实施例中,第一曝光剂量与第三曝光剂量相同,并且第二曝光剂量与第四曝光剂量相同,并且在一些实施例中,第一和第三曝光剂量不同于第二和第四曝光剂量。在一些实施例中,第一曝光剂量、第二曝光剂量、第三曝光剂量、和第四曝光剂量中的每一者都小于目标曝光剂量。在一些实施例中,由光致抗蚀剂层的每个部分接收的所有曝光剂量的总和基本上等于最佳曝光剂量。在一些实施例中,第一、第二、第三、和第四曝光剂量中的每一者约为最佳总曝光剂量的四分之一。
在一些实施例中,该方法包括额外另外的曝光步骤(曝射),以使得光致抗蚀剂层520的每个部分均曝光相同的次数。
图13是示出根据本公开的实施例的远紫外光刻方法1300的流程图。在操作S1310中,提供具有相同图案的至少两个相移掩模区域。在操作S1320中,在衬底上方形成抗蚀剂层。在操作S1330中,确定抗蚀剂层520的最佳曝光剂量。最佳曝光剂量基于针对在至少两个相移掩模区域中的一者上的预定图案的曝光剂量,以在相应的单次曝光工艺下实现目标尺寸。在操作S1140中,使用两个相移掩模区域来在抗蚀剂层中形成潜像。形成潜像包括在抗蚀剂层的相同区域上进行至少两次曝光。至少两次曝光中的每一次使用的曝光剂量小于最佳曝光剂量。至少两次曝光中的每一次曝光剂量的总和近似等于最佳曝光剂量。
在一些实施例中,执行至少两次曝光包括至少两个曝光工艺中的每一个工艺在抗蚀剂层的相同区域上投射至少两个相移掩模区域的相同图案。在一些实施例中,至少两个相移掩模区域来自单个相移掩模。在一些实施例中,至少两个相移掩模区域来自至少两个相移掩模。在一些实施例中,至少两次曝光中的每一次曝光的曝光剂量基本上彼此相等。在一些实施例中,至少两次曝光中的每一次曝光的曝光剂量彼此不同。在一些实施例中,该方法包括执行额外的曝光步骤(曝射),以使得光致抗蚀剂层520的每个部分均曝光相同的次数。
图14是示出根据本公开的实施例的远紫外光刻方法1400的流程图。在操作S1410中,提供第一相移掩模区域。在操作S1420中,提供具有与第一相移掩模区域相同的图案的第二相移掩模区域。在操作S1430中,在衬底上方形成抗蚀剂层。在操作S1440中,确定抗蚀剂层520的最佳曝光剂量。以第一曝光剂量使用第一相移掩模区域并且以第二曝光剂量使用第二相移掩模区域来曝光抗蚀剂层的相同区域。第一和第二曝光剂量均小于最佳曝光剂量。
在一些实施例中,第一相移掩模区域和第二相移掩模区域来自单个相移掩模40。在一些实施例中,第一相移掩模区域和第二相移掩模区域来自两个相移掩模40。在一些实施例中,第一相移掩模区域和第二相移掩模区域中的至少一个具有缺陷。在一些实施例中,第一曝光剂量不同于第二曝光剂量。在一些实施例中,第一次曝光剂量与第二次曝光剂量相同。在一些实施例中,抗蚀剂层520的相同区域暴露于四个曝光剂量。在一些实施例中,暴露在抗蚀剂层的相同区域上的所有曝光剂量的总和基本上等于最佳曝光剂量。在一些实施例中,该方法包括执行额外的曝光步骤(曝射),以使得光致抗蚀剂层520的每个部分均曝光相同的次数。
为了改善EUVL操作中的曝光分辨率,对光致抗蚀剂覆盖的晶圆进行的多次曝光是使用一个或多个相移掩模执行的。例如,在一些实施例中,光致抗蚀剂覆盖的晶圆上的每个管芯以一个或多个相移掩模上的相同图案进行四次曝光。
在一些实施例中,相移掩模在掩模上的四个相邻位置中包括相同的图案,并且当晶圆相对于曝光束移动时,每个管芯四次暴露于相同的图案。为了防止给定晶圆的过度曝光,每次曝光的曝光剂量是所期望的总曝光剂量的四分之一。在一些实施例中,减少的曝光剂量通过在每次曝光时更快地移动晶圆台来实现。例如,晶圆台在扫描曝光期间可以以正常速度的四倍移动,使得在每次曝光中每个管芯仅曝光总曝光时间的四分之一。本公开不限于对每个管芯的四次曝光,并且多次曝光可以是两次、三次、或五次或者更多次。在一些实施例中,通过单个相移掩模的不同部分或具有相同图案的多个相移掩模进行的多次曝光消除了可能存在于相移掩模的给定位置中的任何缺陷。
图15-图49示出了根据本公开实施例的曝光光致抗蚀剂涂覆的半导体晶圆510的方法。如图15中所示,使用反射相移掩模,首先将左下侧管芯暴露于辐射剂量小于最佳剂量的辐射。
在图16中,光掩模40随后相对于晶圆510向上移动。三个管芯以小于最佳剂量的辐射剂量进行曝光。因此,最初曝光的管芯(左下方管芯)已被曝光了两次。第一个管芯上方的两个管芯各被曝光了一次。
光掩模40被示出为具有六个图案区域,这六个图案区域具有相同图案,因此可以一次曝光多达六个管芯。然而,本公开不限于具有六个相同图案的掩模,并且在一些实施例中,掩模包含两个、三个、四个、五个、或多于六个相同的图案,这能够使晶圆上的两个、三个、四个、五个、或者更多的管芯同时成像。
在图17中,光掩模40相对于晶圆510向上移动,并且使用小于最佳曝光剂量的曝光剂量来曝光晶圆510。如本文所解释的,在一些实施例中,通过移动晶圆台910来完成相对于晶圆510移动掩模40。
如图18中所示,掩模40相对于晶圆510向上移动,并且使用小于最佳曝光剂量的曝光剂量来执行曝光。最上面的两个管芯已被曝光了一次,并且下面的四个管芯已被曝光了两次。
如图19中所示,掩模40相对于晶圆510向上移动,并且上面的两个左下侧管芯以小于最佳曝光剂量的曝光剂量进行第二次曝光。因此,左侧第一列管芯中的每个管芯均已被曝光了两次。
在图20中,掩模40随后相对于图1中的晶圆510向右移动。四个管芯被曝光,导致第一列中的最上面的两个管芯被曝光了三次,并且第二列中的最上面的两个管芯以小于最佳曝光剂量的曝光剂量被曝光了一次。
在图21中,掩模40相对于晶圆510向下移动,并且晶圆510暴露于EUV辐射。因此,第一列中最上面的两个管芯已经被曝光了四次,并且第二列中两个最上面的管芯已经被曝光两次。第一和第二列顶部开始的第三个管芯分别被曝光了三次和一次。
如图22中所示,掩模40相对于晶圆510向下移动,并且执行小于最佳曝光剂量的曝光。从第一列顶部开始的第三个管芯现在已被曝光了四次,第二列顶部开始的第三个管芯已被曝光了两次,第一列底部开始的第二个和第三个管芯已被曝光了三次,并且第二列底部开始的第二个和第三个管芯已被曝光了一次。
在图23中,掩模40随后相对于晶圆510向下移动。第一和第二列中的下面的三个管芯以小于最佳曝光剂量的曝光剂量进行曝光。因此,第一列底部开始的第二个和第三个管芯均已被曝光了四次。第二列底部开始的第二个和第三个管芯均已被曝光了两次。第一列中的底部管芯已被曝光了三次,并且第二列中的底部管芯已被曝光了一次。
在图24中,掩模40相对于晶圆510向下移动,并且晶圆510以小于最佳曝光剂量的曝光剂量进行曝光。在此步骤之后,第一列中的所有管芯均已被曝光了四次,并且第二列中的所有管芯均已被曝光了两次。在每次曝光约为总曝光剂量的四分之一的实施例中,第一列中的每个管芯现在已被完全曝光。
如图25中所示,掩模40相对于晶圆510向右移动,并且执行小于最佳曝光剂量的曝光。第二列中的底部管芯现已被曝光了三次,第三列中的底部管芯已被曝光了一次。
在图26中,掩模40随后相对于图1中的晶圆510向上移动,并且六个管芯以小于最佳曝光剂量的方式进行曝光。因此,第二列底部开始的第二个和第三个管芯已被曝光了三次,其中一次是在目标焦点上方,以及其中两次是在目标焦点下方,并且第三列底部开始的第二个和第三个管芯已被曝光了一次。第二和第三列的底部管芯分别被曝光了四次和两次。
在图27中,掩模40相对于晶圆510向上移动,并且晶圆510以小于最佳曝光剂量的曝光剂量进行曝光。因此,第二列底部开始的第二个和第三个管芯已被曝光了四次,并且第二列顶部开始的第三个管芯已被曝光了三次。第三列底部开始的第二个和第三个管芯已被曝光了两次,并且第三列顶部开始的第三个管芯已被曝光了一次。
如图28中所示,掩模40相对于晶圆510向上移动,并且执行小于最佳曝光剂量的曝光。第二列中的最上面的两个管芯被曝光了三次,并且第二列顶部开始的第三个管芯现在被曝光了四次。第三列中最上面的两个管芯已被曝光了一次,并且第三列顶部开始的第三个管芯已被曝光了两次。
在图29中,掩模40随后相对于图1中的晶圆510向上移动。第二和第三列中最上面的两个管芯以小于最佳曝光剂量的曝光剂量进行曝光。因此,第二列中最上面的两个管芯已被曝光了四次,并且第三列中最上面的两个管芯已被曝光了两次。
在图30中所示,掩模40相对于晶圆510向右移动,并且晶圆510以小于最佳曝光剂量的曝光剂量进行曝光。因此,第三列中最上面的两个管芯和第四列中最上面的两个管芯分别被曝光了三次和一次。
如图31中所示,掩模40随后相对于晶圆510向下移动,并且执行小于最佳曝光剂量的曝光。第三和第四列中最上面的两个管芯现在分别被曝光了四次和两次。第三列顶部开始的第三个管芯已被曝光了三次,第四列顶部开始的第三个管芯已被曝光了一次。
如图32中所示,掩模40相对于晶圆510向下移动,并且六个管芯以小于最佳曝光剂量的曝光剂量进行曝光。因此,第三和第四列顶部开始的第三个管芯分别被曝光了四次和两次。第三列底部开始的第二个和第三个管芯已被曝光了三次。第四列底部开始的第二个和第三个管芯已被曝光了一次。
在图33中,掩模40随后相对于图1中的晶圆510向下移动,并且六个管芯以小于最佳曝光剂量的曝光剂量进行曝光。因此,第三列底部开始的第二个和第三个管芯已被曝光了四次。第四列底部开始的第二个和第三个管芯已被曝光了两次。第三和第四列的底部管芯分别被曝光了三次和一次。
在图34中,掩模40相对于晶圆510向下移动,并且晶圆510以小于最佳曝光剂量的方式进行曝光。因此,第三和第四列中的底部管芯分别被曝光了四次和两次。
如图35中所示,掩模40相对于晶圆510向右移动,并且执行小于最佳曝光剂量的曝光。第四和第五列的底部管芯现在分别被曝光了三次和一次。
在图36中,掩模40随后相对于图1中的晶圆510向上移动,并且第四和第五列中的下面的六个管芯以小于最佳曝光剂量的曝光剂量进行曝光。因此,第四列底部开始的第二个和第三个管芯已被曝光了三次。第五列底部开始的第二个和第三个管芯已被曝光了一次。第四列中的底部管芯已被曝光了四次,并且第五列中的底部管芯已被曝光了两次。
在图37中,掩模40相对于晶圆510向上移动,并且晶圆510以小于最佳曝光剂量的曝光剂量进行曝光。第四列底部开始的第二个和第三个管芯现在已被曝光了四次,并且第五列底部开始的第二个和第三个管芯已被曝光了两次。第四列顶部开始的第三个管芯已被曝光了三次,并且第五列顶部开始的第三个管芯已被曝光了一次。
如图38中所示,掩模40相对于晶圆510向上移动,并且执行小于最佳曝光剂量的曝光。第四列中最上面的两个管芯现在已被曝光了三次,并且第五列中最上面的两个管芯已被曝光了一次。第四列顶部开始的第三个管芯已被曝光了四次,并且第五列顶部开始的第三个管芯已被曝光了两次。
在图39中,掩模40相对于晶圆510向上移动,并且四个管芯以小于最佳曝光剂量的曝光剂量进行曝光。第四列中最上面的两个管芯已被曝光了四次,并且第五列中最上面的两个管芯已被曝光了两次。
如图40中所示,掩模40相对于晶圆510向右移动,并且执行小于最佳曝光剂量的曝光。第五列中最上面的两个管芯第三次被曝光,并且第五列中最上面的两个管芯已被曝光了一次。
在图41中,掩模40随后相对于图1中的晶圆510向下移动,并且第五和第六列的右上角的六个管芯以小于最佳曝光剂量的方式进行曝光。因此,第五列中最上面的两个管芯已被曝光了四次,并且第六列中最上面的两个管芯已被曝光了两次。第五列顶部开始的第三个管芯已被曝光了三次,并且第六列顶部开始的第三个管芯已被曝光了一次。
在图42中,掩模40相对于晶圆510向右下移,并且晶圆510以小于最佳曝光剂量的方式进行曝光。因此,第五列底部开始的第二个和第三个管芯以及第六列底部开始的第二个和第三个管芯分别被曝光了三次和一次。第五列顶部开始的第三个管芯和第六列顶部开始的第三个管芯分别被曝光了四次和两次。
如图43中所示,掩模40随后相对于晶圆510向下移动,并且执行小于最佳曝光剂量的曝光。第五和第六列底部开始的第二个和第三个管芯现在分别被曝光了四次和两次。第五和第六列的底部管芯分被曝光了三次和一次。
如图44中所示,掩模40相对于晶圆510向下移动,并且执行小于最佳曝光剂量的曝光。第五和第六列中的两个底部管芯现在分别被曝光了四次和两次。
在图45中,掩模40随后相对于晶圆510向右移动,并且第六列中的底部管芯以小于最佳曝光剂量的方式进行曝光。因此,第六列的底部管芯已被曝光了三次。
在图46中,掩模40相对于晶圆510向上移动,并且四个管芯以小于最佳曝光剂量的方式进行曝光。第六列中的底部管芯现在已被曝光了四次,并且第六列底部开始的第二个和第三个管芯已被曝光了三次。
如图47中所示,掩模40相对于晶圆510向上移动,并且以小于最佳曝光剂量的曝光剂量进行曝光。第六列底部开始的第二个和第三个管芯已被曝光了四次,并且第六列顶部开始的第三个管芯已被曝光了三次。
在图48中,掩模40随后相对于图1中的晶圆510向上移动,并且执行小于最佳曝光剂量的曝光。第六列中最上面的两个管芯现在已被曝光了三次,并且第六列顶部开始的第三个管芯已被曝光了四次。
在图49中,掩模40相对于晶圆510向上移动,并且晶圆510以小于执行最佳曝光剂量的方式进行曝光。第六列最上面的两个管芯已被曝光了四次,因此,6×6阵列中的所有管芯均已被曝光了四次。
图15-图49的半导体晶圆510上的6×6管芯阵列或一个相移掩模中的2×3管芯阵列为本公开的示例,并且本公开不限于具有36个管芯的晶圆或具有6个相同管芯图案的掩模。在一些实施例中,少于36个管芯或多于36个管芯被曝光。在图15-图49的实施例中,掩模40在从一个管芯步进到相邻管芯的循环中相对于晶圆510移动或步进,然后步进两个管芯,随后步进到相邻管芯,并且在单管芯步长与双管芯步长之间交替。
在一些实施例中,相移掩模具有2×2个管芯阵列,每个管芯具有相同的图案。当使用具有2×2阵列管芯的相移掩模时,掩模在曝光曝射之间一次步进一个管芯。
如图50中所示,根据所公开实施例的方法实现了出人意料的缺陷减少的效果。例如,CD变化显着减小,尤其是在分布曲线的末端(其对应于接近可印刷性边缘的特征),如直方图所示。在一些实施例中,单个曝光工艺在22+/-5nm的关键尺寸处产生120次失败,而四次曝光工艺将失败次数减少到12次,如图50中的垂直条之间的区域中所示。由垂直条限定界定的区域低于17nm(关键尺寸的可接受下限)。
在一些实施例中,提供了一种检查相移掩模的方法。方法包括检查包括多个相同电路图案的相移掩模。检查相移掩模。如果在相移掩模上在电路图案中的一个电路图案的特定位置处发现缺陷并且在其他相同的电路图案中的相同位置处未发现缺陷,则掩模通过检查。在一些实施例中,如果在一个掩模图案中发现缺陷,则其将不会在成像的光致抗蚀剂中被分辨。
在一些实施例中,提供了具有多个相同电路图案的相移掩模。多个相同电路图案中的一个电路图案在特定位置处具有缺陷。其他相同的电路图案在相同位置处没有相同的缺陷。在一些实施例中,相移掩模可用于光刻工艺,因为在使用具有缺陷的掩模曝光的成像的光致抗蚀剂中,多个相同电路图案中的仅一个电路图案上的缺陷不会被分辨。
期望提高EUVL操作的分辨率以便增加半导体器件的产量。在一些情况下,在使用具有缺陷或污染物的光掩模来在光致抗蚀剂层中形成图案时,掩模中的图案缺陷或掩模表面上的微粒污染产生光致抗蚀剂层图案中的缺陷。为了提高EUVL操作中的曝光分辨率并且避免由于颗粒或掩模缺陷产生的缺陷图案,执行光致抗蚀剂覆盖的晶圆的多重曝光。例如,在一些实施例中,使用掩模的不同部分,用相同图案将光致抗蚀剂涂覆的晶圆上的每个管芯曝光四次。在一些实施例中,曝光掩模在掩模上的四个相邻位置中包括相同图案,并且随着晶圆相对于曝光光束移动,每个管芯被曝光至相同图案四次。为了防止给定管芯的过度曝光,每个曝光的曝光剂量是所需总曝光剂量的四分之一。在一些实施例中,通过在每个曝光时更快地扫描曝光光束来实现每个曝光时减少的曝光剂量。因此,在每个扫描曝光期间,光致抗蚀剂涂覆的晶圆曝光较短的时间。例如,扫描光束可以以正常速度的四倍相对于光致抗蚀剂层移动,使得在每个曝光时,每个管芯被曝光总曝光时间的四分之一。在一些实施例中,通过在每个扫描曝光时更快地移动晶圆台来实现减小的曝光剂量。一个图案上的缺陷或颗粒污染物可能不会在掩模的另一图案中的相同位置处被发现。因为每个曝光低于所需的总曝光量,所以掩模的一部分上的孤立缺陷或颗粒将不会成像到光致抗蚀剂层中。根据本公开的实施例,使用掩模的具有相同电路或芯片图案的不同部分的多重曝光的累积曝光剂量将再现光致抗蚀剂中的图案,而不对孤立缺陷或颗粒进行成像。
虽然在不同焦点深度处执行多重曝光可能增加曝光晶圆上的所有管芯所花费的时间,但是整体半导体器件制造方法由于由本公开的实施例提供的缺陷的减少和增加的器件产量而更有效。相移掩模的具有相同电路图案的不同部分对光致抗蚀剂层的相同部分的多重曝光防止了在单个掩模图案上形成的缺陷或污染物颗粒不利地影响使用具有缺陷或颗粒污染的掩模在光致抗蚀剂层中形成的图案。执行本公开的方法提供了改进的图像对数斜率(image log slope,ILS)(其是图像在从亮到暗的过渡中的陡度的度量),以及改进的掩模误差增强因子(MEEF)(其是抗蚀剂特征宽度中的变化与掩模特征宽度中的变化的比率)。
本公开的实施例是一种极紫外光刻(EUVL)方法,包括:提供具有相同图案的至少两个相移掩模区域;以及在衬底上方形成抗蚀剂层。确定抗蚀剂层的最佳曝光剂量。通过多重曝光工艺在抗蚀剂层的相同区域上形成潜像。多重曝光工艺包括多个曝光工艺,并且多个曝光工艺中的每个曝光工艺使用与具有相同图案的至少两个相移掩模区域不同的相移掩模区域。在实施例中,至少两个相移掩模区域中的至少一个相移掩模区域包括缺陷。在实施例中,至少两个相移掩模区域是来自单个相移掩模的。在实施例中,至少两个相移掩模区域是来自至少两个相移掩模的。在实施例中,最佳曝光剂量是基于以下曝光剂量的,该曝光剂量针对至少两个相移掩模区域中的一个相移掩模区域上的图案用于在相应的单个曝光工艺下实现目标尺寸。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量小于最佳曝光剂量。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量彼此相等。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量彼此不同。在实施例中,多个曝光工艺的曝光剂量的总和在最佳曝光剂量的90%至110%的范围内。在实施例中,在抗蚀剂层的相同区域上形成潜像包括:执行四个曝光工艺,每个曝光工艺使用具有相同图案的不同相移掩模区域。
本公开的另一实施例是一种极紫外光刻(EUVL)方法,包括:提供具有相同电路图案的至少两个相移掩模;以及在衬底上方形成抗蚀剂层。确定抗蚀剂层的最佳曝光剂量,并且使用至少两个相移掩模利用极紫外辐射来照射抗蚀剂层,以使用至少两个相移掩模中的每个相移掩模多次曝光抗蚀剂层的相同区域。利用极紫外辐射来照射抗蚀剂层包括:多个曝光,并且多个曝光中的每个曝光使用曝光剂量小于最佳曝光剂量的极紫外辐射。在实施例中,至少两个相移掩模中的至少一个相移掩模包括缺陷。在实施例中,最佳曝光剂量是基于以下曝光剂量的,该曝光剂量针对至少两个相移掩模区域中的一个相移掩模区域上的图案用于在相应的单个曝光工艺下实现目标尺寸。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量的总和在最佳曝光剂量的90%至110%的范围内。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量彼此不同。在实施例中,多个曝光工艺中的每个曝光工艺的曝光剂量彼此相等。在实施例中,抗蚀剂层的每个区域的曝光是四个曝光的累积,每个曝光使用具有相同电路图案的不同掩模区域。
本公开的另一实施例是一种极紫外光刻(EUVL)方法,包括:提供具有相同图案的至少两个相移掩模区域,并且该至少两个相移掩模区域中的至少一个相移掩模区域包括缺陷。在衬底上方形成抗蚀剂层。最佳曝光剂量是基于以下曝光剂量确定的,该曝光剂量针对至少两个相移掩模区域中的一个相移掩模区域上的图案用于在相应的单个曝光工艺下实现目标尺寸。使用至少两个相移掩模区域在抗蚀剂层中形成潜像。形成潜像包括:在抗蚀剂层的相同区域上执行至少两个曝光,其中该至少两个曝光中的每个曝光使用小于最佳曝光剂量的曝光剂量,并且至少两个曝光的曝光剂量的总和在最佳曝光剂量的90%至110%之内。在实施例中,执行至少两个曝光包括:在抗蚀剂层的相同区域上投射至少两个相移掩模区域的相同图案。在实施例中,至少两个相移掩模区域是来自单个相移掩模的。在实施例中,至少两个相移掩模区域是来自至少两个相移掩模的。在实施例中,至少两个曝光中的每个曝光的曝光剂量彼此相等。在实施例中,至少两个曝光中的每个曝光的曝光剂量彼此不同。
本公开的另一实施例是一种方法,包括:提供第一相移掩模区域;以及提供具有与第一相移掩模区域相同的图案的第二相移掩模区域。在衬底上方形成抗蚀剂层,并且确定针对抗蚀剂层的最佳曝光剂量。利用第一曝光剂量使用至少第一相移掩模区域并且利用第二曝光剂量使用第二相移掩模区域来曝光抗蚀剂层的相同区域。第一曝光剂量和第二曝光剂量均小于最佳曝光剂量。在实施例中,第一相移掩模区域和第二相移掩模区域是来自单个相移掩模的。在实施例中,第一相移掩模区域和第二相移掩模区域是来自两个相移掩模的。在实施例中,第一相移掩模区域和第二相移掩模区域中的至少一个相移掩模区域具有缺陷。在实施例中,第一曝光剂量不同于第二曝光剂量。在实施例中,第一曝光剂量等于第二曝光剂量。在实施例中,抗蚀剂层的相同区域的所有曝光剂量的总和在最佳曝光剂量的90%至110%之内。
本公开的另一实施例是一种光刻装置,包括:辐射源;以及相移掩模,包括第一掩模区域和第二掩模区域,两者具有相同图案。掩模台被配置为支撑相移掩模,并且晶圆台被配置为支撑晶圆。控制器被配置为:确定涂覆在晶圆上的抗蚀剂层的最佳曝光剂量;使用相移掩模的第一掩模区域来控制将抗蚀剂层的第一部分曝光至第一曝光剂量;控制晶圆相对于相移掩模的移动;以及使用相移掩模的第二掩模区域来控制将抗蚀剂层的第一部分曝光至第二曝光剂量,并且使用相移掩模的第一掩模区域来控制将抗蚀剂层的第二部分曝光至第二曝光剂量。在实施例中,相移掩模是反射掩模。在实施例中,辐射源是极紫外辐射源。在实施例中,相移掩模包括第三掩模区域和第四掩模区域,两者具有与第一掩模区域或第二掩模区域中的图案相同的图案。在实施例中,控制器还被配置为使用相移掩模的第三掩模区域来控制将抗蚀剂层的第一部分曝光至第三曝光剂量,使用相移掩模的第二掩模区域来控制将抗蚀剂层的第二部分曝光至第三曝光剂量,并且使用相移掩模的第一掩模区域来控制将抗蚀剂层的第三部分曝光至第三曝光剂量,其中,第三掩模区域具有与第一掩模区域或第二掩模区域中的图案相同的图案。在实施例中,控制器还被配置为使用相移掩模的第四掩模区域来控制将抗蚀剂层的第一部分曝光至第四曝光剂量,使用相移掩模的第三掩模区域来控制将抗蚀剂层的第二部分曝光至第四曝光剂量,使用相移掩模的第二掩模区域来控制将抗蚀剂层的第三部分曝光至第四曝光剂量,并且使用相移掩模的第一掩模区域来控制将抗蚀剂层的第四部分曝光至第四曝光剂量,其中,第四掩模区域具有与第一掩模区域或第二掩模区域或第三掩模区域中的图案相同的图案。在实施例中,控制器还被配置为控制附加曝光,使得抗蚀剂层的每个部分通过相同数量的不同掩模区域被曝光。在实施例中,晶圆台被配置用于在扫描曝光操作期间横向移动并且从一个管芯到另一管芯步进移动。在实施例中,晶圆台还被配置为在垂直方向上移动,并且被配置为围绕水平轴旋转。
本公开的另一实施例是一种光刻装置,包括:辐射源;以及第一相移掩模和第二相移掩模,两者具有相同图案。掩模台被配置为支撑第一相移掩模,并且晶圆台被配置为支撑晶圆。控制器被配置为:确定涂覆在晶圆上的抗蚀剂层的最佳曝光剂量;使用第一相移掩模来控制将抗蚀剂层的一部分曝光至第一曝光剂量;控制在掩模台上将第一相移掩模交换为第二相移掩模;以及使用第二相移掩模来控制将抗蚀剂层的一部分曝光至第二曝光剂量。在实施例中,第一相移掩模和第二相移掩模都是反射掩模。在实施例中,辐射源是极紫外辐射源。在实施例中,晶圆台被配置用于在扫描曝光操作期间横向移动以及从一个管芯到另一管芯步进移动。在实施例中,控制器还被配置为控制晶圆台的移动。在实施例中,光刻装置包括第三相移掩模,其中,第三相移掩模具有与第一相移掩模和第二相移掩模相同的电路图案。在实施例中,光刻装置包括第四相移掩模,其中,第四相移掩模具有与第一、第二和第三相移掩模相同的电路图案。在实施例中,控制器还被配置为使用第三相移掩模来控制将光致抗蚀剂层的第一部分曝光至第三曝光剂量,使用第二相移掩模来控制将光致抗蚀剂层的第二部分曝光至第三曝光剂量,以及使用第一相移掩模来控制将光致抗蚀剂层的第三部分曝光至第三曝光剂量,其中,第三相移掩模具有与第一相移掩模和第二相移掩模相同的电路图案。在实施例中,控制器还被配置为使用第四相移掩模来控制将光致抗蚀剂层的第一部分曝光至第四曝光剂量,使用第三相移掩模来控制将光致抗蚀剂层的第二部分曝光至第四曝光剂量,使用第二相移掩模来控制将光致抗蚀剂层的第三部分曝光至第四曝光剂量,以及使用第一相移掩模来控制将光致抗蚀剂层的第四部分曝光至第四曝光剂量,其中,第四相移掩模具有与第一、第二和第三相移掩模相同的电路图案。在实施例中,控制器还被配置为控制附加曝光步骤,使得光致抗蚀剂层的每个部分曝光相同的次数。在实施例中,晶圆台还被配置为在垂直方向上移动,并且被配置为围绕水平轴旋转。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。
示例1.一种极紫外光刻(EUVL)方法,包括:提供具有相同图案的至少两个相移掩模区域;在衬底上方形成抗蚀剂层;确定所述抗蚀剂层的最佳曝光剂量;以及通过多重曝光工艺在所述抗蚀剂层的相同区域上形成潜像,其中,所述多重曝光工艺包括多个曝光工艺,并且所述多个曝光工艺中的每个曝光工艺使用与具有相同图案的所述至少两个相移掩模区域不同的相移掩模区域。
示例2.根据示例1所述的EUVL方法,其中,所述至少两个相移掩模区域中的至少一个相移掩模区域包括缺陷。
示例3.根据示例1所述的EUVL方法,其中,所述至少两个相移掩模区域是来自单个相移掩模的。
示例4.根据示例1所述的EUVL方法,其中,所述至少两个相移掩模区域是来自至少两个相移掩模的。
示例5.根据示例1所述的EUVL方法,其中,所述最佳曝光剂量是基于以下曝光剂量的,该曝光剂量针对所述至少两个相移掩模区域中的一个相移掩模区域上的图案用于在相应的单个曝光工艺下实现目标尺寸。
示例6.根据示例1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量小于所述最佳曝光剂量。
示例7.根据示例1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量彼此相等。
示例8.根据示例1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量彼此不同。
示例9.根据示例1所述的EUVL方法,其中,所述多个曝光工艺的曝光剂量的总和在所述最佳曝光剂量的90%至110%的范围内。
示例10.根据示例1所述的EUVL方法,其中,在所述抗蚀剂层的相同区域上形成潜像包括:执行四个曝光工艺,每个曝光工艺使用具有所述相同图案的不同相移掩模区域。
示例11.一种光刻装置,包括:辐射源;相移掩模,包括第一掩模区域和第二掩模区域,所述第一掩模区域和所述第二掩模区域具有相同图案;掩模台,被配置为支撑所述相移掩模;晶圆台,被配置为支撑晶圆;以及控制器,其中,所述控制器被配置为:确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第一部分曝光至第一曝光剂量;控制所述晶圆相对于所述相移掩模的移动;以及使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第二曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第二部分曝光至所述第二曝光剂量。
示例12.根据示例11所述的光刻装置,其中,所述相移掩模是反射掩模。
示例13.根据示例11所述的光刻装置,其中,所述辐射源是极紫外辐射源。
示例14.根据示例11所述的光刻装置,其中,所述相移掩模包括第三掩模区域和第四掩模区域,所述第三掩模区域和所述第四掩模区域具有与所述第一掩模区域或所述第二掩模区域中的图案相同的图案。
示例15.根据示例11所述的光刻装置,其中,所述控制器还被配置为使用所述相移掩模的第三掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第三曝光剂量,使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第二部分曝光至所述第三曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第三部分曝光至所述第三曝光剂量,其中,所述第三掩模区域具有与所述第一掩模区域或所述第二掩模区域中的图案相同的图案。
示例16.根据示例15所述的光刻装置,其中,所述控制器还被配置为使用所述相移掩模的第四掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第四曝光剂量,使用所述相移掩模的所述第三掩模区域来控制将所述抗蚀剂层的所述第二部分曝光至所述第四曝光剂量,使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第三部分曝光至所述第四曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第四部分曝光至所述第四曝光剂量,其中,所述第四掩模区域具有与所述第一掩模区域或所述第二掩模区域或所述第三掩模区域中的图案相同的图案。
示例17.根据示例16所述的光刻装置,其中,所述控制器还被配置为控制附加曝光,使得所述抗蚀剂层的每个部分通过相同数量的不同掩模区域被曝光。
示例18.一种光刻装置,包括:辐射源;第一相移掩模和第二相移掩模,所述第一相移掩模和所述第二相移掩模具有相同的图案;掩模台,被配置为支撑所述第一相移掩模;晶圆台,被配置为支撑晶圆;以及控制器,其中,所述控制器被配置为:确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;使用所述第一相移掩模来控制将所述抗蚀剂层的一部分曝光至第一曝光剂量;控制在所述掩模台上将所述第一相移掩模交换为所述第二相移掩模;以及使用所述第二相移掩模来控制将所述抗蚀剂层的所述一部分曝光至第二曝光剂量。
示例19.根据示例18所述的光刻装置,其中,所述第一相移掩模和所述第二相移掩模都是反射掩模。
示例20.根据示例18所述的光刻装置,其中,所述辐射源是极紫外辐射源。

Claims (20)

1.一种极紫外光刻(EUVL)方法,包括:
提供具有相同图案的至少两个相移掩模区域,其中所述至少两个相移掩模区域的每个包括第一反射层和位于所述第一反射层上方的第二反射层并且所述第二反射层被图案化以定义第一状态和第二状态,从具有第一状态的区域反射的光与从具有第二状态的区域反射的光具有180°相位差;
在衬底上方形成抗蚀剂层;
确定所述抗蚀剂层的最佳曝光剂量;以及
通过多重曝光工艺在所述抗蚀剂层的相同区域上形成潜像,
其中,所述多重曝光工艺包括多个曝光工艺,并且所述多个曝光工艺中的每个曝光工艺使用具有相同图案的所述至少两个相移掩模区域中的不同的相移掩模区域。
2.根据权利要求1所述的EUVL方法,其中,所述至少两个相移掩模区域中的至少一个相移掩模区域包括缺陷。
3.根据权利要求1所述的EUVL方法,其中,所述至少两个相移掩模区域是来自单个相移掩模的。
4.根据权利要求1所述的EUVL方法,其中,所述至少两个相移掩模区域是来自至少两个相移掩模的。
5.根据权利要求1所述的EUVL方法,其中,所述最佳曝光剂量是基于以下曝光剂量的,该曝光剂量针对所述至少两个相移掩模区域中的一个相移掩模区域上的图案用于在相应的单个曝光工艺下实现目标尺寸。
6.根据权利要求1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量小于所述最佳曝光剂量。
7.根据权利要求1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量彼此相等。
8.根据权利要求1所述的EUVL方法,其中,所述多个曝光工艺中的每个曝光工艺的曝光剂量彼此不同。
9.根据权利要求1所述的EUVL方法,其中,所述多个曝光工艺的曝光剂量的总和在所述最佳曝光剂量的90%至110%的范围内。
10.根据权利要求1所述的EUVL方法,其中,在所述抗蚀剂层的相同区域上形成潜像包括:执行四个曝光工艺,每个曝光工艺使用具有所述相同图案的不同相移掩模区域。
11.一种光刻装置,包括:
辐射源;
相移掩模,包括第一掩模区域和第二掩模区域,所述第一掩模区域和所述第二掩模区域具有相同图案,其中所述第一掩模区域和所述第二掩模区域的每个包括第一反射层和位于所述第一反射层上方的第二反射层并且所述第二反射层被图案化以定义第一状态和第二状态,从具有第一状态的区域反射的光与从具有第二状态的区域反射的光具有180°相位差;
掩模台,被配置为支撑所述相移掩模;
晶圆台,被配置为支撑晶圆;以及
控制器,
其中,所述控制器被配置为:
确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;
使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第一部分曝光至第一曝光剂量;
控制所述晶圆相对于所述相移掩模的移动;以及
使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第二曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第二部分曝光至所述第二曝光剂量。
12.根据权利要求11所述的光刻装置,其中,所述相移掩模是反射掩模。
13.根据权利要求11所述的光刻装置,其中,所述辐射源是极紫外辐射源。
14.根据权利要求11所述的光刻装置,其中,所述相移掩模包括第三掩模区域和第四掩模区域,所述第三掩模区域和所述第四掩模区域具有与所述第一掩模区域或所述第二掩模区域中的图案相同的图案。
15.根据权利要求11所述的光刻装置,其中,所述控制器还被配置为使用所述相移掩模的第三掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第三曝光剂量,使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第二部分曝光至所述第三曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第三部分曝光至所述第三曝光剂量,其中,所述第三掩模区域具有与所述第一掩模区域或所述第二掩模区域中的图案相同的图案。
16.根据权利要求15所述的光刻装置,其中,所述控制器还被配置为使用所述相移掩模的第四掩模区域来控制将所述抗蚀剂层的所述第一部分曝光至第四曝光剂量,使用所述相移掩模的所述第三掩模区域来控制将所述抗蚀剂层的所述第二部分曝光至所述第四曝光剂量,使用所述相移掩模的所述第二掩模区域来控制将所述抗蚀剂层的所述第三部分曝光至所述第四曝光剂量,并且使用所述相移掩模的所述第一掩模区域来控制将所述抗蚀剂层的第四部分曝光至所述第四曝光剂量,其中,所述第四掩模区域具有与所述第一掩模区域或所述第二掩模区域或所述第三掩模区域中的图案相同的图案。
17.根据权利要求16所述的光刻装置,其中,所述控制器还被配置为控制附加曝光,使得所述抗蚀剂层的每个部分通过相同数量的不同掩模区域被曝光。
18.一种光刻装置,包括:
辐射源;
第一相移掩模和第二相移掩模,所述第一相移掩模和所述第二相移掩模具有相同的图案,其中所述第一相移掩模和所述第二相移掩模的每个包括第一反射层和位于所述第一反射层上方的第二反射层并且所述第二反射层被图案化以定义第一状态和第二状态,从具有第一状态的区域反射的光与从具有第二状态的区域反射的光具有180°相位差;
掩模台,被配置为支撑所述第一相移掩模;
晶圆台,被配置为支撑晶圆;以及
控制器,
其中,所述控制器被配置为:
确定涂覆在所述晶圆上的抗蚀剂层的最佳曝光剂量;
使用所述第一相移掩模来控制将所述抗蚀剂层的一部分曝光至第一曝光剂量;
控制在所述掩模台上将所述第一相移掩模交换为所述第二相移掩模;以及
使用所述第二相移掩模来控制将所述抗蚀剂层的所述一部分曝光至第二曝光剂量。
19.根据权利要求18所述的光刻装置,其中,所述第一相移掩模和所述第二相移掩模都是反射掩模。
20.根据权利要求18所述的光刻装置,其中,所述辐射源是极紫外辐射源。
CN201910765186.2A 2018-08-17 2019-08-19 光刻方法和装置 Active CN110837210B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862719313P 2018-08-17 2018-08-17
US62/719,313 2018-08-17
US16/534,965 US11429027B2 (en) 2018-08-17 2019-08-07 Photolithography method and apparatus
US16/534,965 2019-08-07

Publications (2)

Publication Number Publication Date
CN110837210A CN110837210A (zh) 2020-02-25
CN110837210B true CN110837210B (zh) 2022-12-20

Family

ID=69523969

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910765186.2A Active CN110837210B (zh) 2018-08-17 2019-08-19 光刻方法和装置

Country Status (4)

Country Link
US (1) US11429027B2 (zh)
KR (1) KR102319270B1 (zh)
CN (1) CN110837210B (zh)
TW (1) TWI727399B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11287746B1 (en) * 2020-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for overlay error reduction

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3387907B2 (ja) 2000-11-06 2003-03-17 株式会社日立製作所 半導体装置の製造方法
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP4326711B2 (ja) 2001-02-28 2009-09-09 富士フイルム株式会社 カーテン塗布方法
US6607862B2 (en) * 2001-08-24 2003-08-19 Intel Corporation Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
US7050155B2 (en) * 2001-10-30 2006-05-23 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
CN100468013C (zh) 2003-11-17 2009-03-11 凸版光掩公司 改善晶圆上结构的可印制性的相移光掩模及方法
US7169514B2 (en) * 2003-12-31 2007-01-30 Intel Corporation Extreme ultraviolet mask with molybdenum phase shifter
WO2008115600A1 (en) 2007-03-21 2008-09-25 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
JP2010040849A (ja) * 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
DE102010029651A1 (de) * 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
JP2012133280A (ja) 2010-12-24 2012-07-12 Mejiro Precision:Kk 基板パターンの製造方法及び露光装置
KR101705751B1 (ko) * 2012-08-20 2017-02-10 에이에스엠엘 네델란즈 비.브이. 패턴을 준비하는 방법, 마스크 세트를 형성하는 방법, 디바이스 제조 방법 및 컴퓨터 프로그램
KR20140096750A (ko) 2013-01-29 2014-08-06 삼성전자주식회사 노광 방법 및 이를 이용한 패턴 형성 방법
US9075313B2 (en) 2013-03-13 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple exposures in extreme ultraviolet lithography
US9229332B2 (en) 2013-09-18 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for high-throughput and small-footprint scanning exposure for lithography
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
DE102016203094B4 (de) 2016-02-26 2022-02-10 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum dauerhaften Reparieren von Defekten fehlenden Materials einer photolithographischen Maske
US10162257B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system, device, and method for printing low pattern density features

Also Published As

Publication number Publication date
KR102319270B1 (ko) 2021-11-02
KR20200020639A (ko) 2020-02-26
TWI727399B (zh) 2021-05-11
CN110837210A (zh) 2020-02-25
TW202016992A (zh) 2020-05-01
US20200057375A1 (en) 2020-02-20
US11429027B2 (en) 2022-08-30

Similar Documents

Publication Publication Date Title
US11086227B2 (en) Method to mitigate defect printability for ID pattern
US9488905B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
NL2012175B1 (en) An extreme ultraviolet lithography process.
US8628897B1 (en) Extreme ultraviolet lithography process and mask
US9733562B2 (en) Extreme ultraviolet lithography process and mask
Wood et al. Integration of EUV lithography in the fabrication of 22-nm node devices
CN110837210B (zh) 光刻方法和装置
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US9075313B2 (en) Multiple exposures in extreme ultraviolet lithography
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
JP3731566B2 (ja) 露光方法、マスク製造方法および半導体装置の製造方法
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
US20220365438A1 (en) Photolithography method and apparatus
US20210208505A1 (en) Lithography Method With Reduced Impacts of Mask Defects
JP2009278091A (ja) リソグラフィ方法
Bokor et al. Advanced lithography for ULSI
Fan Effects of radiation-induced carbon contamination on the printing performance of extreme ultraviolet masks

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant