TW202013744A - 積體電路結構 - Google Patents

積體電路結構 Download PDF

Info

Publication number
TW202013744A
TW202013744A TW108135314A TW108135314A TW202013744A TW 202013744 A TW202013744 A TW 202013744A TW 108135314 A TW108135314 A TW 108135314A TW 108135314 A TW108135314 A TW 108135314A TW 202013744 A TW202013744 A TW 202013744A
Authority
TW
Taiwan
Prior art keywords
contact
integrated circuit
fin
metal
region
Prior art date
Application number
TW108135314A
Other languages
English (en)
Inventor
蕭錦濤
莊正吉
賴志明
曾健庭
林威呈
袁立本
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013744A publication Critical patent/TW202013744A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路結構包括:鰭片結構,沿著第一方向覆蓋鰭片結構的接觸件,以及介在接觸件和鰭片結構之間的隔離層。隔離層沿著垂直於第一方向的第二方向與接觸件的一部分相鄰。

Description

積體電路結構
本揭示內容係關於半導體裝置的接觸件結構和製造方法。
積體電路(IC)通常包括以積體電路佈局圖表示的多個積體電路裝置。積體電路佈局是階層式的,並且包括根據積體電路的設計規範而執行較高階功能的模組。模組時常由單元的組合而構建,每個單元代表配置為執行特定的邏輯或其他功能的一或多個積體電路結構。
單元通常具有標準高度,以便佈局放置到積體電路佈局圖中。為了形成較高階的模組並實現外部連接,經由在多個疊加的金屬層之內形成的互連結構,將單元和其他積體電路特徵彼此佈線連接。單元佈局放置和互連佈線是積體電路的整體設計過程中的部分。
本揭示內容的些實施方式提供了一種積體電路(IC)結構,包含:鰭片結構、接觸件、以及隔離層。接觸件 沿著一第一方向覆蓋鰭片結構。隔離層介在接觸件和鰭片結構之間,其中,隔離層沿著垂直於第一方向的第二方向與接觸件的一部分相鄰。
100‧‧‧積體電路裝置
100B‧‧‧基板
100C‧‧‧接觸件結構
200‧‧‧方法
210、220、230、240、250、260、270、280‧‧‧操作
400‧‧‧方法
410、420、430、440、450、460‧‧‧操作
500‧‧‧積體電路佈局圖
600A‧‧‧積體電路佈局圖
600B‧‧‧積體電路佈局圖
700‧‧‧系統
702‧‧‧處理器
704‧‧‧電腦可讀取記錄媒體
706‧‧‧電腦程式碼
707‧‧‧標準單元的庫
708‧‧‧總線
710‧‧‧輸入/輸出介面
712‧‧‧網路介面
714‧‧‧網路
742‧‧‧使用者介面
800‧‧‧系統
820‧‧‧設計公司
822‧‧‧積體電路設計佈局圖
830‧‧‧光罩公司
832‧‧‧數據準備
844‧‧‧光罩製造
845‧‧‧光罩
850‧‧‧積體電路晶圓廠
852‧‧‧晶圓製造
853‧‧‧半導體晶圓
860‧‧‧積體電路裝置
A-A'‧‧‧平面
AR1、AR2、AR3、AR4、AR5、AR6、AR7、AR8‧‧‧主動區域
B-B'‧‧‧平面
ct‧‧‧厚度
C1、C2、C3、C4、C5‧‧‧接觸件
CR1、CR2、CR3、CR4、CR5、CR6、CR7、CR8、CR9、CR10、CR11、CR12、CR13、CR14、CR15、CR16、CR17、CR18、CR19、CR20、CR21、CR22、CR23‧‧‧接觸件區域
d1、d2‧‧‧距離
DL1‧‧‧介電層
E1、E2‧‧‧磊晶層
FF1、FF2、FF3、FF4、FF5、FF6、FF7、FF8‧‧‧鰭片特徵
FS1、FS2‧‧‧鰭片結構
G1、G2、G3、G4‧‧‧閘極結構
GR1、GR2、GR3、GR4、GR5、GR6、GR7、GR8、GR9、GR10、GR11、GR12、GR13、GR14‧‧‧閘極區域
IL1‧‧‧隔離層
ILD1‧‧‧層間介電結構
IR1、IR2、IR3、IR4‧‧‧隔離區域
M1、M2‧‧‧遮罩
MR1、MR2、MR3、MR4、MR5、MR6、MR7、MR8、MR9、MR10、MR11、MR12、MR13、MR14、MR15、MR16、MR17、MR18、MR19、MR20、MR21、MR22‧‧‧金屬區域
MS1、MS2、MS3、MS4‧‧‧金屬片段
O1、O2‧‧‧開口
P1‧‧‧節距
R1、R2‧‧‧電源軌
t‧‧‧厚度
T1、T2、T3、T4、T5‧‧‧軌道
V1、V2、V3、V4、V5、V6、V7、V8‧‧‧導孔
VR1、VR2、VR3、VR4、VR5、VR6、VR7、VR8、VR9、VR10、VR11、VR12、VR13、VR14、VR15、VR16‧‧‧導孔區域
W‧‧‧寬度
由以下的詳細描述,並與所附圖式一起閱讀,會最佳地理解本揭示內容的各方面。值得注意的是,根據產業界的標準慣例,各個特徵並未按比例繪製。事實上,為了清楚地討論,各個特徵的尺寸可能任意地增加或減小。
第1A圖至第1C圖是根據一些實施方式的包括接觸件結構的積體電路裝置的圖。
第2圖是一流程圖,根據一些實施方式的製造接觸件結構的方法。
第3A圖至第3K圖是根據一些實施方式的在各個製造階段的接觸件結構的圖。
第4圖是一流程圖,根據一些實施方式的操作積體電路製造系統的方法。
第5圖描繪了根據一些實施方式的積體電路佈局圖。
第6A圖和第6B圖描繪了根據一些實施方式的積體電路佈局圖。
第7圖是根據一些實施方式的電子設計自動化(electronic design automation,EDA)系統的方塊圖。
第8圖是根據一些實施方式的積體電路製造系統以及與 其相關聯的積體電路製造流程的方塊圖。
之後的揭示內容提供了許多不同的實施方式或實施例,以實現所提供的主題的不同的特徵。以下描述組件、數值、操作、材料、排列等的具體實施例,以簡化本揭示內容。這些當然僅是實施例,並不意圖是限制性的。也構想了其他組件、數值、操作、材料、排列等。例如,在隨後的描述中,形成第一特徵其在第二特徵上方或之上,可能包括第一和第二特徵以直接接觸而形成的實施方式,且也可能包括附加的特徵可能形成在介於第一和第二特徵之間,因此第一和第二特徵可能不是直接接觸的實施方式。此外,本揭示內容可能在各個實施例中重複標示數字和/或字母。這樣的重複,是為了是簡化和清楚起見,重複本身並不是意指所討論的各個實施方式之間和/或配置之間的關係。
此外,為了便於描述一個元件或特徵與另一個元件或特徵之間,如圖式中所繪示的關係,在此可能使用空間上的相對用語,諸如「之下」、「低於」、「下部」、「高於」、「上部」、和類似的用語。空間上的相對用語旨在涵蓋除了圖式中所繪的方向也涵蓋裝置在使用中或操作中的不同取向。設備可能有其他取向(旋轉90度或其他方向),並且此處所使用的空間上相對用語也可能相應地解釋。
在各個實施方式中,接觸件結構包括介在第一鰭片結構和上覆的接觸件之間的隔離層。經由將接觸件與第 一鰭片結構隔離,接觸件可用於在介於第二鰭片結構和覆蓋在第一鰭片結構上方的導孔之間形成電性連接。與在其中鰭片結構不與上覆的接觸件隔離的方式相比,此實施方式提供了增加的佈線靈活性,從而支持在其中介在相鄰的電源軌之間的間隔對應於總共三個信號跡線(signal traces)的積體電路佈局。
第1A圖至第1C圖是根據一些實施方式的積體電路裝置100的圖,積體電路裝置100包括接觸件結構100C。在各個實施方式中,經由執行方法200和/或400的一些或全部的操作,和/或基於積體電路佈局圖500、600A、或600B而配置,形成接觸件結構100C(在各個實施方式中也稱為隔離的接觸件結構或隔離的飛接觸件結構(isolated fly contact structure)),以下參照第2圖至第6B圖討論。在一些實施方式中,接觸件結構100C被包括在由積體電路製造廠/加工廠(「晶圓廠」)850所製造的積體電路裝置860中,以下參照第8圖討論。
第1A圖描繪了積體電路裝置100的平面視圖,包括X和Y方向、沿著X方向與平面A-A'的交會處、和沿Y方向與平面B-B'的交會處。第1B圖描繪積體電路裝置100沿著平面A-A'的截面視圖,包括X方向和Z方向,並且第1C圖描繪積體電路裝置100沿著平面B-B'的截面視圖,包括Y和Z方向。
積體電路裝置100包括位在基板100B中的鰭片結構FS1和FS2、覆蓋鰭片結構FS1和FS2的閘極結構G1 至G4、覆蓋鰭片結構FS1和FS2中的一者或兩者的接觸件C1至C5、覆蓋接觸件C2的隔離層L1、覆蓋接觸件C1至C5的導孔V1至V8、覆蓋導孔V2至V7的金屬片段MS1至MS4、覆蓋導孔V1的電源軌R1、覆蓋導孔V8的電源軌R2、以及圍繞並且介在上述所討論的各個結構之間的層間介電(ILD)結構ILD1。接觸件結構100C包括接觸件C2、隔離層IL1、鰭片結構FS1的一部分,並且在一些實施方式中,包括鰭片結構FS2的一部分,這些組件排列在積體電路裝置100之內,如以下所討論。
在第1A圖至第1C圖中的積體電路裝置100的描繪是包括接觸件結構100C的積體電路裝置100的非限制性實施例。在各個實施方式中,除了以上所討論的特徵或代替以上所討論的特徵,積體電路裝置100包括一或多個特徵(圖未示),例如,鰭片或閘極結構、接觸件、隔離層、導孔、金屬片段、或電源軌。在各個實施方式中,鰭片結構FS1或FS2、閘極結構G1至G4、接觸件C1至C5、導孔V1至V8、金屬片段MS1至MS4、或電源軌R1或R2,這些結構中的一或多個沒有被包括在積體電路裝置100中,或是具有除了在第1A圖至第1C圖中所描繪的配置之外的配置,並且另外地與以下所討論的接觸件結構100C的排列一致。
為了清楚起見,簡化了在第1A圖至第1C圖中的積體電路裝置100的描繪。第1A圖至第1C圖描繪了積體電路裝置100的視圖,加上被包括的、被排除的、或具有簡化的形狀的各種特徵,和/或具有簡化的尺寸、形狀、和/ 或與其他特徵的對準關係,以便以下的討論。在各個實施方式中,除了在第1A圖至第1C圖中所描繪的元件,積體電路裝置100包括一或多個金屬互連、電晶體元件、阱、隔離結構、或類似者。
基板100B(第1B圖和第1C圖)是半導體晶圓(例如,以下參照第8圖所討論的半導體晶圓853)的一部分,適合於形成一或多個積體電路裝置。在各個實施方式中,基板100B包括n型矽(Si),n型矽包括一或多個施體摻雜劑,例如,磷(P)或砷(As),或者基板100B包括p型矽,p型矽包括一或多個受體摻雜劑,例如,硼(B)或鋁(Al)。
鰭片結構FS1和FS2是沿著X方向(在第1A圖至第1C圖中描繪的非限制性示例方向)延伸的積體電路結構,其包括一或多個半導體材料,且可用於作為鰭式場效電晶體(FinFET)裝置的組件。在各個實施方式中,鰭片結構FS1或FS2中的一者或兩者包括矽、磷化銦(InP)、鍺(Ge)、砷化鎵(GaAs)、矽鍺(SiGe)、砷化銦(InAs)、碳化矽(SiC)、或其他合適的半導體材料中的一種或多種。
在一些實施方式中,鰭片結構FS1或FS2中的一者包括n型半導體材料,n型半導體材料包括一或多個施體摻雜劑,並且鰭片結構FS1或FS2中的另外一者包括p型半導體材料,p型半導體材料包括一或多個受體摻雜劑,因此,鰭片結構FS1和FS2包括區分的類型的半導體材料。在一些實施方式中,鰭片結構FS1和FS2中的各者包括相同的n型或p型半導體材料。
在一些實施方式中,鰭片結構FS1和FS2中的每個鰭片結構形成在基板100B的主動區域(圖未示)中,每個主動區域包括在相應的鰭片結構中所包括的相同類型的一或多個摻雜劑。在一些實施方式中,經由一或多個隔離結構(圖未示)(例如,一或多個淺溝槽隔離(STI)結構),主動區域與在基板100B中的其他元件電性隔離。
在第1A圖至第1C圖中所描繪的實施方式中,鰭片結構FS1包括鰭片F1和沿著鰭片F1的每一側的磊晶層E1,並且鰭片結構FS2包括鰭片F2和沿著鰭片F2的每一側的磊晶層E2。在各個實施方式中,每個磊晶層E1和E2包括一或多種半導體材料,其相同於或不同於在相應的鰭片F1或F2中所包括的一或多種半導體材料。在各個實施方式中,每個磊晶層E1和E2包括與在相應的鰭片F1或F2中所包括的一或多種摻雜劑相同類型的一或多種摻雜劑。
在第1A圖至第1C圖中所描繪的實施方式中,鰭片結構FS1包括單一個鰭片F1,並且鰭片結構FS2包括單一個鰭片F2。在各個實施方式中,除了對應的鰭片F1或F2,鰭片結構FS1或FS2中的一者或兩者包括一或多個鰭片(圖未示),此一或多個額外的鰭片包括與鰭片F1或F2中的相應的一者相同的半導體類型。
閘極結構G1至G4是積體電路結構,每個閘極結構包括一體積(圖未示),其包括被一或多個介電層(圖未示)圍繞的一或多個導電材料,此介電層包括一或多種介電材料,介電材料配置為將此一或多個導電材料與上覆的、在 下方的、和相鄰的結構(例如,鰭片結構FS1和FS2)電性隔離。因此,每個閘極結構G1至G4配置為控制施加到在下方的和相鄰的結構的電場強度。
導電材料包括多晶矽、銅(Cu)、鎢(W)、鈷(Co)、釕(Ru)中的一或多種,或一或多種其他金屬,和/或一或多種其他合適的材料。介電材料包括矽氮化物(Si3N4)、矽二氧化物(SiO2)、鋁氧化物(Al2O3)、鉿氧化物(HfO2)、五氧化二鉭(Ta2O5)、鈦氧化物(TiO2)、或其他合適的材料中的一或多種。
接觸件C1至C5、導孔V1至V8、金屬片段MS1至MS4、以及電源軌R1和R2是積體電路結構,這些積體電路結構包括一或多個導電材料,導電材料配置為將一或多個在下方的、上覆的、和/或相鄰的積體電路結構電性連接到一或多個其他的在下方的、上覆的、和/或相鄰的積體電路結構。接觸件C1至C5配置為將在下方的鰭片結構FS1和FS2電性連接到上覆的導孔V1至V8,並且導孔V1至V8配置為將在下方的接觸件C1至C5和閘極結構G1至G4電性連接到上覆的金屬片段MS1至MS4和電源軌R1和R2。
如在第1B圖和第1C圖中所描繪,接觸件C1至C5在Z方向上具有厚度ct。厚度ct對應於介在下方的鰭片結構的上表面(未標示)與上覆的導孔之間的一距離,使得每個接觸件C1至C5能夠在介於下方的鰭片結構和上覆的導孔之間形成電性連接。在一些實施方式中,厚度ct具有範圍從10奈米(nm)至700奈米的數值。在一些實施方式中,厚度ct 具有範圍從20奈米至100奈米的數值。
金屬片段MS1至MS4以及電源軌R1和R2是用於建構積體電路裝置100的製造製程的一相同的金屬層的部分,並且配置為將導孔V1至V8電性連接到一或多個上覆的和/或相鄰的積體電路結構(圖未示)。在各個實施方式中,金屬片段MS1至MS4以及電源軌R1和R2是第一金屬層的部分,例如,製造製程中的金屬零(metal zero)層或金屬一(metal one)層。
隔離層IL1是一或多個介電層,介電層包括一或多種介電材料,介電材料配置為將在下方的鰭片結構FS2與上覆的和相鄰的接觸件C2電性隔離。
層間介電結構ILD1是一或多個介電層,介電層包括一或多種介電材料,介電材料配置為對於鰭片結構FS1和FS2、閘極結構G1至G4、接觸件C1至C5、導孔V1至V8、金屬片段MS1至MS4、電源軌R1和R2、和如果存在的積體電路裝置100的其他特徵,提供電性隔離和機械支撐。層間介電結構ILD1包括至少一種介電材料,其不同於在隔離層IL1中所包括的至少一種介電材料。
在各個實施方式中,層間介電結構ILD1包括一或多種介電材料的一個連續的體積、或一或多種介電材料的複數個分隔的體積。
電源軌R1配置為將積體電路裝置100電性連接到第一電壓源(圖未示),並且從而配置為承載第一電壓。電源軌R2配置為將積體電路裝置100電性連接到第二電壓源 (圖未示),並且從而配置為承載第二電壓。在一些實施方式中,第一電壓源是電源供應,因此電源軌R1配置為承載電源供應電壓,第二電壓源是參考電壓節點(reference voltage node),例如,接地,並且因此電源軌R2配置為承載參考電壓,例如,接地電壓。在一些實施方式中,第二電壓源是電源供應,因此電源軌R2配置為承載電源供應電壓,第一電壓源是參考電壓節點,並且因此電源軌R1配置為承載參考電壓,例如,接地電壓。
在第1A圖至第1C圖中所描繪的實施方式中,電源軌R1電性連接到導孔V1,並且從而電性連接到接觸件C1、到鰭片結構FS1、和介在閘極結構G1和G2之間的導孔V2,到金屬片段MS1、到導孔V3、到接觸件C3、和到介在閘極結構G3和G4之間的鰭片結構FS1。電源軌R2電性連接到導孔V8、並且從而電性連接到接觸件C5、和到介在閘極結構G3和G4之間的鰭片結構FS2。
金屬片段MS2電性連接到導孔V4,並且從而電性連接到閘極結構G2。金屬片段MS3電性連接到導孔V5,並且從而電性連接到閘極結構G3。金屬片段MS4電性連接到導孔V6,並且從而電性連接到接觸件C4和到介在閘極結構G1和G2之間的鰭片結構FS2。金屬片段MS4也電性連接到導孔V7,並且從而電性連接到接觸件C2和到介在閘極結構G2和G3之間的鰭片結構FS1。
隔離層IL1位在沿著Z方向介於接觸件C2和鰭片結構FS2之間,並且從而配置為將接觸件C2與鰭片結構 FS2電性隔離,使得金屬片段MS4、導孔V7、和接觸件C2沒有電性連接到介在閘極結構G2和G3之間的鰭片結構FS2。
如在第1B圖和第1C圖所描繪,隔離層IL1在Z方向上具有厚度t。厚度t對應於介在下方的鰭片結構FS2的上表面(未標示)與上覆的接觸件C2之間的距離,並且從而減少介在隔離層IL1和導孔V7之間的接觸件C2的一部分的厚度,從接觸件C1至C5厚度ct至數值ct-t。因此,厚度t具有足夠大的數值,使得隔離層IL1將鰭片結構FS2與接觸件C2電性隔離,並且厚度t具有足夠小的數值,使得接觸件C2提供介在導孔V7和鰭片結構FS1之間的低電阻路徑。
如在第1C圖所描繪,根據接觸件C2的此部分的在厚度上的減小,隔離層IL1沿著Y方向與接觸件C2的另一部分相鄰。
隔離層IL1被視為經由具有對應於與積體電路裝置100相關聯的一或多個設計規範的最小電阻值,而將鰭片結構FS2與接觸件C2電性隔離。隔離層IL1的電阻值是在隔離層IL1中所包括的一或多個介電材料的一或多個電阻率值、在X和Y方向上的隔離層IL1的尺寸、和在Z方向上的厚度t的函數。
接觸件C2被視為經由具有對應於與積體電路裝置100相關聯的一或多個設計規範對應的最大路徑電阻值,而形成介在導孔V7和鰭片結構FS1之間的低電阻路徑。接觸件C2的路徑電阻值是在接觸件C2中所包括的一或 多個導電材料的一或多個電阻率值、在Z方向上的厚度t,接觸件C2在X、Y、和Z上的整體尺寸,和介在隔離層IL1和導孔V7之間的接觸件C2的此部分的ct-t減小的厚度的函數。
據此,對應於隔離層IL1將鰭片結構FS2與接觸件C2電性隔離的足夠大的厚度t的數值,和對應於接觸件C2提供介在導孔V7和鰭片結構FS1之間的低電阻路徑的足夠小的厚度t的數值,都隨著積體電路裝置100的具體實施方式而變化。在一些實施方式中,厚度t具有範圍從5奈米至50奈米的數值。在一些實施方式中,厚度t具有範圍從10奈米至20奈米的數值。
在第1A圖至第1C圖中所描繪的實施方式中,隔離層IL1接觸閘極結構G2和G3中的各者,並且因此從閘極結構G2延伸到閘極結構G3。在各個實施方式中,隔離層IL1不接觸閘極結構G2或G3中的一者或兩者,並且因此不從閘極結構G2延伸到閘極結構G3。
如在第1A圖至第1C圖中所描繪,層間介電結構ILD1的各個部分覆蓋、在其下方、和/或相鄰於接觸件C2、隔離層IL1、和鰭片結構FS2中的各者的各個部分,因此接觸件C2、隔離層IL1、和鰭片結構FS2中的各者接觸層間介電結構ILD1。因此,層間介電結構ILD1配置為進一步將接觸件C2與鰭片結構FS2隔離,使得金屬片段MS4、導孔V7、和接觸件C2沒有電性連接到介在閘極結構G2和G3之間的鰭片結構FS2。
在第1A圖至第1C圖中所描繪的實施方式中,接觸件結構100C包括接觸件C2、隔離層IL1、在接觸件C2下方的鰭片結構FS1的部分,和在接觸件C2下方的鰭片結構FS2的部分。在一些實施方式中,接觸件結構100C包括層間介電結構ILD1的一或多個部分,層間介電結構ILD1的一或多個部分配置為將接觸件C2與鰭片結構FS2電性隔離。
如在第1A圖至第1C圖中所描繪,經由包括隔離層IL1其在正Y方向和負Y方向上延伸超出鰭片結構FS2,和將接觸件C2的邊緣在Z方向上對準隔離層IL1的部分(其在負Y方向上延伸超出鰭片結構FS2),接觸件結構100C配置為將接觸件C2與鰭片結構FS2電性隔離。在各個實施方式中,接觸件結構100C包括隔離層IL1和接觸件C2,另外地被配置為將接觸件C2與鰭片結構FS2電性隔離,例如,經由包括隔離層IL1其僅在正Y方向上延伸超出鰭片結構FS2並且據此修改接觸件C2的邊緣,或者經由包括接觸件C2其在負Y方向上延伸超出隔離層IL1。
如在第1A圖和第1B圖中所描繪,接觸件結構100C包括接觸件C2,其在X方向上具有的寬度(未標示)小於隔離層IL1在X方向上的寬度(未標示),使得接觸件C2不從閘極結構G2延伸到閘極結構G3。在一些實施方式中,接觸件C2和隔離層IL1在X方向上具有相同的寬度。在一些實施方式中,接觸件C2從閘極結構G2延伸到閘極結構G3。
經由將接觸件C2與鰭片結構FS2隔離,包括接 觸件C2的接觸件結構100C可用於在介於覆蓋鰭片結構FS2的導孔V7和鰭片結構FS1之間形成電性連接。與其中鰭片結構未與上覆的接觸件隔離的方式相比,接觸件結構100C使得第一鰭片位在能夠通過在除了第一鰭片位置之外的位置處(例如,第二鰭片位置)的連接而電性地佈線,從而提供增加的佈線靈活性。
在一些實施方式中,接觸件結構100C不包括在接觸件C2下方的鰭片結構FS1的部分,並且接觸件C2另外地配置為可用於在介於覆蓋鰭片結構FS2的導孔V7和除了鰭片結構FS1之外的特徵(圖未示)之間形成電性連接。在各個實施方式中,接觸件C2配置為電性連接到除了鰭片結構FS1之外的鰭片結構(圖未示)、或是除了導孔V1至V8之外的一或多個導孔(圖未示)。在各個實施方式中,一或多個額外的導孔配置為電性連接到除了金屬片段MS1至MS4之外的一或多個金屬片段(圖未示)、和/或到電源軌R1或R2中的其中一者、或是除了電源軌R1或R2之外的電源軌(圖未示)。
在各個實施方式中,經由在一或多個電源軌(例如,電源軌R1或R2中的一者或兩者)的下方,接觸件C2配置為電性連接到一或多個額外的鰭片結構和/或導孔。在各個實施方式中,經由延伸超出電源軌R1或R2中的一者或兩者,接觸件C2配置為電性連接到一或多個額外的鰭片結構和/或導孔。
在各個實施方式中,經由將接觸件C2與鰭片結構FS2隔離,使得接觸件C2可用於在介於覆蓋鰭片結構FS2 的導孔V7和一或多個以上討論的其他特徵之間形成電性連接,與其中沒有將鰭片結構與上覆的接觸件隔離的方式相比,接觸件結構100C提供了增加的佈線靈活性。
在第1A圖至第1C圖中所描繪的實施方式中,金屬片段MS1至MS4位在介於電源軌R1和R2之間,並且金屬片段MS1至MS4中的各者在Y方向上具有大約相同的寬度W。這些寬度W被視為大約等於一寬度數值,或者是大約相同的,經由寬度W在一範圍之內,此範圍對應於一標稱的(nominal)寬度數值加上或減去製造公差(金屬片段MS1至MS4所位在的金屬層的製造工差)。在一些實施方式中,標稱的寬度數值是金屬片段MS1至MS4所位在的金屬層的最小寬度數值。在一些實施方式中,寬度W具有範圍從8奈米至800奈米的標稱的寬度數值。
在Y方向上介在金屬片段MS1和電源軌R1之間的間隔大約等於的距離d1,介在金屬片段MS1和金屬片段MS2和MS3中的各者之間的間隔大約等於距離d1,介在金屬片段MS2和MS3中的各者和金屬片段MS4之間的間隔大約等於距離d1,並且介在金屬片段MS4和電源軌R2之間的間隔大約等於距離d1。因此,介在金屬片段MS1和電源軌R1之間的間隔,和介在金屬片段MS4和電源軌R2之間的間隔,具有大約相同的距離d1。
這些間隔被視為大約等於一距離數值,或是具有大約相同的距離數值,經由距離數值在對應於一標稱的間隔(或間距)數值加上或減去製造工差(金屬片段MS1至MS4 和電源軌R1和R2所位在的金屬層的製造工差)的範圍之內。在一些實施方式中,標稱的間隔數值是金屬層(金屬片段MS1至MS4和電源軌R1和R2所位在金屬層)的最小的間距數值。在一些實施方式中,距離d1具有範圍從8奈米至800奈米的標稱的間隔數值。
在一些實施方式中,對應於寬度W的標稱的寬度數值和對應於距離d1的標稱的間隔數值是基於對應於在積體電路裝置100的積體電路佈局圖中的複數個軌道的節距(pitch),例如,以下參照第5圖所討論的積體電路佈局圖500的軌道T1至T5的節距P1。
基於金屬片段MS2和MS3中的各者具有大約相同的寬度W,介在金屬片段MS1以及金屬片段MS2和MS3中的各者之間的分隔大約等於距離d1,並且介在金屬片段MS2和MS3中的各者以及金屬片段MS4之間的間隔大約等於距離d1,介在金屬片段MS1和MS4之間的間隔大約等於距離d2=W+2d1。因此,介在電源軌R1和R2之間的間隔大約等於d2+2W+2d1=3W+4d1。
經由以上所討論的配置,積體電路裝置100包括電源軌R1和R2,電源軌R1和R2經由一距離而隔開,此距離相當於總共三個金屬片段(在一些實施方式中,金屬片段被稱為信號跡線)寬度和四個金屬間距。與其中鰭片結構沒有與上覆的接觸件隔離的方式相比,積體電路裝置(例如積體電路裝置100)其包括具有增加的佈線靈活性的接觸件結構100C,因此支持了其中介在相鄰的電源軌之間的間隔 相當於總於三個信號跡線和四個金屬間距的積體電路佈局。
除了在第1A圖至第1C圖中所描繪的接觸件結構100C之外,積體電路裝置100的特徵和配置是非限制性的實施方式,呈現用於說明的目的。在各個實施方式中,包括接觸件結構100C的積體電路裝置另外地被配置為包括兩個或更多個電源軌,兩個或更多個電源軌經由相當於總共三個金屬片段寬度加上四個金屬間距的一距離而分隔。
在各個實施方式中,與其中鰭片結構沒有與上覆的接觸件隔離的方式相比,積體電路裝置(例如,積體電路裝置100)包括了具有增加佈線靈活性的接觸件結構100C,增加佈線靈活性係基於其中電源軌由相當於總共多於三個金屬片段寬度加上四個金屬間距的距離而分隔的配置。
第2圖是根據一些實施方式的形成接觸件結構(例如,參照以上第1A圖至第1C圖所討論的接觸件結構100C)的方法200的流程圖。第3A圖至第3K圖是根據一些實施方式的包括接觸件結構100C的積體電路裝置100的一部分在對應於方法200的操作的各個製造階段的圖示。第3A圖至第3K圖中的每個圖包括從左到右的第一、第二、和第三圖,其對應於在第1A圖至第1C圖中所描繪的積體電路裝置100的平面視圖和截面視圖。為了便於說明各個特徵,在第3A圖至第3K圖中所描繪的平面視圖不包括層間介電(ILD)層(例如,以上參照第1A圖至第1C圖所討論的層間介電結構ILD1),並且截面視圖只包括層間介電結構ILD1的 相關的部分。
描繪在第2圖中的方法200的操作其中的順序只是用於說明;方法200的操作能夠被同時地執行或以與不同於在第2圖中所描繪的順序而執行。在一些實施方式中,除了在第2圖中所描繪的操作,在第2圖中所描繪的操作的之前、之間、期間、和/或之後,執行其他操作。
在操作210,在一些實施方式中,以第一介電材料填充介在第一和第二閘極結構之間的空間。在一些實施方式中,以第一介電材料填充此空間,對應於以上參照第1A圖至第1C圖所討論的在介於閘極結構G2和G3之間形成層間介電結構ILD1的一部分,並且描繪在第3A圖中。在一些實施方式中,以第一介電材料填充此空間,包括以被包括在層間介電結構ILD1的一或多種介電材料填充此空間。
在一些實施方式中,填充此空間包括使用沉積製程。在各個實施方式中,沉積製程包括化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、或適合於沉積一或多個材料層的其他製程。
在一些實施方式中,填空此空間包括執行平面化製程。在各個實施方式中,平面化製程包括化學機械研磨(CMP)或適合於在給定的製造階段產生平面化的頂表面的其他製程。
在操作220,經由移除覆蓋鰭片結構的第一介電材料的一部分,形成介在第一和第二閘極結構之間的第一開口。在一些實施方式中,形成第一開口,對應於移除以上 參照第1A圖至第1C圖所討論的介在閘極結構G2和G3之間且覆蓋鰭片結構FS2的層間介電結構ILD1的一部分。在一些實施方式中,形成第一開口包括運用一遮罩,並且經由移除由此遮罩所暴露的第一介電材料的一部分,形成第一開口。在一些實施方式中,形成第一開口對應於運用在第3B圖和第3C圖中所描繪的遮罩M1,並且經由移除由遮罩M1所暴露的層間介電結構ILD1的此部分,形成在第3C圖中所描繪的開口O1。
在一些實施方式中,移除覆蓋鰭片結構的第一介電材料的此部分包括使用蝕刻操作。在各個實施方式中,使用蝕刻操作包括使用一或多個蝕刻製程,諸如濕式蝕刻、乾式蝕刻、濺射蝕刻、或其他合適的移除製程。在各個實施方式中,使用蝕刻操作包括使用一或多種蝕刻劑材料,例如,Cl2、SF6、HBr、HCl、CF4、CHF3、C2F6、C4F8、或其他合適的蝕刻劑材料中的一或多種。
在各個實施方式中,移除覆蓋鰭片結構的第一介電材料的此部分包括,暴露閘極結構和/或鰭片結構中的一者或兩者,例如,閘極結構G2和/或G3或鰭片結構FS2,如在第3C圖中所描繪。
在一些實施方式中,移除覆蓋鰭片結構的第一介電材料的此部分包括,移除遮罩,例如,遮罩M1。
在操作230,以第二介電材料填充第一開口的至少一部分。以第二介電材料填充至少部分的第一開口包括,以不同於第一介電材料的第二介電材料填充至少部分的 第一開口。在一些實施方式中,填充至少部分的第一開口對應於至少部分的形成以上參照第1A圖至第1C圖所討論的隔離層IL1,經由以在第3D圖中所描繪的介電層DL1填充至少部分的開口O1。
在一些實施方式中,以第二介電材料填充至少部分的第一開口包括,以被包括在隔離層IL1中的一或多種介電材料來填充至少部分的第一開口。
在各個實施方式中,以第二介電材料填充至少部分的第一開口包括,填充第一開口的一部分、填充第一開口的全部直到圍繞第一開口的頂表面(未標示)處、或填充第一開口的全部加上高於圍繞第一開口的頂表面的體積(圖未示)。在一些實施方式中,填充第一開口的此部分包括,填充此部分至一目標厚度,此目標厚度對應於以上參照第1A圖至第1C圖所討論的隔離層IL1的厚度t。
在一些實施方式中,填充至少部分的第一開口包括,使用沉積製程。在一些實施方式中,填充至少部分的第一開口包括,使用平面化製程。
在一些實施方式中,填充至少部分的第一開口包括,形成第二介電材料其從第一閘極結構延伸至第二閘極結構,例如,在第3D圖中所描繪的閘極結構G2和G3。在一些實施方式中,填充至少部分的第一開口包括,在鰭片結構(例如,在第3D圖中所描繪的鰭片結構FS2)之上直接地形成第二介電材料。
在操作240,在一些實施方式中,根據目標厚 度(例如,以上參照第1A圖至第1C圖所討論的隔離層IL1的厚度t),蝕刻第二介電材料。在一些實施方式中,蝕刻第二介電材料包括使用蝕刻製程,其中相較於第一介電材料,選擇性地蝕刻第二介電材料。在一些實施方式中,蝕刻第二介電材料包括,移除介電層DL1的一部分,以形成具有厚度t的隔離層IL1,如在第3D圖和第3E圖中所描繪。
在操作250,在一些實施方式中,第二介電材料之上沉積第一介電材料。在一些實施方式中,在第二介電材料之上沉積第一介電材料包括形成以上參照第1A圖至第1C圖所討論的覆蓋隔離層IL1的層間介電結構ILD1的一部分,如在第3F圖中所描繪。
在一些實施方式中,在第二介電材料之上沉積第一介電材料包括,使用沉積製程。在一些實施方式中,在第二介電材料之上沉積第一介電材料包括,使用平面化製程。
在操作260,經由蝕刻第一介電材料,形成第二開口。在一些實施方式中,形成第二開口包括,運用一遮罩,並且經由移除由遮罩所暴露的第一介電材料的一部分,形成第二開口。在一些實施方式中,形成第二開口,對應於運用在第3G圖和第3H圖所描繪的遮罩M2,並且經由移除由遮罩M2所暴露的層間介電結構ILD1的此部分,形成在第3H圖中所描繪的開口O2。
在第3G圖和第3H圖中所描繪的實施方式中,遮罩M2圍繞對應於開口O2的單個區域連續地延伸,使得開 口O2的每個尺寸由遮罩M2定義。在各個實施方式中,遮罩M2包括一或多個分立的組件,並且不圍繞單個區域連續地延伸,使得開口O2的一或多個尺寸由除了遮罩M2之外的特徵定義,例如,經由與閘極結構G2或G3中的一者或兩者對準。在一些實施方式中,遮罩(例如,遮罩M2)稱為非接觸式遮罩。
在一些實施方式中,蝕刻第一介電材料包括,使用蝕刻製程,其中相較於第二介電材料,選擇性地蝕刻第一介電材料。在各個實施方式中,蝕刻第一介電材料包括,暴露第二介電材料或第二鰭片結構中的一者或兩者。在一些實施方式中,蝕刻第一介電材料包括,暴露以上參照第1A圖至第1C圖所討論的隔離層IL1或鰭片結構FS1中的一者或兩者,如第3H圖所描繪。
在操作270,經由以接觸件材料填充第二開口,形成接觸件其覆蓋鰭片結構和第二介電材料。形成接觸件包括,形成接觸件其至少部分地經由第二介電材料而與鰭片結構電性隔離。在一些實施方式中,形成接觸件包括,形成覆蓋鰭片結構FS2和隔離層IL1的接觸件C2,並且經由以上參照第1A圖至第1C圖所討論的隔離層IL1,接觸件C2與鰭片結構FS2電性隔離,如在第3I圖中所描繪。
在一些實施方式中,形成接觸件包括,形成接觸件其部分地經由第一介電材料而與鰭片結構電性隔離。在一些實施方式中,形成接觸件包括,形成接觸件C2其部分地經由以上參照第1A圖至第1C圖所討論的層間介電結構 ILD1,而與鰭片結構FS2電性隔離,如在第3I圖中所描繪。
在一些實施方式中,形成接觸件包括,形成覆蓋第二鰭片結構的接觸件,從而在介於第二鰭片結構和接觸件之間形成電性連接。在一些實施方式中,形成接觸件包括,形成以上參照第1A圖至第1C圖所討論的覆蓋鰭片結構FS1的接觸件C2,從而在介於鰭片結構FS1和接觸件C2之間形成電性連接,如在第3I圖中所描繪。
在一些實施方式中,形成此接觸件是形成複數個接觸件中的部分。在一些實施方式中,形成複數個接觸件包括,形成電性連接到鰭片結構的至少一個接觸件。在一些實施方式中,形成複數個接觸件包括,形成以上參照第1A圖至第1C圖所討論的接觸件C4或C5中的至少一者,其電性連接到鰭片結構FS2。
在一些實施方式中,形成接觸件被視為包括操作260和270兩者。
在操作280,在一些實施方式中,在接觸件、第二介電材料、和鰭片結構上方形成導電路徑。形成導電路徑包括,形成覆蓋接觸件、第二介電材料、和鰭片結構的導孔,從而在介於接觸件和導孔之間形成電性連接。在一些實施方式中,形成導孔包括,形成以上參照第1A圖至第1C圖所討論的導孔V7,如在第3J圖中所描繪。
在一些實施方式中,形成導電路徑包括,形成層間介電結構的一或多個部分,其包括第一介電材料,例如,以上參照第1A圖至第1C圖所討論的層間介電結構 ILD1。
在一些實施方式中,形成導電路徑包括,形成覆蓋導孔的第一金屬片段,從而在介於導孔和金屬片段之間形成電性連接。在一些實施方式中,形成第一金屬片段包括,形成以上參照第1A圖至第1C圖所討論的金屬片段MS4,如在第3K圖中所描繪。
在一些實施方式中,形成導電路徑包括,形成第二金屬片段其在第一介電材料之上且在接觸件和第二鰭片結構上方,從而將接觸件與第二金屬片段電性隔離。形成第一和第二金屬片段是形成一相同的金屬層的部分。在一些實施方式中,形成第二金屬片段包括形成以上參照第1A圖至第1C圖所討論的金屬片段MS1,如在第3K圖所描繪。
在一些實施方式中,形成第一和第二金屬片段包括形成第一和第二電源軌(例如,以上參照第1A圖至第1C圖所討論的電源軌R1和R2),作為形成此相同的金屬層的部分。在一些實施方式中,形成第一和第二金屬片段以及第一和第二電源軌包括形成具有相同寬度的第一和第二金屬片段中的各者,以一相同的間距,將第一和第二金屬片段與相應的第一和第二電源軌分隔,並且以對應於總共三倍的此寬度加上四倍的此間距的一距離,將第一和第二電源軌分隔。
方法200的操作可用於形成包括接觸件結構的積體電路裝置(例如,以上參照第1A圖至第1C圖所討論的包括接觸件結構100C的積體電路裝置100),經由在介於鰭片結構和上覆的接觸件之間形成隔離層。與不包括形成隔離層 的方法相比,方法200可用於形成具有改進的佈線靈活性的接觸件結構,如以上參照積體電路裝置100和接觸件結構100C所討論的。
第4圖是根據一些實施方式的操作積體電路製造系統的方法400的流程圖。在一些實施方式中,操作積體電路製造系統包括,產生包括隔離接觸件結構的積體電路裝置(例如,包括以上參照第1A圖至第1C圖所討論的接觸件結構100C的積體電路裝置100,積體電路裝置100根據所產生的積體電路佈局圖而製造)的積體電路佈局圖,例如,以下所討論的積體電路佈局圖500、600A、或600B。積體電路裝置的非限制性實施例包括記憶體電路、邏輯裝置、處理裝置、信號處理電路、和類似者。
在一些實施方式中,方法400的一些或全部以電腦的處理器執行。在一些實施方式中,方法400中的一些或全部由以下參照第7圖所討論的電子設計自動化(EDA)系統700的處理器702執行。
在一些實施方式中,方法400的一或多個操作是形成積體電路裝置的方法的操作的子集。在一些實施方式中,方法400的一或多個操作是積體電路製造流程的操作的子集,例如,以下參照製造系統800和第8圖所討論的積體電路製造流程。
在一些實施方式中,方法400中的操作以在第4圖中所描繪的順序執行。在一些實施方式中,方法400中的操作同時地執行和/或以不同於在第4圖中所描繪的順序而 執行。在一些實施方式中,在執行方法400的一或多個操作之前、之間、期間、和/或之後,執行一或多個操作。
第5圖至第6B圖是在一些實施方式中,經由執行方法400的一或多個操作,而產生的對應的積體電路佈局圖500、600A、或600B的非限制性實施例的描繪。除了積體電路佈局圖500、600A、或600B,第5圖至第6B圖中的每個圖包括以上參照第1A圖至3K圖所討論的X和Y方向。
積體電路佈局圖500、600A、或600B中的各者是佈局單元的非限制性實施例,其對應於包括隔離接觸件結構(例如,以上參照第1A圖至第3K圖所討論的接觸件結構100C)的邏輯裝置。積體電路佈局圖500對應於包括單個隔離接觸件結構的邏輯裝置,積體電路佈局圖600A對應於包括第一和第二隔離接觸件結構的邏輯裝置,並且積體電路佈局圖600B對應於包括一隔離接觸件結構和一橋接接觸件結構(bridge contact structure)的邏輯裝置。
為了清楚的目的起見,簡化了積體電路佈局圖500、600A、和600B。在各個實施方式中,積體電路佈局圖500、600A、或600B中的一或多者包括除了在第5圖至第6B圖所描繪的特徵之外的特徵,例如,一或多個電晶體元件、電源軌、隔離結構、阱、導電元件、或類似者。
如在第5圖中所描繪,積體電路佈局圖500包括主動區域AR1其包括鰭片特徵FF1、主動區域AR2其包括鰭片特徵FF2、閘極區域GR1至GR6、隔離區域IR1其在X方向上在介於相鄰的閘極區域GR4和GR5之間延伸、接觸件 區域CR1至CR9、導孔區域VR1至VR12、以及金屬區域MR1至MR8。金屬區域MR1至MR8在X方向上與具有在Y方向上的節距P1的軌道T1至T5對準。
如在第6A圖中所繪示,積體電路佈局圖600A包括主動區域AR3至AR6其包括相應的鰭片特徵FF3至FF6、閘極區域GR7至GR10、隔離區域IR2和IR3、接觸件區域CR10至CR19、導孔區域VR13和VR14、和金屬區域MR9至MR17。隔離區域IR2和IR3中的各者在X方向上在介於相鄰的閘極區域GR8和GR9之間延伸。
如在第6B圖中所描繪,積體電路佈局圖600B包括主動區域AR7和AR8其包括相應的鰭片特徵FF7和FF8、閘極區域GR11至GR14、和隔離區域IR4其在X方向上在介於相鄰的閘極區域GR12和GR13之間延伸、接觸件區域CR20至CR23,導孔區域VR15和VR16、以及金屬區域MR18至MR22。
主動區域(例如,主動區域AR1至AR8中的其中一個)是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在半導體基板中的一主動區域(也稱為氧化物擴義或定義(OD))的部分,在主動區域中形成了一或多個積體電路裝置特徵,例如,源極/汲極區域。在各個實施方式中,主動區域是鰭式場效電晶體(FinFET)的n型或p型主動區域,其包括至少一個鰭片特徵,例如,鰭片特徵FF1至FF8,其對應於部分地經由主動區域而定義的在主動區域中的鰭片結構。鰭片結構包括一或多個鰭片,如以上參照鰭片 結構FS1和FS2和第1A圖至第3K圖所討論的內容。
閘極區域(例如,閘極區域GR1至GR14中的其中一者),是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在積體電路裝置中的閘極結構的部分,包括至少一種導電材料或介電材料。在各個實施方式中,對應於閘極區域的一或多個閘極結構包括,覆蓋至少一個介電材料的至少一個導電材料。在各個實施方式中,閘極區域GR4和GR5、GR8和GR9、或GR12和GR13,被包括在製造製程中,作為定義對應於以上參照第1A圖至第3K圖所討論的閘極結構G2和G3的部分。
隔離區域(例如,隔離區域IR1至IR4中的其中一者),是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在積體電路裝置中的一或多個介電材料層的部分。在各個實施方式中,隔離區域IR1至IR4的其中一者被包括在製造製程中,作為定義以上參照第1A圖至第3K圖所討論的隔離層IL1的部分。
接觸件區域(例如,接觸件區域CR1至CR23),是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在積體電路裝置中的一或多個導電層的一或多個片段的部分,配置為在介於一或多個下方的鰭片結構和一或多個上覆的導孔之間的形成電性連接。在各個實施方式中,接觸件區域CR4、CR11、CR16、或CR21的其中一者被包括製造製程中,作為定義以上參照第1A圖至第3K圖所討論的相應的接觸件C2的部分。
導孔區域(例如,導孔區域VR1至VR16中的其中一者),是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在積體電路裝置中的包括一或多個導電層的一或多個片段的導孔的部分,配置為在介於一或多個下方的接觸件或閘極結構和一或多個上覆的金屬區域之間形成電性連接。在各個實施方式中,導孔區域VR1至VR16中的一或多者被包括在製造製程中,作為定義以上參照第1A圖至第3K圖所討論的導孔V1至V8中的一或多者的部分。
金屬區域(例如,金屬區域MR1至MR22中的其中一者),是在製造製程中所包括的積體電路佈局圖中的一個區域,作為定義在積體電路裝置中一金屬層的一或多個金屬片段的部分。在各個實施方式中,金屬區域對應於在積體電路裝置中的金屬零層、金屬一層、或更高的金屬層中的一或多者。在各個實施方式中,金屬區域MR2至MR7、MR10至MR12、MR14至MR16、或MR19至MR21中的一或多者,被包括在製造製程中,作為定義金屬片段MS1至MS4中的一或多者的部分;並且/或者金屬區域MR1、MR8、MR9、MR13、MR17、MR18、或MR22中的一或多者,被包括在製造製程中,作為定義以上參照第1A圖至第3K所各自討論的電源軌R1或R2中的一者或兩者的部分。
在第5圖中所描繪的實施方式中,積體電路佈局圖500包括隔離區域IR1,隔離區域IR1交疊於主動區域AR2的鰭片特徵FF2和接觸件區域CR4中的各者,並且從而配置為定義一隔離結構,其位於介在由鰭片特徵FF2定義的 鰭片結構和由接觸件區域CR4定義的接觸件之間。隔離區域IR1、鰭片特徵FF2、和接觸件區域CR4,從而對應於一隔離接觸件結構(例如,以上參照第1A圖至第3K圖所討論的接觸件結構100C),其中經由隔離層,接觸件與下方的第一鰭片結構電性隔離。
積體電路佈局圖500也包括接觸件區域CR4,其交疊於主動區域AR1的鰭片特徵FF1和導孔區域VR10中的各者,並且從而配置為定義接觸件,此接觸件覆蓋由鰭片特徵FF1定義的鰭片結構,和位在由導孔區域VR10所定義的導孔的下方。鰭片特徵FF1、接觸件區域CR4、和導孔區域VR10,從而對應於隔離接觸件結構,其中接觸件將覆蓋第一鰭片結構的導孔電性連接到在下方的第二鰭片結構。
積體電路佈局圖500也包括交疊於鰭片特徵的接觸件區域CR1至CR3和CR5其覆蓋鰭片特徵FF1、交疊於鰭片特徵FF2的接觸件區域CR6至CR8和CR9、交疊於相應的接觸件區域CR2、CR1、CR3、CR5、CR8、CR6、和CR9的導孔區域VR1至VR4、VR9、VR11、和VR12、交疊於相應的閘極區域GR3至GR5和GR2的導孔區域VR5至VR8、以及交疊於相應的導孔區域VR1至VR12的金屬區域MR1至MR8,並且金屬區域MR1至MR8沿著具有節距P1的相應的軌道T1至T5而對準。參照的鰭片特徵、閘極區域、接觸件區域、導孔區域、和金屬區域,從而配置為定義結構,這些結構包括對應於金屬區域MR1和MR8的電源軌,其與隔離接觸件結構組合,形成對應於積體電路佈局圖500的非 限制性實施例的邏輯裝置。
如在第5圖中所描繪,金屬區域MR1至MR8在X方向上沿著具有節距P1的軌道T1至T5對準,這相當於,在對應於電源軌的金屬區域MR1和MR8之間,排列在Y方向上總共至多金屬區域MR2至MR7中的三個金屬區域。金屬區域MR2交疊於鰭片特徵FF1,並且與軌道T2對準,並且金屬區域MR7交疊於鰭片特徵FF2,並且與軌道T4對準,與軌道T2分隔兩個節距P1。在第5圖中所描繪的實施方式中,鰭片特徵FF1與軌道T2對準,並且鰭片特徵FF2與軌道T4對準。在各個實施方式中,鰭片特徵FF1或FF2中的至少一者不與對應的軌道T2或T4對準。
雖然第6A圖和第6B圖沒有繪示軌道(例如,在第5圖中所描繪的軌道T1至T5),積體電路佈局圖600A的金屬區域MR9至MR17和積體電路佈局圖600B的金屬區域MR18至MR22具有類似於積體電路佈局圖500的配置,使得總共至多三個金屬區域在介於對應於電源軌的金屬區域之間沿Y方向排列,如以下所討論的內容。
在第6A圖中所描繪的實施方式中,積體電路佈局圖600A包括隔離區域IR2,隔離區域IR2交疊於主動區域AR3的鰭片特徵FF3和接觸件區域CR11中的各者,並且從而配置為定義一隔離層,其位在介於由鰭片特徵FF3所定義的鰭片結構和由接觸件區域CR11所定義的接觸件之間。因此隔離區域IR2、鰭片特徵FF3、和接觸件區域CR11對應於第一隔離接觸件結構(例如,以上參照第1A圖至第3K圖 所討論的接觸件結構100C),其中經由隔離層,接觸件與下方的第一鰭片結構電性隔離。
積體電路佈局圖600A也包括接觸件區域CR11,接觸件區域CR11交疊於主動區域AR4的鰭片特徵FF4和導孔區域VR13中的各者,並且從定配置為定義接觸件,接觸件覆蓋由鰭片特徵FF4所定義的鰭片結構和在由導孔區域VR13所定義的導孔的下方。因此鰭片特徵FF4、接觸件區域CR11、和導孔區域VR13對應於第一隔離接觸件結構,其中接觸件將覆蓋第一鰭片結構的導孔電性連接到在下方的第二鰭片結構。
積體電路佈局圖600A也包括隔離區域IR3,隔離區域IR3交疊於主動區域AR6的鰭片特徵FF6和接觸件區域CR16中的各者,並且從而配置為定義一隔離層,此隔離層位在由鰭片特徵FF6所定義的鰭片結構和由接觸件區域CR16所定義的接觸件之間。因此隔離區域IR3、鰭片特徵FF6、和接觸件區域CR16對應於第二隔離接觸件結構(例如,以上參照第1A圖至第3K圖所討論的接觸件結構100C),其中經由隔離層,接觸件與在下方的第一鰭片結構電性隔離。
積體電路佈局圖600A也包括接觸件區域CR16,接觸件區域CR16交疊於主動區域AR5的鰭片特徵FF5和導孔區域VR14中的各者,並且從而配置為定義一接觸件,此接觸件覆蓋由鰭片特徵FF5所定義的鰭片結構和在由導孔區域VR14所定義的導孔的下方。因此鰭片特徵 FF5、接觸件區域CR16、和導孔區域VR14對應於第二隔離接觸件結構,其中接觸件將覆蓋第一鰭片結構的導孔電性連接到在下方的第二鰭片結構。
積體電路佈局圖600A也包括,交疊於鰭片特徵FF3的接觸件區域CR10和CR12、交疊於鰭片特徵FF4的接觸件區域CR13和CR14、交疊於鰭片特徵FF5的接觸件區域CR15和CR17、交疊於鰭片特徵FF6的接觸件區域CR18和CR19、交疊於接觸件區域CR10、CR12至CR15、或CR17至CR19、或閘極區域GR8或GR9中的一或多者的額外的導孔區域(圖未示)、以及交疊於導孔區域VR13和VR14和額外的導孔區域的金屬區域MR9至MR17。參照的鰭片特徵、閘極區域、接觸件區域、導孔區域、和金屬區域,從而配置為定義結構,這些結構包括對應於金屬區域MR9、MR13、和MR17的電源軌,其與第一和第二隔離接觸件結構組合,形成對應於積體電路佈局圖600A的非限製性實施例的邏輯裝置。
在第6B圖中所描繪的實施方式中,積體電路佈局圖600B包括隔離區域IR4,隔離區域IR4交疊於主動區域AR8的鰭片特徵FF8和接觸件區域CR21中的各者,並且從而配置為定義一隔離層,此隔離層位在介於由鰭片特徵FF8所定義的鰭片結構和由接觸件區域CR21所定義的接觸件之間。因此隔離區域IR4、鰭片特徵FF8、和接觸件區域CR21對應於一隔離接觸件結構(例如,以上參照第1A圖至第3K圖所討論的接觸件結構100C),其中經由隔離層,接 觸件與在下方的第一鰭片結構電性隔離。
積體電路佈局圖600B也包括接觸件區域CR21,接觸件區域CR21交疊於主動區域AR7的鰭片特徵FF7和導孔區域VR16中的各者,並且從而配置為定義接觸件,此接觸件覆蓋由鰭片特徵FF7所定義的鰭片結構和在由導孔區域VR16所定義的導孔的下方。因此鰭片特徵FF7、接觸件區域CR21、和導孔區域VR16對應於隔離接觸件結構,其中接觸件將覆蓋第一鰭片結構的導孔電性連接到在下方的第二鰭片結構。
積體電路佈局圖600B也包括接觸件區域CR20,接觸件區域CR20交疊於鰭片特徵FF7和FF8中的各者以及導孔區域VR15,並且從而配置為定義接觸件,此接觸件覆蓋由鰭片特徵FF7和FF8所定義的鰭片結構中的各者,以及在由導孔區域VR15所定義的導孔的下方。因此鰭片特徵FF7和FF8、接觸件區域CR20、和導孔區域VR15對應於一橋接結構,其中接觸件將上覆的導孔電性連接到在導孔下方的第一鰭片結構和第二鰭片結構中的各者。
積體電路佈局圖600B也包括交疊於鰭片特徵FF7的接觸件區域CR22,和交疊於鰭片特徵FF8的接觸件區域CR23,交疊於接觸件區域CR20至CR23或閘極區域GR12或GR13中的一或多者的額外的導孔區域(圖未示)、以及交疊於導孔區域VR15和VR16與額外的導孔區域的金屬區域MR18至MR22。參照的鰭片特徵、閘極區域、接觸件區域、導孔區域、和金屬區域,從而配置為定義結構,這 些結構包括對應於金屬區域MR18和MR20的電源軌,其與隔離接觸件結構和橋接結構組合,形成對應於積體電路佈局圖600B的非限制性實施例的邏輯裝置。
在操作410,在一些實施方式中,隔離區域與在一積體電路佈局圖的一個單元中的一第一主動區域的一第一鰭片特徵交疊。將隔離區域與第一主動區域的第一鰭片特徵交疊包括,隔離區域其可用於在製造製程中,作為定義在根據積體電路佈局圖所製造的積體電路裝置中的一或多個介電材料層的部分,並且第一鰭片特徵和主動區域可用於在製造製程中,作為定義在積體電路裝置中的鰭式場效電晶體的第一鰭片結構的部分,使得一或多個介電材料層覆蓋在鰭片結構上方。
在各個實施方式中,將一第一區域與在積體電路佈局圖中的一第二區域交疊包括,修改積體電路佈局圖,以包括由第一和第二區域的各者的非整個部分所共用的區域、第一區域的整體和第二區域的非整個部分、第一區域的非整個部分和第二區域的整體、或第一和第二區域各者的整體。在各個實施方式中,修改積體電路佈局圖包括,將第一或第二區域中的一者或兩者置放在積體電路佈局圖中,和/或在積體電路佈局圖之內重新配置第一或第二區域中的一兩或兩者。
在一些實施方式中,將隔離區域與第一主動區域的第一鰭片結構交疊包括,隔離區域可用於定義隔離層IL1的部分,和第一主動區域的鰭片特徵其可用於定義鰭片 結構FS2的部分,各者參照以上第1A圖至第3K圖所討論的內容。
在各個實施方式中,將隔離區域與第一主動區域的第一鰭片特徵交疊包括,將隔離區域IR1與以上參照第5圖所討論的鰭片特徵FF2交疊,將隔離區域IR2或IR3中的一者或兩者與對應於以上參照第6A圖所討論的鰭片特徵FF3或FF6交疊,或將隔離區域IR4與以上參照第6B圖所討論的鰭片特徵FF8交疊。
在操作420,接觸件區域與隔離區域和第一鰭片特徵交疊,並且與在此單元中的一第二主動區域的一第二鰭片特徵交疊。將接觸件區域與隔離區域、第一鰭片特徵、和第二主動區域的第二鰭片特徵交疊包括,接觸件區域可用於在製造製程中作為定義在根據積體電路佈局圖所製造的積體電路裝置中的接觸件和第二鰭片結構的部分,使得接觸件覆蓋由隔離區域所定義的一或多個介電材料、由第一鰭片特徵所定義的第一鰭片結構、和由第二鰭片特徵所定義的第二鰭片結構。將接觸件區域與隔離區域、第一鰭片結構、和第二鰭片結構交疊是定義接觸件的部分,此接觸件與第一鰭片結構電性隔離並且電性連接第二鰭片結構。
在一些實施方式中,將接觸件區域與隔離區域、第一鰭片特徵、和第二鰭片特徵交疊包括,接觸件區域可用於作為定義接觸件C2的部分,隔離區域可用於作為定義隔離層IL1的部分,第一鰭片特徵可用於作為定義鰭片結構FS2的部分,並且第二鰭片特徵可用於作為定義鰭片結構 FS1的部分,各者參照以上第1A圖至第3K圖所討論的內容。
在各個實施方式中,將接觸件區域與隔離區域、第一鰭片特徵、和第二鰭片特徵交疊包括,將接觸件區域CR4與隔離區域IR1和對應的鰭片特徵FF2和FF1交疊(參照以上第5圖所討論的內容),將接觸件區域CR11與隔離區域IR2和對應的鰭片特徵FF3和FF4交疊,並且將接觸件區域CR16與隔離區域IR3和對應的鰭片特徵FF6和FF5交疊(參照以上第6A圖所討論的內容),或將接觸件區域CR21與隔離區域IR4和對應的鰭片特徵FF8和FF7交疊(參照以上第6B圖所討論的內容)。
在操作430,在一些實施方式中,一導孔區域與接觸件區域、隔離區域、和第一鰭片特徵交疊,和/或一第一金屬層的一第一金屬區域與導孔區域交疊,和/或此第一金屬層的一第二金屬區域與接觸件區域和第二鰭片特徵交疊。
將導孔區域與接觸件區域、隔離區域、和第一鰭片特徵交疊包括,導孔區域可用於在製造製程中,作為定義在根據積體電路佈局圖所製造的積體電路裝置中的導孔的部分,使得導孔覆蓋由接觸件區域所定義的接觸件、由隔離區域所定義的一或多個介電材料層、和由第一鰭片特徵所定義的第一鰭片結構。將導孔區域與接觸件區域交疊是定義導孔的部分,此導孔電性連接到接觸件。
在一些實施方式中,將導孔區域與接觸件區域、隔離區域、和第一鰭片特徵交疊包括,導孔區域可用於 作為定義導孔V7的部分、接觸件區域可用於作為定義接觸件C2的部分、隔離區域可用於作為定義隔離層IL1的部分、和第一鰭片特徵可用於作為定義鰭片結構FS2的部分,各者參照以上第1A圖至第3K圖所討論的內容。
在各個實施方式中,將導孔區域與接觸件區域、隔離區域、和第一鰭片特徵交疊包括,將導孔區域VR10與接觸件區域CR4、隔離區域IR1、和鰭片特徵FF2交疊,以上參照第5圖所討論的內容;將導孔區域VR13與接觸件區域CR11、隔離區域IR2和鰭片特徵FF3交疊,和將導孔區域VR14與接觸件區域CR16、隔離區域IR3、和鰭片特徵FF6交疊,以上參照第6A圖所討論的內容;或將導孔區域VR16與接觸件區域CR21、隔離區域IR4、和鰭片特徵FF8交疊,以上參照第6B圖所討論的內容。
將第一金屬層的第一金屬區域與導孔區域交疊包括,第一金屬區域可用於在製造製程中作為定義在根據積體電路佈局圖所製造的積體電路裝置中的第一金屬片段的部分,使得第一金屬片段覆蓋由導孔區域所定義的導孔。將第一金屬區域與導孔區域交疊是定義第一金屬片段的部分,此第一金屬片段電性連接到導孔。
在一些實施方式中,將第一金屬區域與導孔區域交疊包括,第一金屬區域可用於作為定義金屬片段MS4的部分,和導孔區域可用於作為定義導孔V7的部分,各者參照以上第1A圖至第3K圖所討論的內容。
在各個實施方式中,將第一金屬區域與導孔區 域交疊包括,將金屬區域MR7與導孔區域VR10交疊,以上參照第5圖所討論的內容;將金屬區域MR10與導孔區域VR13交疊,和/或將金屬區域MR16與導孔區域VR14交疊,參照以上第6A圖所討論的內容;或將金屬區域MR21與導孔區域VR16交疊,以上參照第6B圖所討論的內容。
將第一金屬層的第二金屬區域與接觸件區域和第二鰭片特徵交疊包括,第二金屬區域可用於作為在製造製程中作為定義在根據積體電路佈局圖所製造的積體電路裝置中的第二金屬片段的部分,使得第二金屬片段覆蓋由接觸件區域所定義的接觸件和由第二鰭片結構所定義的鰭片結構。將第二金屬區域與接觸件區域和第二鰭片特徵交疊是定義第二金屬片段的部分,此第二金屬片段與接觸件電性隔離。
在一些實施方式中,將第二金屬區域與接觸件區域和第二鰭片特徵交疊包括,第二金屬區域可用於作為定義金屬片段MS4的部分,接觸件區域可用於作為定義接觸件C2,並且第二鰭片特徵可用於作為定義鰭片結構FS1的部分,各者參照以上第1A圖至第3K圖所討論的內容。
在各個實施方式中,將第二金屬區域與接觸件區域和第二鰭片特徵交疊包括,將金屬區域MR2與接觸件區域CR4和鰭片特徵FF1交疊,以上參照第5圖所討論的內容;將金屬區域MR12與接觸件區域CR11和鰭片特徵FF4交疊,和/或將金屬區域MR14與接觸件區域CR16和鰭片特徵FF5交疊,以上參照第6A圖所討論的內容;或將金屬區 域MR19與接觸件區域CR21和鰭片特徵FF7交疊,以上參照第6B圖所討論的內容。
在一些實施方式中,將第一金屬區域交疊包括,沿著複數個軌道中的一第一軌道對準第一金屬區域;將第二金屬區域交疊包括,沿著複數個軌道中的第二軌道對準第二金屬區域,第一和第二軌道的間距等於此複數個軌道的一節距的兩倍。沿著第一和第二軌道對準第一和第二金屬區域,對應於總共至多三個金屬區域,沿者節距的方向排列,並且介在對應於在根據積體電路佈局圖所製造的積體電路裝置中的電源軌的金屬片段之間。
在一些實施方式中,沿著第一和第二軌道對準第一和第二金屬區域包括,沿著軌道T4對準金屬區域MR7,和沿著軌道T2對準金屬區域MR2,以上參照第5圖所討論的內容。
在操作440,在一些實施方式中,積體電路佈局圖儲存在儲存裝置中。在各個實施方式中,將積體電路佈局圖儲存在儲存裝置中包括,將積體電路佈局圖儲存在非揮發、電腦可讀取記憶體或單元庫中,例如,數據庫,和/或包括通過網路儲存積體電路佈局圖。在一些實施方式中,將積體電路佈局圖儲存在儲存裝置中包括將積體電路佈局通過電子設計自動化(EDA)系統700的網路714儲存,以下參照第7圖討論。
在操作450,在一些實施方式中,基於積體電路佈局圖,製造一或多個半導體光罩中的至少一個,或是製 造在半導體積體電路的一層中的至少一個組件。以下參照第8圖討論製造一或多個光罩或在半導體積體電路的一層中的至少一個組件。
在操作460,在一些實施方式中,基於積體電路佈局圖執行一或多個製造操作。在一些實施方式中,執行一或多個製造操作包括,基於積體電路佈局圖執行一或多次微影曝光。基於積體電路佈局圖,執行一或多個製造操作,例如,一或多次微影曝光,以下參照第8圖討論。
經由執行方法400的一些或全部的操作,產生積體電路佈局圖,例如積體電路佈局圖500、600A、或600B,積體電路佈局圖包括至少一個隔離區域,隔離區域配置為形成根據積體電路佈局圖所製造的積體電路裝置的介在鰭片結構和接觸件之間的隔離層。與不包括配置隔離區域以形成隔離層的方法相比,方法400可用於產生具有改進的佈線靈活性的積體電路佈局圖和裝置,如以上參照積體電路裝置100和接觸件結構100C所討論的內容,從而支持積體電路佈局和裝置,其中介在相鄰的電源軌之間的一間隔,對應於總共三個對應於信號跡線的金屬區域。
第7圖是根據一些實施方式的電子設計自動化(EDA)系統700的方塊圖。
在一些實施方式中,電子設計自動化系統700包括自動佈局佈線(APR)系統。根據一或多個實施方式,代表佈線排列的設計佈局圖的本文所描述的方法是可實現的,例如根據一些實施方式,使用電子設計自動化系統700。
在一些實施方式中,電子設計自動化系統700是通用的計算裝置,其包括處理器702和非暫態電腦可讀取記錄媒體704,在一些實施方式中,也稱為記憶體704。其中,電腦可讀取記錄媒體704被編碼為具有(亦即儲存)電腦程式碼706,亦即,一組可執行的指令,在一些實施方式中也被稱為指令706。電腦程式碼706經由處理器702的執行代表(至少部分地)電子設計自動化工具其實現根據一實施方式的方法的一部分或全部,例如,以上參照第4圖所討論的方法400(下文中,所提到的製程和/或方法)。
處理器702經由總線708而電性耦合到電腦可讀取記錄媒體704。處理器702也經由總線708而電性耦合到輸入/輸出介面(I/O interface)710。網路介面712也經由總線708而電性連接到處理器702。網路介面712連接到網路714,使得處理器702和電腦可讀取記錄媒體704能夠經由網路714連接到外部元件。處理器702配置為執行電腦程式碼706(編碼在電腦可讀取記錄媒體704中),以使系統700可用於執行部分或全部的所提到的製程和/方法。在一或多個實施方式中,處理器702是中央處理單元(CPU)、多處理器、分散式處理系統、特定應用積體電路(application specific integrated circuit,ASIC)、和/或合適的處理單元。
在一或多個實施方式中,電腦可讀取記錄媒體704是電子的、磁性的、光的、電磁的、紅外線的、和/或半導體系統(或設備或裝置)。例如,電腦可讀取記錄媒體 704包括半導體或固態記憶體、磁帶、可移動的電腦磁片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、碟式磁碟、和/或光碟。在一或多個實施方式中,使用光碟,電腦可讀取記錄媒體704包括光碟唯讀記憶體(CD-ROM)、可讀寫光碟(CD-R/W)、和/或數位影音光碟(DVD)。
在一或多個實施方式中,電腦可讀取記錄媒體704儲存電腦程式碼706,其配置為使系統700(其中這樣的執行代表(至少部分地)電子設計自動化工具)可用於執行部分或全部的所提到的製程和/或方法。在一或多個實施方式中,電腦可讀取記錄媒體704也儲存有助於執行一部分或全部的所提到的製程和/或方法的資訊。在一或多個實施方式中,電腦可讀取記錄媒體704儲存標準單元的庫707,其包括如本文所揭示的積體電路佈局圖,例如,以上參照第5圖至第6B圖所討論的積體電路佈局圖500、600A、和/或600B。
電子設計自動化系統700包括輸入/輸出介面710。輸入/輸出介面710耦合到外部電路。在一或多個實施方式中,輸入/輸出介面710包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、觸控螢幕、和/或游標方向鍵,用於將信息和命令傳送至處理器702。
電子設計自動化系統700也包括耦合到處理器702的網路介面712。網路介面712允許系統700以網路714通信到一或多個被連接的其他電腦系統。網路介面712包括無線網路介面,諸如藍芽(BLUETOOTH)、無線保真 (WIFI)、全球互通微波存取(WIMAX)、無線射頻(GPRS)、或寬頻分碼多工接取(WCDMA);或有線網路介面,諸如乙太網路(ETHERNET)、通用串列匯流排(USB)、或高效能串聯匯流排1364(IEEE-1364)。在一或多個實施方式中,一部分或全部的所提到的製程和/或方法在兩個或更多個系統700中實現。
系統700配置為通過輸入/輸出介面710接收資訊。通過輸入/輸出介面710接收的資訊包括指令、數據、設計規則、標準單元的庫、和/或其他參數中的一或多個,以供處理器702處理。資訊經由總線708傳送到處理器702。電子設計自動化系統700配置為通過輸入/輸出介面710接收與使用者介面(UI)相關的資訊。資訊儲存在電腦可讀取記錄媒體704中,作為使用者介面(user interface,UI)742。
在一些實施方式中,一部分或全部的所提到的製程和/或方法實施為由處理器執行的獨立軟體應用程式。在一些實施方式中,一部分或全部的所提到的製程和/或方法實施為一軟體應用程式,其是額外的軟體應用程式中的一部分。在一些實施方式中,一部分或全部的所提到的製程和/或方法實施為軟體應用程式的插件。在一些實施方式中,所提到的製程和/或方法中的至少一個被實施作為電子設計自動化(EDA)工具的一部分的軟體應用程式。在一些實施方式中,一部分或全部的所提到的製程和/或方法被實現為由電子設計自動化系統700所使用的軟體應用程式。在一些實 施方式中,使用工具例如VIRTUOSO®(可得自益華電腦股份有限公司(CADENCE DESIGN SYSTEMS,Inc.))、或其他合適的佈局產生工具,產生包括標準單元的佈局圖。
在一些實施方式中,此製程被理解為儲存在非暫態電腦可讀取記錄媒體中的程式的功能。非暫態電腦可讀取記錄媒體的實施例包括,但不限於,外部的/可移動的和/或內部的/內建的儲存或記憶體單元,例如,光碟(例如DVD)、磁盤(例如硬碟)、半導體記憶體(例如ROM、RAM)、記憶卡、或類似者中的一或多個。
第8圖是根據一些實施方式的積體電路製造系統800以及與其相關聯的積體電路製造流程的方塊圖。在一些實施方式中,基於佈局圖,至少一者(A)一或多個半導體光罩或(B)使用製造系統800製造半導體積體電路的一層中的至少一個組件。
在第8圖中,製造系統800包括在設計、開發、和製造的週期、和/或製造積體電路裝置860相關的服務中彼此相互作用的實體,諸如設計公司820、光罩公司830、和積體電路製造廠/加工廠(「晶圓廠」)850。在系統800中的實體經由通信網路連接。在一些實施方式中,通信網路是單獨的網路。在一些實施方式中,通信網路是不同的網路,例如內聯網和網際網路。通信網路包括有線和/或無線通信頻道。每個實體與一或多個其他實體交互作用,並且向/從一或多個其他實體提供服務和/或接收服務。在一些實施方式中,設計公司820、光罩公司830、和積體電路晶圓廠850 中的兩個或多個由一個單獨的較大的公司擁有。在一些實施方式中,設計公司820、光罩公司830、和積體電路晶圓廠850中的兩個或多個共存於一個共用設施並且使用共用的資源。
設計公司(或設計團隊)820產生積體電路設計佈局圖822。積體電路設計佈局圖822包括各種幾何圖案(例如,以上參照第5圖至第6B圖所討論的積體電路佈局圖500、600A、或600B),用於積體電路裝置860的設計,例如,以上參照第1A圖至第3K圖所討論的積體電路裝置100。幾何圖案對應於構成要製造的積體電路裝置860中的不同的組件的金屬層、氧化物層、或半導體層的圖案。各個層結合以形成各個積體電路特徵。例如,積體電路設計佈局圖822的一部分包括各個積體電路特徵(例如,主動區域、閘極電極、源極和汲極、層間互連的金屬線或導孔、和用於接合墊的開口),形成在半導體基板(例如矽晶圓)中和設置在半導體基板上的各個材料層中。設計公司820實施適當的設計程序,以形成積體電路設計佈局圖822。設計程序包括邏輯設計、物理設計、或放置和佈線中的一或多種。積體電路設計佈局圖822呈現在具有幾何圖案的資訊的一或多個數據檔案中。例如,積體電路設計佈局圖822可以用GDSII檔案格式或DFII檔案格式表達。
光罩公司830包括數據準備832和光罩製造844。光罩公司830使用積體電路設計佈局圖822,以製造一或多個光罩845,使用光罩845用以根據積體電路設計佈 局圖822製造積體電路裝置860的各個層。光罩公司830執行光罩數據準備832,其中積體電路設計佈局圖822轉譯成代表性數據檔案(representative data file,「RDF」)。光罩數據準備832提供代表性數據檔案(RDF)給光罩製造844。光罩製造844包括光罩寫入器。光罩寫入器將代表性數據檔案轉換為在基材(例如,光罩(倍縮光罩)845或半導體晶圓853)上的影像。經由光罩數據準備832來操控積體電路設計佈局圖822,以遵循光罩寫入器的特定的特徵和/或積體電路晶圓廠850的要求。在第8圖中,光罩數據準備832和光罩製造844被繪示為分開的元件。在一些實施方式中,光罩數據準備832和光罩製造844可以共同地合稱為光罩數據準備。
在一些實施方式中,光罩數據準備832包括光學鄰近校正(optical proximity correction,OPC),其係使用微影增強技術(lithography enhancement techniques)以補償影像誤差,例如可能由於繞射、干射、其他製程影響、或類似因素引起的影像誤差。光學鄰近校正調整積體電路設計佈局圖822。在一些實施方式中,光罩數據準備832更包括解析度增強技術(resolution enhancement techniques,RET),例如偏軸照射(off-axis illumination)、次解析度輔助特徵(sub-resolution assist features)、相位移光罩(phase-shifting masks)、其他合適的技術、和類似者、或其組合。在一些實施方式中,也使用反向式微影技術(inverse lithography technology, ILT),其係將光學鄰近校正視為反向影像問題進行處理。
在一些實施方式中,光罩數據準備832包括光罩規則檢查器(mask rule checker,MRC),其利用一組光罩創建規則,檢查積體電路設計佈局圖822,積體電路設計佈局圖822係已經歷光學鄰近校正的製程,光罩創建規則包含某些幾何和/或連接性限制,以確保足夠的餘量,以考慮到在半導體製造製程中的變異性或類似的情況。在一些實施方式中,光罩規則檢查器修改積體電路設計佈局圖822,以補償在光罩製造844期間的限制,其可能取消由光學鄰近校正執行的修改的部分,以便符合光罩創建規則。
在一些實施方式中,光罩數據準備832包括微影製程檢查(lithography process checking,LPC),其係模擬將由積體電路晶圓廠850實施以製造積體電路裝置860的製程。微影製程檢查(LPC)基於積體電路設計佈局圖822而模擬此製程,以創建模擬的製造的裝置,例如積體電路裝置860。在微影製程檢查(LPC)模擬中的製程參數可以包括與積體電路製造週期的各種製程相關聯的參數,與用於製造積體電路的工具相關聯的參數、和/或製造製程的其他方面。微影製程檢查考慮各種因素,例如空中的影像對比度(aerial image contrast)、焦點的深度(Death of focus,DOF)、光罩誤差促進因子(mask error enhancement factor,MEEF)、其他合適的因子、和類似者、或其組合。在一些實施方式中,在經由微影製程檢查(LPC)而創建了模擬的製造的裝置之後,如果模擬的裝置在形狀上仍不夠接近 以滿足設計規則,則重複光學鄰近校正和/或光罩規則檢查器,以進一步改進積體電路設計佈局圖822。
應當理解的是,為了清楚起見,已經簡化了上述光罩數據準備832的描述。在一些實施方式中,數據準備832包括額外的特徵,例如邏輯操作(logic operation,LOP),以根據製造規則修改積體電路設計佈局圖822。另外,在數據準備832期間,應用在積體電路設計佈局圖822的製程可以用各種不同的順序執行。
在光罩數據準備832之後並且在光罩製造844期間,基於修改的積體電路設計佈局圖822,製造一光罩845或一組光罩845。在一些實施方式中,光罩製造844包括基於積體電路設計佈局圖822執行一或多次微影的曝光。在一些實施方式中,使用電子束(e-beam)或多重電子束的機制,以基於修改後的積體電路設計佈局圖822在光罩(光學遮罩或倍縮光罩)845上形成圖案。光罩845可以用各種技術形成。在一些實施方式中,使用二元制技術形成光罩845。在一些實施方式中,光罩圖案包括不透明區域和透明區域。使用輻射束(例如紫外光(UV)光束)曝光影像敏感的材料層(例如,光阻),影像敏感的材料層塗覆在晶圓上,輻射束被不透明區域阻擋並且透射穿過透明區域。在一個實施例中,光罩845的二元光罩版本包括透明的基板(例如,熔融石英)和塗覆在二元光罩的不透明區域中的不透明材料(例如,鉻)。在另一個實施例中,使用相位移技術(phase shift technology)形成光罩845。在相位移光罩(PSM)版本的光 罩845中,在相位移光罩上形成的圖案中的各種特徵配置為具有適當的相位差,以增加解析度和成像品質。在各個實施例中,相位移光罩可以是衰減的相位移光罩(attenuated PSM)或交替的相位移光罩(alternating PSM)。經由光罩製造844產生的光罩用於各種製程中。例如,像是光罩用在離子佈植製程中,以在半導體晶圓853中形成各種摻雜區域;光罩用在蝕刻製程中,以在半導體晶圓中形成各種蝕刻區域;和/或光罩用在其他合適的製程中。
積體電路晶圓廠850包括晶圓製造852。積體電路晶圓廠850是積體電路製造公司,包括用於製造各種不同的積體電路產品的一或多個製造設施。在一些實施方式中,積體電路晶圓廠850是半導體代工廠。例如,可能有用於多個積體電路產品的前端製造(前端(front-end-of-line,FEOL)製程)的製造設施,而第二製造設施可能提供後端製造,用於積體電路產品的互連和封裝(後端(back-end-of-line,BEOL)製程),並且有第三製造設施,可能提供代工業務的其他服務。
積體電路晶圓廠850使用由光罩公司830製造的光罩(或複數個光罩)845,以製造積體電路裝置860。因此,積體電路晶圓廠850至少間接地使用積體電路設計佈局圖822,以製造積體電路裝置860。在一些實施方式中,半導體晶圓853由積體電路晶圓廠850利用光罩(或複數個光罩)845製造,以形成積體電路裝置860。在一些實施方式中,積體電路製造包括至少間接地根據積體電路設計佈局圖 822,執行一或多次的微影曝光。半導體晶圓853包括矽基板或其他具有材料層形成在其上的適當的基板。半導體晶圓853更包括一或多個各種摻雜區域、介電特徵、多層互連、和類似者(在隨後的製造步驟中形成)。
關於積體電路(IC)製造系統(例如,第8圖的系統800)以及與其相關聯的積體電路(IC)製造流程的細節,已記載於例如美國專利號9,256,709(2016年2月9日核准)、美國早期公開號20150278429(2015年10月1日公開)、美國早期公開號20140040838(2014年2月6日公開)、和美國專利號7,260,442(2007年8月21日核准),上述各篇全文併入本案以供參考。
在一些實施方式中,積體電路結構包括鰭片結構、接觸件其沿著第一方向覆蓋鰭片結構、以及隔離層其介在接觸件和鰭片結構之間。隔離層沿著垂直於第一方向的第二方向與接觸件的一部分相鄰。
在一些實施方式中,製造積體電路結構的方法包括,經由移除覆蓋鰭片結構的第一介電材料的一部分,而在第一介電層中介於第一閘極結構和第二閘極結構之間形成一開口,以第二介電材料填充至少部分的此開口,以及形成一接觸件其覆蓋鰭片結構和第二介電材料。
在一些實施方式中,操作積體電路製造系統的方法包括,將隔離區域與在積體電路佈局圖的單元中的第一主動區域的第一鰭片特徵交疊,隔離區域在介於相鄰的第一和第二閘極區域之間延伸,並且將接觸件區域與隔離區域和 第一鰭片結構、和在單元中的第二主動區域的第二鰭片結構交疊。將隔離區域交離或將接觸件區域交疊中的至少一者由電腦的處理器執行。
本揭示內容的一些實施方式提供了一種積體電路(IC)結構,包含:一鰭片結構、一接觸件、以及一隔離層。接觸件沿著一第一方向覆蓋所述鰭片結構。隔離層介在所述接觸件和所述鰭片結構之間,其中,所述隔離層沿著垂直於所述第一方向的一第二方向與所述接觸件的一部分相鄰。
在一些實施方式中,鰭片結構是一第一鰭片結構,積體電路結構更包含一第二鰭片結構,以及接觸件覆蓋並且電性連接到所述第二鰭片結構。
在一些實施方式中,第一鰭片結構包含一第一類型的半導體材料,以及第二鰭片結構包含與所述第一類型不同的一第二類型的半導體材料。
在一些實施方式中,積體電路結構更包含一導孔,所述導孔覆蓋接觸件和隔離層,其中所述導孔電性連接到所述接觸件。
在一些實施方式中,積體電路結構更包含:一第一金屬片段、一第二金屬片段、以及一層間介電(ILD)層。第一金屬片段覆蓋並且電性連接到導孔。第二金屬片段覆蓋接觸件和在接觸件下方的另一個鰭片結構。層間介電層介在第二金屬片段和接觸件之間,其中第一金屬片段和第二金屬片段是一相同的金屬層的部分。
在一些實施方式中,第一金屬片段和第二金屬 片段在相同的金屬層中位在介於一第一電源軌和一第二電源軌之間,第一金屬片段和第二金屬片段具有大約相同的寬度W,介在第一金屬片段和第一電源軌之間的間隔,和介在第二金屬片段和第二金屬軌之間的間隔,具有大約地相同的一距離d1,以及介在第一電源軌和第二電源軌之間的間隔大約等於3W+4d1。
在一些實施方式中,接觸件和隔離層中的各者接觸層間介電(ILD)結構,以及隔離層和層間介電結構包含不同的介電材料。
在一些實施方式中,積體電路結構更包含:第一閘極結構以及第二閘極結構。第一閘極結構覆蓋鰭片結構。第二閘極結構覆蓋鰭片結構,其中隔離層從第一閘極結構延伸到第二閘極結構。
本揭示內容的一些實施方式提供了一種製造積體電路(IC)結構的方法,方法包含:在一第一介電材料中在介於一第一閘極結構和一第二閘極結構之間形成一開口,經由移除覆蓋在一鰭片結構的此第一介電材料的一部分;用一第二介電材料填充此開口的至少部分;以及形成一接觸件其覆蓋此鰭片結構和此第二介電材料。
在一些實施方式中,其中移除第一介電材料的此部分包含暴露此鰭片結構。
在一些實施方式中,所述移除第一介電材料的此部分包含,暴露第一閘極結構和第二閘極結構,以及所述填充此開口的此至少部分包含,形成第二介電材料其從第一 閘極結構延伸到第二閘極結構。
在一些實施方式中,鰭片結構是一第一鰭片結構,以及形成接觸件包含,執行一蝕刻操作,所述蝕刻操作暴露第二介電材料和一第二鰭片結構。
在一些實施方式中,方法更包含,在形成接觸件之前:基於一目標厚度蝕刻第二介電材料;以及將第一介電材料沉積在第二介電材料之上。
在一些實施方式中,方法更包含,在接觸件之上形成導孔,所述導孔覆蓋鰭片結構和第二介電材料。
在一些實施方式中,方法更包含:在導孔之上形成一第一金屬片段;以及形成一第二金屬片段其在第一介電材料之上並且覆蓋接觸件和在接觸件下方的另一個鰭片結構,其中所述形成第一金屬片段和所述形成第二金屬片段是形成一相同的金屬層的部分。
本揭示內容的一些實施方式提供了一種操作積體電路(IC)製造系統的方法,方法包含:將一隔離區域與在一積體電路佈局圖的一單元中的一第一區域的一第一鰭片特徵交疊,此隔離區域在介在相鄰的第一和第二閘極區域之間延伸;以及將一接觸件區域與此隔離區域和此第一鰭片特徵交疊,並且與在此單元中的一第二主動區域的一第二鰭片特徵交疊,其中,所述將此隔離區域交疊或所述將此接觸件區域交疊中的至少一者經由一電腦的一處理器執行。
在一些實施方式中的方法中,方法更包含:將一導孔區域與此接觸件區域、此隔離結構、和此第一鰭片特 徵交疊;將一第一金屬層的一第一金屬區域與此導孔區域交疊;以及將此第一金屬層的一第二金屬區域與此接觸件區域和此第二鰭片特徵交疊。
在一些實施方式中,所述將此第一金屬區域交疊包含,沿著複數個軌道中的一第一軌道對準此第一金屬區域,所述將此第二金屬區域交疊包含,沿著此複數個軌道中的一第二軌道對準此第二金屬區域,以及所述複數個軌道中的此第一和此第二軌道具有一間距,此間距等於所述複數個軌道的一節距的兩倍。
在一些實施方式中,方法更包含,將積體電路佈局圖儲存在一單元庫中。
在一些實施方式中,方法更包含,基於積體電路佈局圖製造以下的至少一者:一或多個半導體光罩,或在一半導體積體電路的一層中的至少一個組件。
以上概述了數個實施方式,以便本領域技術人員可以較佳地理解本揭示內容的各方面。本領域的技術人員應理解,他們可能容易地使用本揭示內容,作為設計和修改其他製程和結構的基礎,以實現與本文介紹的實施方式的相同的目的,和/或達到相同的優點。本領域技術人員亦會理解,與這些均等的建構不脫離本揭示內容的精神和範圍,並且他們可能在不脫離本揭示內容的精神和範圍的情況下,進行各種改變、替換、和變更。
100‧‧‧積體電路裝置
100C‧‧‧接觸件結構
A-A'‧‧‧平面
B-B'‧‧‧平面
C1、C2、C3、C4、C5‧‧‧接觸件
d1、d2‧‧‧距離
FS1、FS2‧‧‧鰭片結構
G1、G2、G3、G4‧‧‧閘極
IL1‧‧‧隔離層
MS1、MS2、MS3、MS4‧‧‧金屬片段
R1、R2‧‧‧電源軌
V1、V2、V3、V4、V5、V6、V7、V8‧‧‧導孔
W‧‧‧寬度

Claims (1)

  1. 一種積體電路(IC)結構,包含:一鰭片結構;一接觸件,沿著一第一方向覆蓋該鰭片結構;以及一隔離層,介在該接觸件和該鰭片結構之間,其中,該隔離層沿著垂直於該第一方向的一第二方向與該接觸件的一部分相鄰。
TW108135314A 2018-09-28 2019-09-27 積體電路結構 TW202013744A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738912P 2018-09-28 2018-09-28
US62/738,912 2018-09-28
US16/554,035 US11935825B2 (en) 2018-09-28 2019-08-28 Contact structure, method, layout, and system
US16/554,035 2019-08-28

Publications (1)

Publication Number Publication Date
TW202013744A true TW202013744A (zh) 2020-04-01

Family

ID=69946068

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135314A TW202013744A (zh) 2018-09-28 2019-09-27 積體電路結構

Country Status (3)

Country Link
US (2) US11935825B2 (zh)
CN (1) CN110993598A (zh)
TW (1) TW202013744A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688731B2 (en) 2021-01-29 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867986B2 (en) * 2017-11-24 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having fin structure
KR102583127B1 (ko) * 2018-10-30 2023-09-26 삼성전자주식회사 다이스택 구조물과 이를 구비하는 반도체 패키지
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system
US10867917B1 (en) * 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, associated method and layout
US11714945B2 (en) * 2020-04-09 2023-08-01 Tokyo Electron Limited Method for automated standard cell design
US11637069B2 (en) * 2020-08-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with V2V rail and methods of making same
US11444073B2 (en) * 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9613953B2 (en) * 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
US10510688B2 (en) * 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
US10002789B2 (en) * 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US10096522B2 (en) * 2016-05-06 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy MOL removal for performance enhancement
US11101352B2 (en) * 2016-09-24 2021-08-24 Intel Corporation Quantum dot array devices with shared gates
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688731B2 (en) 2021-01-29 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method
TWI814029B (zh) * 2021-01-29 2023-09-01 台灣積體電路製造股份有限公司 積體電路裝置及製造積體電路裝置的方法

Also Published As

Publication number Publication date
CN110993598A (zh) 2020-04-10
US20200105660A1 (en) 2020-04-02
US11935825B2 (en) 2024-03-19
US20220336343A1 (en) 2022-10-20

Similar Documents

Publication Publication Date Title
TW202013744A (zh) 積體電路結構
US11675961B2 (en) Engineering change order cell structure having always-on transistor
KR20200037116A (ko) 집적 회로, 시스템, 및 그를 형성하는 방법
US11664311B2 (en) Method and structure to reduce cell width in semiconductor device
CN111199130B (zh) 半导体器件及其制造方法和系统
TW202001636A (zh) 積體電路佈局方法、裝置及系統
US20230267262A1 (en) Metal cut region location method
US11704464B2 (en) Integrated circuit including misaligned isolation portions
US11636248B2 (en) Metal cut region location system
US20240090190A1 (en) Semiconductor device including unilaterally extending gates and method of forming same
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
US11093684B2 (en) Power rail with non-linear edge
US20230268339A1 (en) Semiconductor cell and active area arrangement
KR20200146026A (ko) 타이오프 디바이스
TWI810664B (zh) 阻抗降低之半導體裝置及其製造方法
US12019969B2 (en) Power rail with non-linear edge
US11855070B2 (en) Semiconductor device, method of and system for manufacturing semiconductor device
US11935830B2 (en) Integrated circuit with frontside and backside conductive layers and exposed backside substrate
US20230043245A1 (en) High voltage guard ring semiconductor device and method of forming same
TW202245191A (zh) 積體電路單元的結構及其佈局方法
CN115036304A (zh) 集成电路器件及其制造方法