TW202013531A - 半導體裝置之形成方法 - Google Patents

半導體裝置之形成方法 Download PDF

Info

Publication number
TW202013531A
TW202013531A TW108127974A TW108127974A TW202013531A TW 202013531 A TW202013531 A TW 202013531A TW 108127974 A TW108127974 A TW 108127974A TW 108127974 A TW108127974 A TW 108127974A TW 202013531 A TW202013531 A TW 202013531A
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial
fin
epitaxial layer
epitaxial layers
Prior art date
Application number
TW108127974A
Other languages
English (en)
Inventor
江欣哲
梁春昇
潘國華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013531A publication Critical patent/TW202013531A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

一種半導體裝置之形成方法包括提供自基板突出的鰭片。鰭片具有與第二磊晶層交替排列的第一磊晶層,第一磊晶層包括第一半導體材料,第二磊晶層包括與第一半導體材料不同的第二半導體材料。上述方法亦包括蝕刻位於鰭片之通道區域中之第二磊晶層之至少一者的側壁,使得位於通道區域中之第二磊晶層之至少一者的寬度在蝕刻之後小於與第二磊晶層之至少一者接觸之第一磊晶層的寬度。上述方法亦包括於鰭片之上形成閘極堆疊。閘極堆疊與第一磊晶層以及第二磊晶層接合。

Description

半導體裝置之形成方法
本發明實施例大抵上關於半導體裝置與製造方法,且特別關於具有奈米片結構之鰭式場效電晶體(Fin Field-Effect Transistors (FinFETs))及其形成方法。
半導體積體電路(IC)工業經歷了快速地成長。積體電路材料與設計上的技術進展已產生了數個積體電路之世代,其中每一世代具有比前一世代更小且更複雜的電路。在積體電路演進的過程中,功能密度(亦即,單位晶片面積上之互連裝置之數量)普遍地增加而幾何尺寸(亦即,使用一製程能產生之最小元件(或線))則降低。此縮小過程通常經由提高生產效率以及降低相關成本而提供許多優點。此縮小過程亦增加了積體電路製程與製造上的複雜性。
最近,奈米片裝置(nanosheet devices)被導入,其係經由增加閘極-通道耦合(gate-channel coupling)、降低截止狀態電流(OFF-state current)以及降低短通道效應(short-channel effects (SCEs))來增進閘極控制。奈米片裝置於堆疊奈米片結構中提供通道,這使得閘極結構可在通道區域的周圍延伸而於兩側或四側提供對通道之進出。換句話說,奈米片結構增加了電晶體裝置中的有效閘極寬度。奈米片裝置相容於傳統之互補式金氧半(complementary metal-oxide-semiconductor (CMOS))製程,且其結構使其可被積極地縮小同時仍能保持閘極控制並減輕短通道效應。有效閘極寬度之增加可能以(110)平面之減少作為交換。然而,對於p型場效電晶體(FETs)而言,相較於其他結晶面(例如:(100)、(111)平面),(110)平面提供電洞最高的遷移率(mobility)。因此,p型場效電晶體從奈米片結構得到的好處可能不如n型場效電晶體。因此,雖然奈米片配置在許多方面令人滿意,但在所形成裝置之效能上可能並非皆令人滿意。
本發明實施例包括一種半導體裝置之形成方法。上述方法包括形成第一鰭片與第二鰭片。第一鰭片與第二鰭片都具有第一磊晶層與第二磊晶層,第一磊晶層與第二磊晶層交替排列(alternately arranged)。上述方法亦包括在第一鰭片與第二鰭片之上形成介電層、露出第一鰭片之通道區域、移除第一鰭片之通道區域中的第二磊晶層、露出第二鰭片之通道區域、凹蝕第二鰭片之通道區域中之相鄰第一磊晶層之間的第二磊晶層、於第一鰭片之上形成第一閘極堆疊。第一閘極堆疊包圍第一鰭片之通道區域中的各第一磊晶層。上述方法亦包括於第二鰭片之上形成第二閘極堆疊。第二閘極堆疊沿著第二鰭片之通道區域中的第一磊晶層與第二磊晶層的側壁延伸,第二閘極堆疊包括第一金屬層,第一金屬層延伸於第一磊晶層之至少一者的正下方。
本發明實施例亦包括一種半導體裝置之形成方法。上述方法包括提供鰭片。鰭片自基板突出。鰭片具有複數個第一磊晶層,此些第一磊晶層與複數個第二磊晶層交替排列,此些第一磊晶層包括第一半導體材料,此些第二磊晶層包括第二半導體材料,第二半導體材料不同於第一半導體材料。上述方法亦包括蝕刻鰭片之通道區域中之此些第二磊晶層之至少一者的側壁,使得通道區域中之此些第二磊晶層之至少一者的寬度在上述蝕刻步驟之後小於與此些第二磊晶層之至少一者接觸的第一磊晶層的寬度。上述方法亦包括於鰭片之上形成閘極堆疊。閘極堆疊與此些第一磊晶層與此些第二磊晶層接合。
本發明實施例亦包括一種半導體裝置。上述半導體裝置包括基板、第一源極/汲極區域以及第一通道區域。第一通道區域夾置於第一源極/汲極區域之間,第一通道區域包括複數個第一磊晶層,此些第一磊晶層之每一者與其他第一磊晶層分隔開。上述半導體裝置亦包括第二源極/汲極區域以及第二通道區域。第二通道區域夾置於第二源極/汲極區域之間,第二通道區域包括此些第一磊晶層與複數個第二磊晶層,此些第一磊晶層與此些第二磊晶層交替排列,此些第二磊晶層的寬度小於相鄰之第一磊晶層。上述半導體裝置亦包括第一閘極電極與第二閘極電極。第一閘極電極延伸於第一通道區域之上,第一閘極電極圍繞此些第一磊晶層之每一者。第二閘極電極延伸於第二通道區域之上,第二閘極電極的一部分位於此些第一磊晶層之至少一者的正下方。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本發明實施例敘述了一第一特徵部件形成於一第二特徵部件之上或上方,即表示其可能包含上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦可能包含了有附加特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與第二特徵部件可能未直接接觸的實施例。此外,本發明實施例在各例子中可能重複標號及/或字母。此重複是為了達到簡明之目的,而並非用來指出所述之各實施例及/或配置之間的關係。
此外,其中可能用到與空間相對用詞,例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相對用詞係為了便於描述圖示中一個(些)元件或特徵部件與另一個(些)元件或特徵部件之間的關係,這些空間相對用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相對形容詞也將依轉向後的方位來解釋。此外,當一數值或數值之範圍被用“約(about)”、“大約(approximate)”或類似之用語描述時,除非特別說明,此些用語旨在涵蓋所述之數值之+/- 10%內的數值。舉例而言,用語“約5 nm”涵蓋從 4.5 nm至5.5 nm之尺寸。
本發明實施例大抵上關於半導體裝置與製造方法,且特別關於具有奈米片結構之鰭式場效電晶體(Fin Field-Effect Transistors (FinFETs))及其形成方法。
隨著鰭式場效電晶體中鰭片寬度尺寸之縮小,通道寬度之變異可能會引起不利的變化以及遷移率之下降。奈米片電晶體(例如:環繞式閘極電晶體(gate-all-around (GAA) transistors))被研究以作為鰭式場效電晶體之替代方案。在環繞式閘極電晶體中,電晶體之閘極被用來環繞通道(例如:奈米線通道或棒狀通道),使得通道被閘極圍繞或包覆,這大幅增加了有效閘極寬度。經由有效閘極寬度之增加,電晶體具有增進閘極對通道之靜電控制(electrostatic control)的優點,這亦減少了漏電流。然而,在環繞式閘極之製造流程中,通道之不同結晶面之表面面積可能不會全部增加。一些結晶面(例如:(110)平面)之表面面積甚至可能會減少。然而,一些被減少的結晶面卻可能可提供通道中之載子較高的遷移率。
第1A-1C圖繪示出矽之結晶面(以網點表示)的三個方位。矽在電子工業上被廣泛地用作半導體材料。大多數被用來形成矽晶圓的矽是由單晶矽形成。矽晶圓充當基板,其上形成有電晶體裝置。雖然以矽基板說明,然而其他基板(包括其他半導體材料或實質上由其他半導體材料組成之基板)之使用亦被考慮在本發明實施例的範圍之內。
在結晶矽中,構成固體的原子以週期性的方式排列。若上述週期性的排列遍布於整個固體存在,此物質被定義為由單晶形成。若固體包括無數個單晶區域,此固體被稱作多晶材料。結晶中之原子之週期性排列通常稱為“晶格(the lattice)”。結晶格子(crystal lattice)亦包含代表整個晶格且被稱作單位晶格(unit cell)的一體積,上述單位晶格規律地重複遍布於結晶。舉例而言,矽具有鑽石立方晶格結構,其可被表示為兩相互穿透的面心立方晶格(face-centered cubic lattices)。因此,分析與顯示立方晶格之簡單性可被擴展至矽晶體之特性。於此所述之內容中,將參照矽晶體或其他半導體材料之晶體中的各平面,特別是(100)、(110)以及(111)結晶面。此些平面相對於主要結晶軸定義矽原子之平面之方位。數字(xyz)稱為米勒指數(Miller indices),其係由矽之結晶平面與主要結晶軸相交點的倒數(reciprocals)決定。在第1A圖中,矽之結晶平面與x軸相交於1且與y軸或z軸不相交。因此,此類型之結晶矽的方位表示為(100)。類似地,第1B圖繪示出(110)結晶矽且第1C圖繪示出(111)結晶矽。
電子(就n型電晶體而言)與電洞(就p型電晶體而言)於不同的結晶面中表現出不同的遷移率。請參照第2A圖,電子於(100)平面中具有最高的遷移率,於(110)平面中具有最低的遷移率,於(111)平面中的遷移率則在兩者之間。相反地,請參照第2B圖,(110)平面提供電洞最高的遷移率,而(100)平面提供最低的遷移率,(111)平面中的遷移率則在兩者之間。於通道中增加(100)平面之表面面積的n型環繞式閘極電晶體將具有較佳的導電效能;於通道中增加(110)平面之表面面積的p型環繞式閘極電晶體將具有較佳的導電效能。然而在用於形成互補式金氧半(CMOS)電晶體之傳統之環繞式閘極製造流程中,包括(100)平面之表面面積之增加(這有利於n型電晶體)經常以包括(110)平面之表面面積之減少作為交換。因此,p型電晶體從環繞式閘極結構所得到的好處不如n型電晶體。
本發明實施例的一個目標係為設法提供一種互補式金氧半形成流程以結合(100)與(110)平面而得到較高的電洞遷移率,以在不犧牲對n型場效電晶體有利的(100)平面的前提下增進p型場效電晶體之效能。在本發明實施例中,形成場效電晶體的中間階段被繪示出。實施例之變化被說明。應理解的是,雖然第4-17圖繪示出p型場效電晶體與n型場效電晶體之形成以達到說明之目的(其中p型場效電晶體具有類鰭(fin-like)結構與多閘極閘極電極(multi-gate gate electrode),且n型場效電晶體具有類奈米線(nanowire-like)結構與環繞式閘極閘極電極(GAA gate electrode)),此些例子僅被提供用於說明之目的,且所屬領域中具有通常知識者將能理解,當使用不同材料時,n型場效電晶體可使用類鰭結構而p型場效電晶體可使用類奈米線結構。亦應理解的是,第16A-16D圖中所繪示的各實施例繪示出單一結構以用於說明之目的,且可結合於此所揭露之各種n型與p型結構以形成以各種類型之材料與操作特性所設計之裝置。
第3A與3B圖繪示出半導體之製造方法100,方法100包括具有奈米片結構之鰭式場效電晶體之製造。請參照第3A圖,方法100開始於區塊102,於區塊102,提供基板。請參照第4圖之例子,在區塊102的一實施例中,提供基板202。在一些實施例中, 基板202可為半導體基板,例如:矽基板。基板202可包括各種膜層(包括形成於半導體基板上的導電層或絕緣層)。如本領域所知,取決於設計需求,基板202可包括各種摻雜配置。舉例而言,可使用適當之摻雜劑(例如:磷、砷、硼、銦、或上述之組合)於基板202上之被設計來用於不同裝置類型(例如:n型場效電晶體以及p型場效電晶體)的區域中形成不同的摻雜輪廓( doping profiles,例如:n型井、p型井)。適當的摻雜可包括摻雜劑之離子佈植及/或擴散製程。基板202可具有隔離特徵部件(例如:淺溝槽隔離特徵部件(shallow trench isolation (STI) features)),隔離特徵部件介於提供不同類型裝置的區域之間。基板202亦可包括其他半導體,例如:鍺、碳化矽(SiC)、矽鍺(SiGe)、或鑽石。作為替代方案,基板202可包括化合物半導體及/或合金半導體。此外,基板202可視情況包括磊晶層(epi-layer),可被施加應變(strained)以增進效能,可包括絕緣層上矽(silicon-on-insulator (SOI)) 結構,且/或具有其他適當之增進效能的特徵部件。
在方法100的一實施例中,於區塊102中,進行防穿通佈植(anti-punch through (APT) implant)。舉例而言,可於裝置之通道區域下方之區域中進行防穿通佈植,以避免穿通(punch-through)或不利之擴散。
請參照第3A圖,方法100接著進行至區塊104,於區塊104,在基板上成長一或多個磊晶層。請參照第4圖的例子,在區塊104的一實施例中,於基板202之上形成磊晶堆疊204。磊晶堆疊204包括多個第一組成之磊晶層206,且多個第二組成之磊晶層208介於此些磊晶層206之間。第一組成與第二組成可不同。在一實施例中,磊晶層208是SiGe且磊晶層206是矽(Si)。SiGe中Ge的莫耳濃度可為約5%至約30%,例如:在特定的例子中為約20%至約25%。然而,亦有可能有其他的實施例,這些實施例包括使第一組成與第二組成具有不同氧化速率及/或蝕刻選擇性的實施例。在一些實施例中,磊晶層206與磊晶層208都可包括其他材料,例如:鍺、化合物半導體(例如:碳化矽、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide))、合金半導體(例如:SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP、或上述之組合)。在一些實施例中,磊晶層206包括GaAs且磊晶層208包括SiGe。在一些實施例中,磊晶層206包括GaAs且磊晶層208包括Si。在一些實施例中,磊晶層206包括InGaAs且磊晶層208包括SiGe。
在所繪示的實施例中,磊晶堆疊204之頂表面S1 是(100)平面且磊晶堆疊204之側壁表面S2 是(110) 平面。在一些替代性的實施例中,側壁表面S2 是(111)平面。磊晶層206或磊晶層208或上述之組合之部分將形成互補式金氧半裝置200之一或多個奈米片通道。此處之用語”奈米片”指的是任何具有奈米尺度(nanoscale)之材料部分,或者甚至是微尺度(microscale)尺寸,且具有細長的形狀(elongate shape),不論此部分的剖面形狀為何。因此,此用語指涉具有圓形以及實質上圓形剖面的細長材料部分、以及束狀或棒狀材料部分,舉例而言,束狀或棒狀材料部分的形狀可為圓柱體或者實質上具有矩形之剖面。
應注意的是,第4圖繪示出五層磊晶層206以及五層磊晶層208交替排列,這僅是為了說明之目的而不是要在請求項所具體記載的內容之外進行限定。應理解的是,於磊晶堆疊204中可形成任何數量之磊晶層,磊晶層之數量係取決於裝置200之所欲通道區域之數量。在一些實施例中,磊晶層206或磊晶層208各自的數量至少為4。
在一些實施例中,各磊晶層206的厚度為約3-5奈米(nm)。磊晶層206的厚度可為實質上均勻的。在一些實施例中,各磊晶層208的厚度為約3-5 nm。在一些實施例中,堆疊之磊晶層208的厚度可為實質上均勻的。在一些實施例中,磊晶層206的厚度大於磊晶層208,例如:在特定例子中,磊晶層206為5 nm而磊晶層208為3 nm。如後文將詳述之內容,於後續將形成之n型鰭式場效電晶體中,磊晶層206充當奈米線通道,而磊晶層208則被用來定義相鄰奈米線通道之間之間隙距離;於後續將形成之p型鰭式場效電晶體中,磊晶層206與磊晶層208共同充當通道,根據裝置效能之考量選擇其各自之厚度。
舉例來說,可以分子束磊晶製程(molecular beam epitaxy (MBE) process)、有機金屬化學氣相沉積製程(metalorganic chemical vapor deposition (MOCVD) process)、及/或其他適當之磊晶成長製程進行堆疊204之膜層之磊晶成長。在一些實施例中,磊晶成長膜層(例如:磊晶層206)包括與基板202相同之材料。在一些實施例中,磊晶成長膜層206與磊晶成長膜層208包括與基板202不同之材料。如前文所述,至少在一些例子中,磊晶層208包括磊晶成長之矽鍺(SiGe),且磊晶層206包括磊晶成長之矽(Si)。如所述,基於提供不同之氧化、蝕刻選擇性來選擇磊晶層206與磊晶層208之材料。在不同的實施例中,磊晶層206與磊晶層208實質上不含有摻雜劑(substantially dopant-free,亦即,外來摻雜劑濃度(extrinsic dopant concentration)為約0 cm-3 至約1x1017 cm-3 ),舉例而言,在此些實施例中,在磊晶成長的過程中不特意進行摻雜。
方法100接著進行至區塊106,於區塊106,圖案化並形成鰭片元件(稱為鰭片)。請參照第5圖的例子,在區塊106的一實施例中,形成延伸自基板202的第一鰭片210與第二鰭片212。鰭片之數量僅用於說明之目的而不是要在請求項所具體記載的內容之外進行限定。應理解的是,取決於在製程中所欲形成之電晶體數量,可形成任何數量之鰭片。在所繪示的實施例中,鰭片210與鰭片212在X方向上分離,且它們都沿著Y方向縱長地(lengthwise)延伸。
在不同的實施例中,各鰭片包括由基板202形成的基板部分以及磊晶堆疊204(包括磊晶層206與磊晶層208)之各磊晶層之部分。可使用適當之製程製造鰭片210與鰭片212,上述製程可包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程。普遍而言,雙重圖案化製程或多重圖案化製程結合了微影與自對準製程,舉例而言,其可使所形成的圖案之節距小於使用單一、直接之微影製程所能得到之節距。舉例而言,在一實施例中,於基板上形成犧牲層並使用微影製程將之圖案化。使用自對準製程沿著經圖案化之犧牲層的旁邊形成間隔物。接著,移除犧牲層,接著,可使用殘留的間隔物或心軸(mandrels)經由蝕刻最初之磊晶堆疊204圖案化出鰭片210與212。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應式離子蝕刻(RIE)、及/或其他適當之製程。
在所繪示的實施例中,在圖案化出鰭片之前於磊晶堆疊204之上形成硬罩幕(HM)層218。在一些實施例中,硬罩幕層218包括氧化層214(例如:包括SiO2 的墊氧化層)以及形成於氧化層214之上的氮化層216(例如:包括Si3 N4 的墊氮化層)。氧化層214可作為磊晶堆疊204與氮化層216之間的黏著層,且可作為蝕刻氮化層216時的蝕刻停止層。在一些例子中,硬罩幕層218包括熱成長氧化物、化學氣相沉積之氧化物(chemical vapor deposition (CVD)-deposited oxide)、及/或原子層沉積之氧化物(atomic layer deposition (ALD)-deposited oxide)。在一些實施例中,硬罩幕層218包括以化學氣相沉積製程及/或其他適當技術沉積之氮化層。
後續可使用適當之製程圖案化出鰭片210與鰭片212,上述製程包括微影與蝕刻製程。微影製程可包括於硬罩幕層218之上形成光阻層(未繪示於圖中)、將光阻暴露於圖案中、進行曝光後烘烤(post-exposure bake)製程、以及將光阻顯影以形成包括光阻的罩幕元件。在一些實施例中,使用電子束(e-beam)微影製程來進行將光阻圖案化以形成罩幕元件的步驟。接著,罩幕元件可被用來保護基板202之區域以及形成於此區域上之膜層,同時蝕刻製程於未受保護之區域中形成溝槽220以穿過硬罩幕層218、穿過磊晶堆疊204並進入基板202中,藉此留下複數個延伸之鰭片210與鰭片212。可使用乾式蝕刻(例如:反應式離子蝕刻)、濕式蝕刻及/或上述之組合蝕刻出溝槽220。
可使用許多其他於基板上形成鰭片的方法的實施例,舉例而言,其包括定義鰭片區域(例如:經由罩幕或隔離區域)以及以鰭片之形式磊晶成長磊晶堆疊204。在一些實施例中,形成鰭片的步驟可更包括修飾製程(trim process)以縮小鰭片之寬度。修飾製程可包括濕式及/或乾式蝕刻製程。
參照第3A與第6圖,方法100經由形成淺溝槽隔離(STI)特徵部件222進行至區塊108,淺溝槽隔離(STI)特徵部件222介於鰭片210與鰭片212之間。舉例來說, 在一些實施例中,於基板202之上先沉積介電層,藉此以介電材料填充溝槽220。在一些實施例中,介電層可包括SiO2 、氮化矽、氮氧化矽、摻氟矽玻璃(fluorine-doped silicate glass (FSG))、低介電常數介電質、上述之組合、及/或其他適當之材料。在不同的例子中,可以化學氣相沉積製程、次大氣壓化學氣相沉積(subatmospheric CVD (SACVD))製程、流動式化學氣相沉積(flowable CVD)製程、原子層沉積製程、物理氣相沉積(PVD)製程、及/或其他適當之製程沉積介電層。在一些實施例中,沉積介電層之後,可退火裝置200,舉例而言,藉此以增進介電層之品質。在一些實施例中,介電層(與後續形成之淺溝槽特徵部件222)可包括多層(multi-layer)結構,舉例而言,多層結構具有一或多個襯層(liner layers)。
在一些形成淺溝槽特徵部件的實施例中,在沉積介電層之後,薄化並平坦化所沉積的介電材料,舉例而言,這可經由化學機械研磨(CMP)製程達成。在一些實施例中,硬罩幕層218(第5圖)被用作為化學機械研磨停止層(CMP stop layer)。介於鰭片210與鰭片212之間的淺溝槽特徵部件222被凹蝕出。請參照第6圖的例子,凹蝕出淺溝槽特徵部件222,藉此使鰭片210與鰭片212延伸於淺溝槽特徵部件222之上。在一些實施例中,凹蝕製程可包括乾式蝕刻製程、濕式蝕刻製程、及/或上述之組合。亦可在凹蝕淺溝槽特徵部件222之前、之期間、及/或之後移除硬罩幕層218。可移除硬罩幕層218,舉例而言,可經由使用H3 PO4 或其他適當之蝕刻劑的濕式蝕刻製程移除硬罩幕層218。在一些實施例中,經由與用來凹蝕淺溝槽特徵部件222的蝕刻劑相同的蝕刻劑移除硬罩幕層218。在一些實施例中,控制凹蝕深度(例如:經由控制蝕刻時間)以得到所欲之鰭片露出上部之高度。在所繪示的實施例中,所欲之高度露出磊晶堆疊204之各膜層。
方法100接著進行至區塊110,於區塊110,形成犧牲層/犧牲特徵部件,犧牲層/犧牲特徵部件可特別是虛設閘極堆疊。雖然此處所說明的是替換閘極(replacement gate)製程(藉由替換閘極製程,形成虛設閘極堆疊並於後續將之替換),亦有可能使用其他配置。
請參照第7圖,其係為沿著第6圖中的C—C線的XZ平面的剖面圖,形成第一閘極堆疊224與第二閘極堆疊226,第一閘極堆疊224與第二閘極堆疊226各自與第一鰭片210與第二鰭片212接合(engaging)。在一些使用閘極後(gate-last)製程的實施例中,閘極堆疊224與閘極堆疊226是虛設閘極堆疊,且於裝置200之後續的製程階段將被最終之閘極堆疊替換。因此,閘極堆疊224與閘極堆疊226亦稱為虛設閘極堆疊224與虛設閘極堆疊226。進一步而言,可於後續的製程階段以後文所述之高介電常數介電層(HK)以及金屬閘極電極(MG)替換虛設閘極堆疊224與226之任一者。虛設閘極堆疊224與虛設閘極堆疊226各自至少部分地設置於鰭片210與鰭片212之上。位於虛設閘極堆疊下方之鰭片之部分可稱為通道區域。如後文所示,在所繪示的實施例中,第一鰭片210提供用於n型場效電晶體的通道區域,且第二鰭片212提供用於p型場效電晶體的通道區域。虛設閘極堆疊亦定義鰭片之源極/汲極(S/D)區域,舉例而言,源極/汲極區域是鄰近通道區域且位於通道區域兩相對側的鰭片的區域。
在所繪示的實施例中,區塊110先形成虛設介電層234於鰭片210與鰭片212之上。在一些實施例中,虛設介電層 234可包括SiO2 、氮化矽、高介電常數介電材料、及/或其他適當之材料。在不同的例子中,可經由化學氣相沉積製程、次大氣壓化學氣相沉積製程、流動式化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他適當之製程沉積虛設介電層234。舉例來說,虛設介電層234可被用來避免鰭片210與鰭片212被後續之製程(例如:後續之虛設閘極堆疊之形成)損害。接下來,區塊110形成虛設閘極堆疊之其他部分,其包括虛設電極層236以及硬罩幕238,硬罩幕238可包括複數個膜層240與膜層242(例如:氧化層240與氮化層242)。在一些實施例中,經由各種製程步驟(例如:膜層之沉積、圖案化、蝕刻以及其他適當之製程步驟)形成虛設閘極堆疊224與虛設閘極堆疊226。舉例而言,膜層之沉積製程包括化學氣相沉積(包括低壓化學氣相沉積(low-pressure CVD)以及電漿輔助化學氣相沉積(plasma-enhanced CVD)兩者)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸鍍(e-beam evaporation)、或其他適當之沉積技術、或上述之組合。在形成閘極堆疊的步驟中,舉例而言,圖案化製程包括微影製程(例如:光微影或電子束微影),其可更包括光阻塗布(例如:旋轉塗布)、軟烘烤(soft baking)、光罩對準(mask aligning)、曝光(exposure)、曝光後烘烤(post-exposure baking)、光阻顯影(photoresist developing)、潤洗(rinsing)、乾燥(例如:旋轉式脫水(spin-drying)及/或硬烘烤(hard baking))、其他適當之微影製程、及/或上述之組合。 在一些實施例中,蝕刻製程可包括乾式蝕刻(例如:反應式離子蝕刻)、濕式蝕刻、及/或其他蝕刻方法。在一些實施例中,電極層236可包括多晶矽(polysilicon)。在一些實施例中,硬罩幕238包括氧化層240,例如:可包括SiO2 的墊氧化層。在一些實施例中,硬罩幕238包括氮化層242,例如:可包括 Si3 N4 、氮氧化矽、及/或碳化矽的墊氮化層。
請參照第3A與第8圖,方法100接著進行至區塊112,於區塊112,在基板上沉積間隔物材料層。間隔物材料層可為順應層(conformal layer),其於後續將被回蝕刻以形成側壁間隔物。在所繪示的實施例中,間隔物材料層244被順應地設置於虛設閘極堆疊224與虛設閘極堆疊226之頂部與側壁上。間隔物材料層244可包括介電材料,例如:氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN薄膜、碳氧化矽(silicon oxycarbide)、SiOCN薄膜、及/或上述之組合。在一些實施例中,間隔物材料層244包括複數個膜層,例如:主要間隔物壁、襯層以及類似之膜層。舉例來說,可使用一製程(例如:化學氣相沉積製程、次大氣壓化學氣相沉積製程、流動式化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他適當之製程)經由在虛設閘極堆疊224與虛設閘極堆疊226之上沉積介電材料以形成間隔物材料層244。應注意的是,在所繪示的實施例中,舉例而言,在原子層沉積製程中,於露出之源極/汲極區域中間隔物材料層244亦順應地覆蓋鰭片210與鰭片212之側壁,且特別地填充相鄰鰭片之間的溝槽220。區塊112可於後續進行非等向性蝕刻製程以露出鄰近於虛設閘極堆疊224與虛設閘極堆疊226且未被虛設閘極堆疊224與虛設閘極堆疊226覆蓋之鰭片210與鰭片212之部分(例如:源極/汲極區域中之部分)。可經由此非等向性蝕刻製程完全移除覆蓋硬罩幕238與淺溝槽特徵部件222之頂表面之間隔物材料層之部分。虛設閘極堆疊224與虛設閘極堆疊226之側壁上的間隔物材料層之部分可殘留下來以形成閘極間隔物,為了簡明起見,其被表示為閘極間隔物244。
請參照第1A與第9A-10B圖,根據一些實施例,方法100接著進行至區塊114,於區塊114,沿著虛設閘極堆疊224與虛設閘極堆疊226之相對兩側於第一鰭片210與第二鰭片212各自之露出部分上形成磊晶源極/汲極特徵部件。可經由進行磊晶成長製程形成源極/汲極特徵部件,磊晶成長製程可於源極/汲極區域中的鰭片上提供磊晶材料。於磊晶成長製程中,虛設閘極224/226與閘極間隔物244將磊晶源極/汲極特徵部件限制在源極/汲極區域。依據n型及p型場效電晶體,用於第一鰭片210之源極/汲極區域的材料與用於第二鰭片212之源極/汲極區域的材料可不同,使得一類型的材料用於n型場效電晶體而另一類型的材料則用於p型場效電晶體。舉例而言,SiP或SiC可被用來形成n型場效電晶體,而SiGe或Ge可被用來形成p型場效電晶體。可使用其他材料。在一些不同的材料被用於n型場效電晶體以及p型場效電晶體的實施例中,遮蔽一者(例如:n型場效電晶體)同時於另一者(例如:p型場效電晶體)上形成磊晶材料,然後交換過來重複製程,上述步驟可以是有利的。
第9A圖與第10A圖係為沿著第8圖中的A—A線的YZ平面的剖面圖,後續將於此處形成n型場效電晶體。第9B圖與第10B圖係為沿著第8圖中的B—B線的YZ平面的剖面圖,後續將於此處形成p型場效電晶體。第9A圖繪示出間隙252,其位於原本在第一鰭片210之源極/汲極區域中之磊晶層208的位置中。通道區域中的磊晶層208仍留著。間隙252可被周圍環境(ambient environment,例如:空氣、N2 )填充。在一實施例中,經由選擇性濕式蝕刻製程將磊晶層208從源極/汲極區域移除。在一些實施例中,選擇性濕式蝕刻製程包括氨水-過氧化氫(APM)蝕刻(例如:氨水-過氧化氫-水混合物(ammonia hydroxide-hydrogen peroxide-water mixture))。在一些實施例中,上述之選擇性移除步驟包括將SiGe氧化然後將SiGeOx 移除。舉例而言,可經由O3 清洗來進行氧化,然後以蝕刻劑(例如:NH4 OH)移除SiGeOx 。在一實施例中,磊晶層208是SiGe且磊晶層206是Si,藉此可選擇性移除磊晶層208。應注意的是,由於磊晶層208之移除製程,磊晶層206(例如:奈米片或奈米線)可為實質上之圓形(rounded shape,例如:圓柱或橢圓)。
第10A圖繪示出於第一鰭片210之源極/汲極區域中形成磊晶源極/汲極特徵部件254的步驟。在一些實施例中,經由磊晶成長半導體材料以圍繞各磊晶層206並填充間隙252(第9A圖),以形成磊晶源極/汲極特徵部件254。適當之磊晶製程包括化學氣相沉積技術(例如:氣相磊晶(vapor-phase epitaxy (VPE))及/或超高真空化學氣相沉積(ultra-high vacuum CVD (UHV-CVD)))、分子束磊晶、及/或其他適當之製程。在所繪示的實施例中,在磊晶成長磊晶源極/汲極特徵部件254之前,源極/汲極區域中之基板202之表面亦被凹蝕。磊晶成長製程可使用氣體及/或液體前驅物,前驅物與基板202之組成反應。在一實施例中,磊晶層206包括Si且磊晶源極/汲極特徵部件254包括SiP或SiC。
類似於第9A圖,第9B圖繪示出間隙256,其位於原本在第二鰭片212之源極/汲極區域中之磊晶層206的位置中。通道區域中的磊晶層206仍留著。間隙256可被周圍環境(例如:空氣、N2 )填充。在一實施例中,經由選擇性濕式蝕刻製程將磊晶層206從源極/汲極區域移除。在一實施例中,磊晶層208是SiGe且磊晶層206是Si,藉此可選擇性移除磊晶層206。應注意的是,由於磊晶層206之移除製程,磊晶層208(例如:奈米片或奈米線)可為實質上之圓形(rounded shape,例如:圓柱或橢圓)。類似於第10A圖,第10B圖繪示出在第二鰭片212之源極/汲極區域中形成磊晶源極/汲極特徵部件258。在一些實施例中,經由磊晶成長半導體材料以圍繞各磊晶層208並填充間隙256(第9B圖),以形成磊晶源極/汲極特徵部件258。在一實施例中,磊晶層208包括SiGe且磊晶源極/汲極特徵部件258包括SiGe或Ge。在更進一步的實施例中,磊晶層208包括Si1-x Gex ,x表示約20%至約25%的莫耳濃度,磊晶源極/汲極特徵部件258包括Si1-y Gey ,y表示約40%至約60%的莫耳濃度,且y不同於x。
在不同的實施例中,經由在磊晶製程中導入摻雜劑種(doping species)可使磊晶源極/汲極特徵部件254與磊晶源極/汲極特徵部件258被原位摻雜(in-situ doped),摻雜劑種包括:p型摻雜劑(例如:硼或BF2 )、n型摻雜劑(例如:磷或砷)、及/或包括前述之組合之其他適當的摻雜劑。若磊晶源極/汲極特徵部件254與磊晶源極/汲極特徵部件258未被原位摻雜,可進行佈植製程(亦即,接面佈植(junction implant)製程)以摻雜磊晶源極/汲極特徵部件254與磊晶源極/汲極特徵部件258。在一例示性的實施例中,n型場效電晶體裝置中的磊晶源極/汲極特徵部件254係由摻雜磷(P)的SiC或SiP形成,而p型場效電晶體裝置中的磊晶源極/汲極特徵部件258係由摻雜硼(B)的SiGe或Ge形成。此外,可於磊晶源極/汲極特徵部件254與磊晶源極/汲極特徵部件258上形成矽化物或矽鍺化合物。舉例而言,可在磊晶源極/汲極特徵部件254與磊晶源極/汲極特徵部件258之上形成金屬層,退火此金屬層以使此金屬層與磊晶源極/汲極特徵部件254以及磊晶源極/汲極特徵部件258中的矽反應以形成金屬矽化物,然後移除未反應之金屬層,藉此形成前述之矽化物(例如:鎳矽化物)。
請參照第1B圖與第11圖,方法100接著進行至區塊116,於區塊116,在基板上形成層間介電層(inter-layer dielectric (ILD) layer)262。第11圖係為XZ平面中的剖面圖,其與鰭片210以及鰭片212之通道區域相交。層間介電層262填充鰭片之間的溝槽220(第8圖)。在一些實施例中,在形成層間介電層262之前亦形成接觸蝕刻停止層(未繪示於圖中)。在一些例子中,接觸蝕刻停止層(CESL)包括氮化矽層、氧化矽層、氮氧化矽層、及/或其他本領域已知的材料。可經由電漿輔助化學氣相沉積(PECVD)製程及/或其他適當之沉積或氧化製程形成接觸蝕刻停止層。在一些實施例中,層間介電層262包括之材料可例如為四乙氧基矽烷氧化物(tetraethylorthosilicate (TEOS) oxide)、未摻雜矽玻璃(un-doped silicate glass)、或摻雜氧化矽(例如:硼磷矽玻璃(borophosphosilicate glass (BPSG))、熔融矽石玻璃(fused silica glass (FSG))、磷矽酸鹽玻璃(phosphosilicate glass (PSG))、摻硼矽玻璃(BSG))、及/或其他適當之介電材料。可經由電漿輔助化學氣相沉積製程或其他適當之沉積技術沉積層間介電層262。在一些實施例中,在形成層間介電層262之後,可對半導體裝置200施加高熱預算製程以退火層間介電層。
在一些例子中,在沉積層間介電層262之後,可進行平坦化製程以移除多餘的介電材料。舉例而言,平坦化製程包括化學機械研磨(CMP)製程,其移除虛設閘極堆疊224與虛設閘極堆疊226上之層間介電層262(以及接觸蝕刻停止層(如果存在))之部分並且平坦化半導體裝置200的頂表面。在一些實施例中,化學機械研磨製程亦移除硬罩幕238(第8圖)且露出閘極電極層236。
請參照第3B圖與第12圖,方法100接著進行至區塊118,於區塊118,進行蝕刻製程以移除虛設閘極堆疊224以露出第一鰭片210之通道區域。區塊118可開始於在閘極堆疊226之上沉積圖案化罩幕(未繪示於圖中)以在後續之蝕刻製程中保護閘極堆疊226與第二鰭片212。如後文將詳細敘述之內容,第一鰭片210與第二鰭片212之通道區域將被分開處理。進一步而言,在一些實施例中,經由移除磊晶層208之製程,第一鰭片210將形成n型場效電晶體裝置,且經由薄化或凹蝕磊晶層208之製程,第二鰭片212將形成p型場效電晶體裝置。圖案化罩幕可由任何適當之罩幕材料形成,適當之罩幕材料具有足夠之蝕刻選擇性以在蝕刻製程中保護下方之膜層。舉例而言,在一些實施例中,圖案化罩幕包括一層氮化矽。在其他的實施例中,圖案化罩幕包括氧化矽層以及氧化矽層上之氮化矽層。可經由一或多個製程(例如:物理氣相沉積、化學氣相沉積或原子層沉積,然而可使用任何適當之製程)沉積圖案化罩幕,並使用微影技術圖案化。
區塊118可更包括一或多個蝕刻製程,其對於虛設閘極堆疊224中的材料具有選擇性。舉例而言,可使用蝕刻製程(例如:選擇性濕式蝕刻、選擇性乾式蝕刻或上述之組合)進行虛設閘極堆疊224之移除。鰭片210之磊晶層206與磊晶層208在所形成之閘極溝槽中露出。閘極間隔物244之相對側壁亦於閘極溝槽中露出。在一些實施例中,經由蝕刻製程移除虛設電極層236,上述蝕刻製程對於虛設電極層236之材料具有選擇性。舉例而言,若虛設電極層236包括多晶矽,則使用NF3 、SF6 、Cl2 、HBr、類似之蝕刻劑或上述之組合之乾式蝕刻或者使用NH4 OH、四甲基氫氧化銨(tetramethylammonium hydroxide (TMAH))、類似之蝕刻劑或上述之組合之濕式蝕刻可被用來移除虛設電極層236。在一些實施例中,經由蝕刻製程沿著第一鰭片210之側壁大抵上移除虛設介電層234,上述蝕刻製程對虛設介電層234之材料具有選擇性。在一些虛設介電層234包括氧化矽的實施例中,使用稀釋氫氟酸(diluted HF acid)之濕式蝕刻可被用來移除虛設介電層234之露出部分。
請參照第3B圖與第13圖,方法100接著進行至區塊120,於區塊120,進行蝕刻製程以從第一鰭片204之通道區域移除磊晶層208。在一些磊晶層208由矽鍺(SiGe)形成且磊晶層206由矽(Si)形成的實施例中,可使用蝕刻劑移除磊晶層208,上述蝕刻劑蝕刻SiGe的速率高於蝕刻Si的速率,舉例而言,上述蝕刻劑可為NH4 OH:H2 O2 :H2 O (ammonia peroxide mixture,APM)、H2 SO4 +H2 O2 (sulfuric acid peroxide mixture,SPM)、或類似之蝕刻劑。在一些實施例中,蝕刻劑是乾式蝕刻劑,其包括在約20℃至約60 ℃之反應溫度下的HCl氣體。乾式蝕刻劑亦可包括F2 或惰性載送氣體(例如:Ar)。此蝕刻製程移除磊晶層208,藉此形成奈米線形式之磊晶層206。視情況而定,可進行圓化製程(rounding process)以得到圓形奈米線(如第13圖所示)。舉例而言,可在約300 ℃至約700 ℃的溫度下、在O2 的環境中以及在約0.5 torr至約20 torr的壓力下使用熱氧化製程以進行圓化製程。可使用HF移除氧化物而露出下方之半導體材料,或者在H2 的環境中、在約250 ℃至約600 ℃的溫度下以及約1 torr至約100 torr的壓力下進行退火以移除氧化物而露出下方之半導體材料。在一些實施例中,在圓化之後,磊晶層206之寬度W1 為約10 nm至約15 nm(例如:為12 nm)。
請參照第3B與第14圖,方法100接著進行至區塊122,於區塊122,進行蝕刻製程以移除虛設閘極堆疊226,以露出第二鰭片212之通道區域。在一些實施例中,區塊122可包括於閘極溝槽中沉積保護材料264以覆蓋第一鰭片210。在對第二鰭片212進行處理時,保護材料264保護第一鰭片210。在一些實施例中,保護材料264係為經由如化學氣相沉積、流動式化學氣相沉積(FCVD)、或旋塗玻璃(spin-on-glass)之製程所形成的氧化矽,然而可使用任何適當之製程。可使用與前述移除第一鰭片210上之虛設電極層236與虛設介電層234類似之製程與蝕刻劑移除第二鰭片212上之虛設電極層236與虛設介電層234。沿著第二鰭片212之側壁移除虛設電極層236與虛設介電層234,使得磊晶層206與磊晶層208在所形成的閘極溝槽中露出。
請參照第3B圖與第15圖,方法100接著進行至區塊124,區塊124,選擇性蝕刻磊晶層208之側壁,藉此在橫向方向(lateral direction)上(亦即,沿著X方向)凹蝕磊晶層208。在一些磊晶層208由矽鍺(SiGe)形成且磊晶層206由矽(Si)形成的實施例中,可經由實施濕式蝕刻來凹蝕磊晶層208,上述濕式蝕刻可使用四甲基氫氧化銨溶液(tetramethylammonium hydroxide (TMAH) solution)。可使用其他製程與材料,例如:在約540 ℃至約630 ℃的溫度下以及約5 Torr至約50 Torr的壓力下使用HCl或Cl2 氣體約10秒至約100秒的乾式蝕刻製程。視情況而定,可對磊晶層206進行圓化製程以得到圓形奈米線(如第15圖所示),使得磊晶層206具有彎曲形狀(curvature shape,例如:桿狀、圓形或橢圓形)而磊晶層208的剖面則為矩形。
可經由在各蝕刻製程中控制蝕刻時間來調整磊晶層206之寬度W2 與磊晶層208之寬度W3 ,使得可在第二鰭片212上達到足夠之閘極控制,且達到由磊晶層208之側壁所貢獻之有利之電洞遷移率。在一些實施例中,磊晶層206之寬度W2 為約10 nm至約15 nm(例如:為約12 nm),磊晶層208之寬度W3 為約2 nm至約5 nm(例如:為約3 nm)。在不同的實施例中,磊晶層208之寬度W3 約小於磊晶層206之寬度W2 的三分之一。因此,第二鰭片212具有窄磊晶層與寬磊晶層交替之堆疊結構,其可稱為樹枝狀(dendrite)結構。第二鰭片212亦可稱為樹枝狀鰭片(dendrite fin)。磊晶層206之厚度H2 與磊晶層208之厚度H3 在各蝕刻製程之前與之後實質上維持不變。在一些實施例中,磊晶層206之厚度H2 為約3 nm至約5 nm(例如:為約5 nm),且磊晶層208之厚度H3 為約3 nm至約5 nm(例如:為約3 nm)。在凹蝕磊晶層208之側壁之後,各磊晶層208之位於第二鰭片212之通道區域中之部分比位於第二鰭片212之源極/汲極區域中之部分窄。在一些實施例中,從裝置之上視圖來看,各磊晶層208具有啞鈴之形狀(dumbbell shape),其從一源極/汲極區域經由通道區域延伸至相對之源極/汲極區域。
相較於第一鰭片210中之磊晶層206(於第一鰭片210中之磊晶層206,奈米線對有效閘極寬度貢獻了完整的周長(full circumference)),第二鰭片212中之磊晶層206對有效閘極寬度所貢獻之周長較少,其中與相鄰磊晶層208直接互接(directly interfacing)的各邊緣係減少寬度W3 。然而,磊晶層208之側壁對有效閘極寬度貢獻了額外的長度,這補償了被減少之磊晶層206之周長。在一些實施例中,厚度H3 大於寬度W3 。此外,在所繪示的實施例中,磊晶層208之側壁包括(110)平面,而磊晶層206之頂表面與底表面包括(100)平面。請向前參照第2B圖,就電洞而言,相較於(111)與(100)結晶面,(110)平面提供較高的遷移率,這與電子相反,如第2A圖所示,對電子而言,(110)平面所提供之遷移率低於(100)與(111)結晶面。因此,使用電洞作為主要載子之鰭片212之樹枝狀結構增加更多(110)平面之周長長度以補償(100)平面之減少。在一些替代性的實施例中,磊晶層208之側壁包括(111)平面,(111)平面之電洞遷移率亦高於(100)平面。總體而言,形成於第二鰭片212上之p型場效電晶體之有效閘極長度變大,且電洞遷移率提高。因此,在一些實施例中,即使厚度H3 小於寬度W3 , p型場效電晶體之效能仍可提升。在不同的實施例中,H3 : W3 之比例為約0.8 : 1至約3 : 1。
請參照第16A-16D圖,其繪示出如第15圖中之區域270之樹枝狀鰭片之不同的實施例。在第16A圖中,視情況對磊晶層206進行的圓化製程被省略,且磊晶層206與磊晶層208之剖面都為實質上之矩形。在第16B圖中,凹蝕磊晶層208之側壁係產生了“U”形凹陷,使得磊晶層206與磊晶層208之側壁皆具有彎曲邊緣(curvature edges),但兩者延伸於相反的方向上,其中磊晶層206之彎曲邊緣向外延伸而磊晶層208之彎曲邊緣向內延伸。舉例而言,凹蝕製程可包括在約20 ℃至約100 ℃的溫度下以及約5 Torr至約50 Torr的壓力下使用HCl或Cl2 氣體約10秒至約100秒以進行乾式蝕刻製程,其選擇性蝕刻磊晶層208以提供“U”形凹陷。在第16C圖中,在凹蝕磊晶層208之側壁之前,磊晶層206具有包括(100)平面的頂表面且磊晶層208具有包括(110)平面的側壁。舉例而言,凹蝕製程可包括在約5 ℃至約50 ℃之溫度下施加稀釋之APM或SPM溶液約5秒至約100秒,其沿著(111)平面選擇性蝕刻磊晶層208之側壁,藉此提供“V”形凹陷,V形凹陷之兩邊緣係在(111)平面中。在第16D圖中,如虛線所標出,在凹蝕磊晶層208之側壁之前,第二鰭片212可為梯形(trapezoid shape)。梯形可以是由於鰭片之圖案化時之蝕刻偏位(etching bias)。舉例而言,凹蝕製程可包括在約5 ℃至約50 ℃之溫度下施加 TMAH或NH4 OH溶液約5秒至約100秒。於是,在凹蝕製程之後,磊晶層206與磊晶層208都具有寬度較大之底層以及寬度較小之頂層。在一特定的實施例中,於第二鰭片212之通道區域中,最低磊晶層208之寬度W3-bottom 大於最高磊晶層206之寬度 W2-top
請參照第3B圖與第17圖,方法100接著進行至區塊126,於區塊126,在鰭片210與鰭片212之上各自形成閘極結構284與閘極結構286。區塊126可包括先將保護材料264從第一鰭片210之通道區域(第15圖)移除。各閘極結構可為多閘極電晶體之閘極。最終閘極結構可為高介電常數金屬閘極堆疊(high-K metal gate (HK MG) stack),然而亦有可能是其他組成。在一些實施例中,閘極結構284形成閘極堆疊,此閘極堆疊在第一鰭片210之通道區域中包圍由複數個奈米片206(此時其間具有間隙)所提供之多通道之每一者,且閘極結構286形成閘極堆疊,此閘極堆疊在第二鰭片212之通道區域中沿著奈米片206與奈米片208之側壁延伸。
在不同的實施例中,各高介電常數金屬閘極堆疊包括界面層(interfacial layer,未繪示於圖中)、形成於界面層之上的高介電常數閘極介電層288、以及形成於高介電常數閘極介電層288之上的閘極電極層290。於此使用高介電常數閘極介電質並說明之,其包括具有高介電常數之介電材料,舉例而言,高介電常數介電材料之介電常數大於熱氧化矽之介電常數(~3.9)。高介電常數金屬閘極堆疊中所使用之導電層可包括金屬、金屬合金或金屬矽化物。此外,高介電常數金屬閘極堆疊之形成步驟可包括用以形成各種閘極材料與一或多個襯層之沉積步驟、以及用以移除多餘之閘極材料並藉此平坦化半導體裝置200之頂表面的一或多個化學機械研磨製程。
在一些實施例中,閘極堆疊之界面層可包括介電材料,例如:氧化矽(SiO2 )、HfSiO、或氮氧化矽(SiON)。可經由化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他適當之方法形成界面層。閘極堆疊之閘極介電層288可包括高介電常數介電層,例如:氧化鉿(HfO2 )。作為替代方案,閘極堆疊之閘極介電層288可包括其他高介電常數介電質,例如:TiO2 、HfZrO、Ta2 O3 、HfSiO4 、ZrO2 、ZrSiO2 、LaO、AlO、ZrO、TiO、Ta2 O5 、Y2 O3 、SrTiO3 (STO) 、BaTiO3 (BTO) 、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3 (BST) 、Al2 O3 、Si3 N4 、氮氧化物(SiON)、上述之組合或其他適當之材料。可經由原子層沉積、物理氣相沉積(PVD)、化學氣相沉積、氧化、及/或其他適當之方法形成高介電常數閘極介電層288。高介電常數閘極介電層288之厚度可為約10 Å至約30 Å,例如:在一些例子中為約15 Å至約25 Å。
閘極電極層290係為包括一或多個金屬層(例如:功函數金屬層、導電的阻障層以及金屬填充層)的導電層。用於n型場效電晶體(例如:用於鰭片210)與用於p型場效電晶體(例如:用於鰭片212)之閘極電極層290可分開形成,n型場效電晶體與p型場效電晶體可使用不同的金屬層。功函數金屬層可為p型功函數層或n型功函數層。p型功函數層包括有效功函數足夠大的金屬,其選自但不限定於由TiN、TaN、Ru、Mo、W、Pt、或上述之組合所形成之群組。n型功函數層包括有效功函數足夠小的金屬,其選自但不限定於由Ti、Al、TaC、TaCN、TaSiN、TiSiN、或上述之組合所形成之群組。閘極電極層290可包括複數個功函數金屬層,例如:所繪示之實施例中的第一金屬層292與第二金屬層294。舉例而言,第一金屬層292可包括TiN且第二金屬層294可包括TiAl或其他Ti、Ta、C、Al之組合(例如:TiAlC或TaAlC)。在所繪示的實施例中,閘極電極層290亦包括金屬填充層296。金屬填充層296可包括Al、W、Co、及/或其他適當之材料。在不同的實施例中,可經由原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍或其他適當之製程形成閘極電極層290之金屬層。在不同的實施例中,可進行化學機械研磨製程以從閘極堆疊之金屬層移除多餘的金屬,並藉此形成實質上平坦的頂表面。
在所繪示的實施例中,在形成於第二鰭片212上的p型場效電晶體之通道區域中,由於樹枝狀鰭片,第一金屬層292的一部分沿著磊晶層208之側壁延伸且直接位於相應之相鄰磊晶層206之下方。取決於相鄰磊晶層206之間之空間之尺寸(即有多少磊晶層208之側壁被凹蝕掉),第二金屬層294之一部分亦可直接位於磊晶層206之下方。在一些實施例中,金屬填充層296之一部分亦直接位於磊晶層206之下方。在一些替代性的實施例中,第一金屬層292填滿相鄰磊晶層206之間的空間,而第二金屬層294與金屬填充層296從磊晶層206之側邊(沿著X方向)橫向位移(laterally offset)。
半導體裝置200可經歷其他製程以形成本領域所知之各種特徵部件與區域。舉例而言,後續之製程可於基板202上形成接觸開口、接觸金屬、以及各種接觸/導孔/導線以及多層互連特徵部件(例如:金屬層與層間介電質),其係被配置來連接各種特徵部件以形成包括一或多個多閘極裝置的功能電路。更進一步舉例而言,多層互連可包括垂直互連(例如:導孔或接觸)以及水平互連(例如:金屬線)。各種互連特徵部件可使用各種導電材料,包括銅、鎢及/或矽化物。在一例子中,使用鑲嵌及/或雙鑲嵌(dual damascene)製程形成與銅相關之多層互連結構。此外,可於方法100之前、之期間及之後實施額外的製程步驟,且根據方法100之不同的實施例,一些前述之製程步驟可被取代或省略。
雖然並非用於限定,本發明之一或多個實施例對半導體裝置及其形成提供了許多好處。舉例而言,本發明實施例提供具有奈米片結構的鰭式場效電晶體。p型場效電晶體中的鰭片具有樹枝狀結構,這增加了有效閘極長度且亦增加了p型通道中電洞遷移率之效能。這對於小尺度裝置特別有用。此外,本發明實施例可被輕易地整合至現有之半導體製程中。
在一例示性的層面中,本發明實施例涉及一種半導體裝置之形成方法。上述方法包括形成第一鰭片與第二鰭片。第一鰭片與第二鰭片都具有第一磊晶層與第二磊晶層,第一磊晶層與第二磊晶層交替排列(alternately arranged)。上述方法亦包括在第一鰭片與第二鰭片之上形成介電層、露出第一鰭片之通道區域、移除第一鰭片之通道區域中的第二磊晶層、露出第二鰭片之通道區域、凹蝕第二鰭片之通道區域中之相鄰第一磊晶層之間的第二磊晶層、於第一鰭片之上形成第一閘極堆疊。第一閘極堆疊包圍第一鰭片之通道區域中的各第一磊晶層。上述方法亦包括於第二鰭片之上形成第二閘極堆疊。第二閘極堆疊沿著第二鰭片之通道區域中的第一磊晶層與第二磊晶層的側壁延伸,第二閘極堆疊包括第一金屬層,第一金屬層延伸於第一磊晶層之至少一者的正下方。在一些實施例中,第二磊晶層的側壁包括(110)結晶面。在一些實施例中,第二磊晶層的側壁包括(111)結晶面。在一些實施例中,第一鰭片之通道區域屬於n型電晶體,且第二鰭片之通道區域屬於p型電晶體。在一些實施例中,第二閘極堆疊更包括第二金屬層,第二金屬層亦延伸於第一磊晶層之至少一者的正下方。在一些實施例中,第一金屬層與第二金屬層包括不同的金屬元素。在一些實施例中,第一金屬層包括TiN而第二金屬層包括TaAlC。在一些實施例中,在凹蝕第二磊晶層之後,在第二鰭片之通道區域中,第二磊晶層之寬度約小於相鄰第一磊晶層之寬度的三分之一。在一些實施例中,在凹蝕第二磊晶層之後,在第二鰭片之通道區域中,最低的第二磊晶層比最高的第一磊晶層寬。在一些實施例中,在凹蝕第二磊晶層之後,在第二鰭片之通道區域的剖面中,第一磊晶層具有彎曲形狀且第二磊晶層為矩形。
在另一例示性的層面中,本發明實施例涉及一種半導體裝置之形成方法。上述方法包括提供鰭片。鰭片自基板突出。鰭片具有複數個第一磊晶層,此些第一磊晶層與複數個第二磊晶層交替排列,此些第一磊晶層包括第一半導體材料,此些第二磊晶層包括第二半導體材料,第二半導體材料不同於第一半導體材料。上述方法亦包括蝕刻鰭片之通道區域中之此些第二磊晶層之至少一者的側壁,使得通道區域中之此些第二磊晶層之至少一者的寬度在上述蝕刻步驟之後小於與此些第二磊晶層之至少一者接觸的第一磊晶層的寬度。上述方法亦包括於鰭片之上形成閘極堆疊。閘極堆疊與此些第一磊晶層與此些第二磊晶層接合。在一些實施例中,此些第二磊晶層之至少一者的側壁包括(110)結晶面。在一些實施例中,閘極堆疊包括閘極介電層以及閘極電極層,閘極電極層的一部分延伸於此些第一磊晶層之至少一者的正下方。在一些實施例中,在上述蝕刻側壁的步驟之後,此些第二磊晶層之至少一者延伸至鰭片的源極/汲極區域中且在源極/汲極區域中的寬度大於在通道區域中的寬度。在一些實施例中,在上述蝕刻側壁的步驟之後,在通道區域中的此些第二磊晶層之至少一者的寬度小於此些第二磊晶層之至少一者的厚度。在一些實施例中,在上述蝕刻側壁的步驟之後,在通道區域中的此些第二磊晶層之至少一者的寬度大於此些第二磊晶層之至少一者的厚度。在一些實施例中,在上述蝕刻側壁的步驟之後,在鰭片之通道區域中最低的第二磊晶層比最高的第一磊晶層寬。在一些實施例中,第一半導體材料包括砷(As)且第二半導體材料包括鍺(Ge)。
在又一例示性的層面中,本發明實施例涉及一種半導體裝置。上述半導體裝置包括基板、第一源極/汲極區域以及第一通道區域。第一通道區域夾置於第一源極/汲極區域之間,第一通道區域包括複數個第一磊晶層,此些第一磊晶層之每一者與其他第一磊晶層分隔開。上述半導體裝置亦包括第二源極/汲極區域以及第二通道區域。第二通道區域夾置於第二源極/汲極區域之間,第二通道區域包括此些第一磊晶層與複數個第二磊晶層,此些第一磊晶層與此些第二磊晶層交替排列,此些第二磊晶層的寬度小於相鄰之第一磊晶層。上述半導體裝置亦包括第一閘極電極與第二閘極電極。第一閘極電極延伸於第一通道區域之上,第一閘極電極圍繞此些第一磊晶層之每一者。第二閘極電極延伸於第二通道區域之上,第二閘極電極的一部分位於此些第一磊晶層之至少一者的正下方。在一些實施例中,在第二通道區域中之此些第二磊晶層的側壁包括(110)結晶面。
前述內文概述了許多實施例之特徵部件,使本技術領域中具有通常知識者可以更加了解相應之詳細說明。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到與在此介紹的實施例相同之目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:方法; 102、104、106、108、110、112、114、116、118、120、122、124、126:步驟; 200:裝置; 202:基板; 204:磊晶堆疊; 206:磊晶層; 208:磊晶層; 210:第一鰭片; 212:第二鰭片; 214:氧化層; 216:氮化層; 218:硬罩幕層; 220:溝槽; 222:淺溝槽隔離特徵部件; 224:第一閘極堆疊; 226:第二閘極堆疊; 234:虛設介電層; 236:虛設電極層; 238:硬罩幕; 240、242:硬罩幕之膜層; 244:間隔物材料層; 252:間隙; 254:源極/汲極特徵部件; 256:間隙; 258:源極/汲極特徵部件; 262:層間介電層; 264:保護材料; 270:區域; 284、286:閘極結構; 290:閘極電極層; 292:第一金屬層; 294:第二金屬層; 296:金屬填充層; W1、W2、W3、W2-top、W3-bottom:寬度; H2、H3:厚度; S1:磊晶堆疊之頂表面; S2:磊晶堆疊之側壁; A-A、B-B、C-C:線; X、Y、Z:方向。
以下將配合所附圖式詳述本發明實施例。應注意的是,各種特徵部件並未按照比例繪製且僅用以說明例示。事實上,元件的尺寸可能經放大或縮小,以清楚地說明本發明實施例。 第1A、1B以及1C圖係為繪示出矽之結晶面之三種型態的圖示。 第2A以及2B圖繪示出不同結晶面下電子遷移率與電洞遷移率之比較圖示。 根據本發明實施例之一或多個層面,第3A以及3B圖繪示出形成奈米片裝置之方法的流程圖。 根據本發明實施例之層面,第4、5以及6圖繪示出在根據第3A以及3B圖之方法之製程中半導體結構的立體圖。 根據本發明實施例之層面,第7、8、9A、9B、10A、10B、11、12、13、14、15、16A、16B、16C、16D以及17圖繪示出在根據第3A以及3B圖之方法之製程中半導體結構之剖面圖。。
200:裝置
202:基板
206:磊晶層
208:磊晶層
210:第一鰭片
212:第二鰭片
222:淺溝槽隔離特徵部件
244:間隔物材料層
262:層間介電層
264:保護材料
270:區域
W2、W3:寬度
H2、H3:厚度
X、Z:方向

Claims (1)

  1. 一種半導體裝置之形成方法,包括: 形成第一鰭片與一第二鰭片,該第一鰭片與該第二鰭片皆具有複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層與該些第二磊晶層交替排列; 於該第一鰭片與該第二鰭片之上形成一介電層; 露出該第一鰭片的一通道區域; 移除該第一鰭片之該通道區域中的該些第二磊晶層; 露出該第二鰭片的一通道區域; 凹蝕該第二鰭片之該通道區域中之相鄰第一磊晶層之間的該些第二磊晶層; 於該第一鰭片之上形成一第一閘極堆疊,該第一閘極堆疊圍繞該第一鰭片之該通道區域中之該些第一磊晶層之每一者;以及 於該第二鰭片之上形成一第二閘極堆疊,該第二閘極堆疊沿著該第二鰭片之該通道區域中之該些第一磊晶層與該些第二磊晶層的側壁延伸,該第二閘極堆疊包括第一金屬層,該第一金屬層直接延伸於該些第一磊晶層之至少一者之下。
TW108127974A 2018-08-14 2019-08-07 半導體裝置之形成方法 TW202013531A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862718823P 2018-08-14 2018-08-14
US62/718,823 2018-08-14
US16/357,682 US10741558B2 (en) 2018-08-14 2019-03-19 Nanosheet CMOS device and method of forming
US16/357,682 2019-03-19

Publications (1)

Publication Number Publication Date
TW202013531A true TW202013531A (zh) 2020-04-01

Family

ID=69523008

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108127974A TW202013531A (zh) 2018-08-14 2019-08-07 半導體裝置之形成方法

Country Status (3)

Country Link
US (1) US10741558B2 (zh)
CN (1) CN110828378A (zh)
TW (1) TW202013531A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761234B (zh) * 2020-06-15 2022-04-11 台灣積體電路製造股份有限公司 靜電放電裝置及半導體裝置的製造方法
TWI780649B (zh) * 2020-04-07 2022-10-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
CN110034015B (zh) * 2019-04-19 2021-07-23 中国科学院微电子研究所 一种纳米线围栅器件的形成方法
US10978356B2 (en) * 2019-05-10 2021-04-13 International Business Machines Corporation Tri-layer STI liner for nanosheet leakage control
US11264458B2 (en) * 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
EP3770955B1 (en) * 2019-07-24 2023-07-05 Imec VZW Method for forming a semiconductor device
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
KR20210054354A (ko) 2019-11-05 2021-05-13 삼성전자주식회사 반도체 소자
US11824116B2 (en) * 2019-12-18 2023-11-21 Intel Corporation Gate-all-around integrated circuit structures having devices with channel-to-substrate electrical contact
US11348999B2 (en) * 2020-03-13 2022-05-31 International Business Machines Corporation Nanosheet semiconductor devices with sigma shaped inner spacer
CN111463287B (zh) * 2020-04-10 2024-02-27 中国科学院微电子研究所 半导体器件及其制造方法及包括其的电子设备
CN111446292B (zh) * 2020-04-10 2024-04-26 中国科学院微电子研究所 半导体器件及其制造方法及包括其的电子设备
US20220149176A1 (en) * 2020-11-12 2022-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures and methods of forming same
US11942374B2 (en) 2021-06-17 2024-03-26 International Business Machines Corporation Nanosheet field effect transistor with a source drain epitaxy replacement
US11705504B2 (en) 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel
WO2023225155A1 (en) * 2022-05-20 2023-11-23 Tokyo Electron Limited Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780649B (zh) * 2020-04-07 2022-10-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11495661B2 (en) 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
TWI761234B (zh) * 2020-06-15 2022-04-11 台灣積體電路製造股份有限公司 靜電放電裝置及半導體裝置的製造方法
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11948936B2 (en) 2020-06-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processess
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
TWI820428B (zh) * 2021-01-28 2023-11-01 台灣積體電路製造股份有限公司 電晶體閘極結構及其形成方法

Also Published As

Publication number Publication date
US20200058653A1 (en) 2020-02-20
CN110828378A (zh) 2020-02-21
US10741558B2 (en) 2020-08-11

Similar Documents

Publication Publication Date Title
US10741558B2 (en) Nanosheet CMOS device and method of forming
US10811509B2 (en) Multi-gate device and method of fabrication thereof
US11302825B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
US20230369512A1 (en) Nanosheet Field-Effect Transistor Device and Method of Forming
US20160163843A1 (en) Quantum Well Fin-Like Field Effect Transistor (QWFINFET) Having a Two-Section Combo QW Structure
US11735669B2 (en) Vertically-oriented complementary transistor
US11862714B2 (en) Semiconductor device and manufacturing method thereof
TW202021129A (zh) 半導體裝置的形成方法
US11380776B2 (en) Field-effect transistor device with gate spacer structure
TWI792456B (zh) 半導體裝置及其形成方法
US11532732B2 (en) Multi-gate device and method of fabrication thereof
US20220352353A1 (en) Epitaxial features of semiconductor devices
US11777033B2 (en) Transistors having vertical nanostructures
US20230290780A1 (en) Semiconductor device structure and methods of forming the same
KR20230109536A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US11489078B2 (en) Lightly-doped channel extensions
TWI741419B (zh) 半導體元件及其製造方法
US20230163186A1 (en) Epitaxial features in semiconductor devices and manufacturing method of the same
US20230395681A1 (en) Multi-gate device and method of fabrication thereof
US11830912B2 (en) Semiconductor device structure and methods of forming the same
US20230114789A1 (en) Source/drain features of multi-gate devices
US20230019386A1 (en) Isolation Features For Semiconductor Devices And Methods Of Fabricating The Same
US20230378363A1 (en) Transistors having vertical nanostructures
US20230207653A1 (en) Low resistance contact feature
US20240021686A1 (en) Source/Drain Contacts And Methods For Forming The Same