TW202009979A - 半導體製程 - Google Patents
半導體製程 Download PDFInfo
- Publication number
- TW202009979A TW202009979A TW108128680A TW108128680A TW202009979A TW 202009979 A TW202009979 A TW 202009979A TW 108128680 A TW108128680 A TW 108128680A TW 108128680 A TW108128680 A TW 108128680A TW 202009979 A TW202009979 A TW 202009979A
- Authority
- TW
- Taiwan
- Prior art keywords
- solvent
- layer
- resist layer
- exposure
- resist
- Prior art date
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0048—Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/039—Macromolecular compounds which are photodegradable, e.g. positive electron resists
- G03F7/0392—Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/162—Coating on a rotating support, e.g. using a whirler or a spinner
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/168—Finishing the coated layer, e.g. drying, baking, soaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
- G03F7/70033—Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Plasma & Fusion (AREA)
- Materials For Photolithography (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
本案提供一種半導體製程,其包括提供光阻溶液,光阻溶液中含有具有第一體積的第一溶劑和具有第二體積的第二溶劑,其中第一溶劑不同於第二溶劑,且第一體積小於第二體積。塗佈光阻溶液於基板上以形成薄膜,其中塗佈過程將蒸發一部分第一溶劑和一部分第二溶劑,使得第一溶劑的剩餘部分大於第二溶劑的剩餘部分。烘烤薄膜,並於烘烤薄膜後,將薄膜進行曝光以形成已曝光薄膜,以及將已曝光薄膜進行顯影。
Description
本案係關於一種可應用於光微影(photolithography)的阻劑溶液以及其應用。
半導體積體電路(integrated circuit,IC)產業歷經了指數性成長。IC材料和設計上之技術改良已產生許多IC世代,而每一世代比起前一世代又具有更小以及更複雜之電路。然而,此些改良或進展亦會增加處理與製造IC之複雜度,為了使這些改良或進展得以實現,於IC處理與製造領域中亦需要相似的發展。
例如,微影製程(lithography processes)被廣泛應用於積體電路(IC)製造中,其中各種光阻圖案(resist patterns)被轉移到工作元件(workpiece)上以形成IC元件。在許多情況下,於工作元件上所形成的光阻層(以及最終之光阻圖案)之品質,將直接影響最終IC元件的優劣。光阻層(後方有時亦稱為阻劑層)的品質可能受到用以形成光阻圖案之光阻溶液中的各種成分分佈的影響。雖然現有的光微影技術已大致符合需求,但並非在所有方面皆完全令人滿意。舉例而言,促進光阻層曝光之功能單元(functional unit)的非均勻分佈可能對解析度(resolution)、粗糙度(例如,線邊緣粗糙度(line edge roughness,LER)及/或線寬粗糙度(line width roughness,LWR))、且/或最終圖案的對比度,產生不良之影響。
本案實施例提供一種半導體製程,包括提供光阻溶液,其中光阻溶液包含具有第一體積之第一溶劑、以及具有第二體積之第二溶劑。其中第一溶劑不同於第二溶劑,且第一體積小於第二體積;分散光阻溶液於基板上以形成薄膜,其中分散步驟蒸發一部份的第一溶劑以及一部份的第二溶劑,使第一溶劑的剩餘部分大於第二溶劑的剩餘部分;烘烤膜;在烘烤膜後,將膜進行曝光,形成已曝光膜;以及將該已曝光膜進行顯影。
本案實施例提供一種半導體製程,包括:分散阻劑層於基板上,其中阻劑層包括光敏感單元、具有第一沸點之第一溶劑、以及具有第二沸點之第二溶劑,其中第一沸點低於第二沸點;在分散阻劑層後,對阻劑層進行第一熱處理,其中第一熱處理於第一溫度下進行,且第一溫度大於第一沸點,但小於第二沸點;在進行第一熱處理後,將阻劑層曝光於極紫外光輻射中;對曝光後之阻劑層進行第二熱處理,其中第二熱處理於第二溫度下進行;以及將曝光後之阻劑層進行顯影以形成圖案化阻劑層。
本案實施例提供一種半導體製程,包括提供極紫外(EUV)阻劑溶液,其中極紫外阻劑溶液包含第一溶劑、以及第二溶劑,第一溶劑之含量大於第二溶劑之含量;於基板上旋轉塗佈極紫外光阻劑溶液以形成一EUV阻劑層,其中旋轉塗佈步驟蒸發一部分之第一溶劑以及一部份第二溶劑,使第二溶劑之剩餘量大於第一溶劑之剩餘量;對EUV阻劑層進行預曝光烘烤;在對EUV阻劑層進行預曝光烘烤後,將EUV阻劑層進行曝光以形成曝光後EUV阻劑層;對曝光後EUV阻劑層進行曝光後烘烤;以及在進行曝光後烘烤後,將曝光後EUV阻劑層進行顯影。
以下的揭示內容提供許多不同的實施例或範例,以展示本案的不同部件。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本案之敘述。當然,這些特定範例並非用於限定本案。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本案說明書中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。
另外,本案可以在各個實例中重複使用參考標號和/或字母。這種重複僅是為了使表達更為簡潔與明確,其本身並不表示所述的各個實施例和/或配置之間的其他關係。此外,在後文中所述的一個部件形成在另一個部件上、與其連接和/或耦合可以包括部件直接接觸形成的實施例,亦可包括額外部件於部件之間形成之實施例,使得部件之間可以不直接接觸。另外,諸如「較低」、「較高」、「水平」、「垂直」、「之上」、「之下」、「向上」、「向下」、「頂部」和「底部」等的空間相對用語及其衍生用語(例如,「水平地」、「向下地」、「向上地」等)係用於表示本案中一個部件與另一個部件的關係。空間相對用語可用於具有所述部件之裝置的各種不同位向。更進一步地,當一數值或是一數值範圍以「約」、「接近」或相似用語描述時,則此些用語即用以表示包含所述數值的合理範圍,例如所述數值的+/- 10%之間、或是其他本領域具有通常知識者所能理解之數值範圍。舉例而言,用語「約5nm」包括4.5nm至5.5nm的範圍。
微影製程(lithography process)包括形成在工作元件上形成光阻層(後方有時亦稱為阻劑層),以及將光阻層於圖案化光源下進行曝光。當於圖案化光源下進行曝光後,使光阻層於顯影劑(亦即一化學溶液)中進行顯影。顯影劑移將除部分之光阻層(舉例而言,經曝光之正光阻層、或是未經曝光之負光阻層),藉此形成圖案化光阻層。圖案化後之光阻層於後續製程中(例如於蝕刻製程、或是植入製程(implantation process))將作為遮罩元件(masking element),以轉移圖案化光阻層之圖案至工作元件上。先進微影材料,例如化學放大光阻(chemically amplified resist,CAR)材料,已被用於增進光阻層對於曝光源之敏感度,藉此以最大化曝光源之利用率。舉例而言,化學放大光阻材料曝光於光源時可產生多種化學反應,並藉此化學性地強化對於光源之反應,而此可降低於光阻層定義圖案時所需要的曝光劑量。許多化學放大光阻材料包含耐IC製程(例如蝕刻製程)之聚合物、酸產生劑(例如光酸產生劑(photoacid generator ,PAG))、及/或其他用以促進曝光及/或顯影製程之成分(例如猝滅劑(quencher))。化學放大光阻材料可藉由塗佈製程(例如旋轉塗佈(spin-coating))而施加於工作元件上。因此,光學放大材料中可包括溶劑成分,以便於在旋轉塗佈製程中,將聚合物、光酸產生劑、及/或其他成分,施加於工作元件上。
利用波長於極紫外光(extreme ultraviolet,EUV)區間之光源的極紫外光微影技術提供了達成更精密之微影解析度極限的願景,特別是對於10nm以下IC之製造。然而,極紫外光波長通常需要具有更高靈敏度之化學放大光阻材料,這是由於為達成解析度、對比度、及/或粗糙度的要求所需之曝光劑量,以及產能要求(例如每小時生產晶圓數(wafers per hour,WPH))皆受到傳統的極紫外光光源之限制。已知當暴露於相同的曝光劑量(例如,約10 mJ/cm2)時,相較於深紫外光(deep ultraviolet,DUV)光子(例如ArF光子),一定體積之光阻材料將吸收較少的極紫外光光子,而這通常表示化學放大光阻材料將產生較少用以催化反應之酸。為了解決此現象(通常亦被稱為「散粒雜訊(shot noise)」),一種方法是增加光阻材料中光酸產生劑之含量,藉此增加由曝光程序所引發的酸生成量以及生成效率。在一上述的實施例中,相較於深紫外光光阻材料,極紫外光光阻材料可包括三至四倍劑量的光酸產生劑。然而,增加光酸產生劑之含量,可能對微影製程產生負面影響。舉例而言,光酸產生劑量的增加可能會伴隨其他成分(例如猝滅劑)的增加,而這可能會導致於旋轉塗佈製程時,化學放大光阻材料的分布不均。而此可歸咎於至少兩個原因:一、由於相較於與其他成分(例如溶劑或是其他聚合物),光酸產生劑較傾向與自身進行交互作用而易產生聚集。當光酸產生劑的量增加時,此現象則更為顯著;二、另一方面,包含於化學放大光阻材料中的溶劑,雖然其對化學放大光阻材料中的成分具有良好溶解性,但其在旋轉塗佈製程時易於揮發,導致光酸產生劑、聚合物、及/或其他成分更進一步地聚集。
因此,基於上述以及其他原因,在旋轉塗佈製程中,對於光阻材料分布之控制仍需改進。如下方實施例所示,光阻材料分布的控制可藉由使用具有不同沸點(或蒸氣壓)之溶劑來達成。
第1圖係根據本案一些實施例所繪示之處理工作元件200之方法100的流程圖。方法100僅為一示例,並非用以限制已清楚載於請求項之本案範圍。額外的操作或程序可於方法100之前、之中、以及之後進行,且某些操作或程序可被替換、刪除、或移動而成為另一實施例。方法100之中間步驟將與如第1、3A、4A、5A、6、7、8A以及8B中所示之工作元件200剖面圖配合進行說明。而工作元件200之部分組成的示意圖則繪示於第3B、4B以及5B圖。用以形成部分工作元件200之設備繪示於第3A-3B圖以及第4A-4B圖中。為求簡潔以及便於清楚說明,圖式中的部分元件已被簡化表示。
請參閱第1圖與第2圖,方法100於步驟110提供(或者是向其提供)基底結構210。基底結構210可為具有均一組成的單層材料,或是具有適用於IC裝置製程之相似或不同組成的多層結構。在所示的實施例中,基底結構210包括複數材料層,各層將於後文中分別進行詳述。
基底結構210可包含基板202。基板202可包括元素半導體(單一元素),例如矽及/或鍺(germanium)、化合物半導體,例如碳化矽,砷化鎵,磷化鎵,磷化銦,砷化銦和/或銻化銦、合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或 GaInAsP、非半導體材料,例如鈉鈣玻璃(soda-lime glass)、合成石英(fused silica)、熔融石英(fused quartz)及/或氟化鈣(CaF2
)、及/或其他合適的材料。在一些實施例中,基板202可以是絕緣層上矽(silicon-on-insulator,SOI)基板,其具有在氧化矽層上形成的半導體矽層。在另一些實施例中,基板202可以包括導電層,半導體層,電介質層,其它合適之層,或前述的組合。
基板202可以包括在其上、或其中所形成的各種電路特徵,例如可包括場效應電晶體(field effect transistor,FET)、金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)電晶體、高壓電晶體、高頻電晶體、雙極結電晶體、二極體、電阻器、電容器、電感器、變容器(varactor)、其他合適的器件及/或前述的組合。在一些實施例中,基板202包括場效應電晶體(field-effect transistor,FET),各種摻雜區,例如源極/汲極區域,形成於基板202之中或之上。摻雜區域可使用p型摻雜劑(例如硼或BF2
)及/或n型摻雜劑(例如磷或砷)進行摻雜,其取決於各種設計需求。摻雜區域可以是平面的或非平面的(例如,在鰭狀FET裝置中),且可直接形成在基板202上、p阱結構中、n阱結構中、雙阱結構中、或使用凸起的結構。摻雜區域可藉由植入摻雜劑原子、原位摻雜磊晶生長(in-situ doped epitaxial growth)、及/或其他合適的技術來形成。
請繼續參閱第2圖。基底結構210可包括形成於基板202上之底層204(或第一層)。在許多實施例中,底層204為抗反射塗層(anti-reflective coating,ARC),其組成被配置成用以最小化後續形成之光阻層(例如光阻層208)在曝光過程中,於所施予之光源的反射率。底層204可藉由旋轉塗佈ARC材料(例如無晶形碳材料)於基板202之上(或是多層基板的最頂部之材料層的上表面),以及可選擇性地進行烘烤、固化而形成。
基底結構210可額外包括在底層204上形成的中間層206(或第二層)。中間層206可以是單層結構或者可以包括多個層,每個層具有不同的成分。在許多實施例中,中間層206具有為隨後實施的微影製程提供抗反射特性、硬遮罩特性及/或阻障特性的成分。中間層206可包括富矽聚合物(silicon-rich polymer)及/或其他合適的材料。可選擇地或額外地,中間層206可以包括介電材料,例如氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide)、氮氧化矽(silicon oxynitride)及/或其他合適的材料。中間層206可以藉由類似於關於底層204所描述的旋轉塗佈製程及/或沉積製程來形成,例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、旋轉塗佈、其他合適的方法或前述之組合。在一些實施例中,基底結構210包括在中間層206上形成的附加材料層。在一些實施例中,底層204及/或中間層206從基底結構210中省略。
請參考第1圖以及第3A至4D圖。於方法100的步驟120中塗佈阻隔劑(例如光阻)溶液208A於基底結構210之頂面上(例如於中間層206的頂面),以形成一阻劑層(例如光阻層)208B。參考第3A至3D圖,方法100使用旋轉塗佈系統300,提供或分配阻劑溶液208A至基底結構210的頂面。隨後,參考第4A至4D圖,方法100使用旋轉塗佈系統300,分配阻劑溶液208A至整個基底結構210的頂面,以形成阻劑層208B。阻劑層208B可以包括單層材料或多層不同的材料。在所示的實施例中,阻劑層208B係可用於進一步處理(例如烘烤)以及而後藉由於阻劑層208B中引發一系列光化學反應的曝光製程進行圖案化之光敏感層。阻劑層208B可包括任何合適的光敏感阻隔材料,而在一些實施例中,阻劑層208B包含對光(例如紫外光,深紫外和/或極紫外光)敏感之阻隔材料。然而,本案之原理同樣適用於電子束阻劑層以及其他直寫阻隔材料(direct-write resist material)。在所示的實施例中,阻劑層208B包括極紫外光敏感阻隔材料,而阻劑溶液208A為極紫外光阻劑溶液。為求簡潔,以下之說明將對形成阻劑層208B之旋轉塗佈製程的個別步驟(分別搭配第3A-3D圖以及第4A-4D圖進行描述與說明),以描述隨著旋轉塗佈製程的進行,阻劑溶液208A之變化。
如第3A、3B圖所示,分配阻劑溶液208A後,隨後藉由包含托盤(chunk)302之旋轉塗佈系統300將之進一步分散,其中基底結構210被固定,且藉由至少一噴嘴308將阻劑溶液208A提供至固定的基底結構210。在一些實施例中,噴嘴308分配阻劑溶液208A至基底結構210接近中央的位置,但本案並不限於此種配置。在所示的實施例中,旋轉塗佈系統300進一步包含可移動式轉子(moveable armature)310,以使噴嘴308可被置於基底結構210之上的任何位置,例如大約於基底結構210的中央位置,以分配阻劑溶液208A。
請參閱第3C圖。當阻劑溶液208A剛配置於基底結構210之頂面上時,具有一厚度242。參閱第3D圖,其為阻劑溶液208A成分的示意圖。阻劑溶液208A包括至少一聚合物252、光敏感單元254、光敏感單元256、溶劑成分258、以及溶劑成分260。溶劑成分258以及溶劑成分260皆包括於阻劑溶液208A之中以促進阻劑溶液208A的分配與分散(如第3A-3D圖以及第4A-4D圖所繪示)。在許多實施例中,光敏感單元254以及光敏感單元256與聚合物252進行混合,並溶於溶劑成分258與溶劑成分260之中。在許多實施例中,光敏感單元254以及光敏感單元256為連接於聚合物252之官能基團,聚合物252溶於溶劑成分258以及溶劑成分260中。在所示的實施例中,阻劑溶液208A之成分均勻地分散於溶劑成分258以及溶劑成分260中。換言之,阻劑溶液208A中不同溶質(例如聚合物252、光敏感單元254、光敏感單元256、以及其他非溶劑之成分)與溶劑成分256以及溶劑成分258之間的交互作用傾向相等,因此可具有最低程度的聚集。
在許多實施例中,聚合物252包括丙烯酸酯基聚合物,聚(降冰片烯)-馬來酸酐共聚合物(poly(norbornene)-co-maleic anhydride,COMA)、聚(羥基苯乙烯)基聚合物(poly(hydroxystyrene)-based polymer)、或其他合適的聚合物或前述之組合,且可與任意數目的官能基鍵結,所述官能基可用以以協助一個或多個後續的微影製程。在一些實例中,官能基團可包括光敏感基團(例如,敏化劑),例如苯酚、苯乙烯、氟化物、其他合適的基團或前述之組合。在一些實施例中,官能基團可以包括可被酸性單元切割的酸不穩定基團(acid-labile group,ALG)(例如,由光酸產生劑所產生的酸)。
在許多實施例中,光敏感單元254、256在曝光過程中吸收輻射能量,在曝光時經歷化學和/或物理反應,並影響後續聚合物252中的化學及/或物理變化。光敏感單元254配置成可因應輻射能量產生酸性單元,而在許多實例中其可為光酸產生劑。隨後,酸性單元可裂解與聚合物252鍵結之酸不穩定基團,藉此化學性轉化聚合物252的結構以用於後續之顯影過程。在所示的實施例中,光敏感單元254的量小於聚合物252的量,例如可為聚合物252約1/3至約1/2的量。非限制性例示之光敏感單元254可包括N-磺醯氧基醯亞胺(N
-sulfonyloxyimide)、苯偶因磺酸酯(benzoinsulfonate)、鄰苯三酚三磺酸酯(pyrogallol trisulfonate)、硝基芐基磺酸酯(nitrobenzyl sulfonate)、全氟丁基磺酸三苯基鋶鹽(triphenylsulfonium nonaflate)、磺醯基重氮甲烷類化合物(sulfonyl diazomethane-based compound)、碸基化合物,乙二肟類化合物(glyoxime-based compound)、前述之衍生物、其他化合物或前述之組合。
在本案中,光敏感單元256與光敏感單元254明顯不同,其可包括光可分解猝滅劑(photo-decomposable quencher,PDQ)、光可分解鹼(photo-decomposable base,PDB)、光鹼產生劑(photo-base generator,PBG)、其他光敏感單元、或前述之組合。PDQ被配置成當在某些區域聚合物252之化學變化(例如溶解度的變化)並非如預期時,可減少所述區域酸性單元之濃度。例如,PDQ可以被配置成用以減少在正光阻材料的未曝光區域中的PAG(即光敏感單元254)所產生的過量酸性單元。PDB,顧名思義,係可因應輻射能量而分解鹼性單元。另一方面,PBG則是因應輻射能量產生鹼性單元。在一些實施例中,PDQ、PDB、PBG與PAG(例如,光敏感單元254)之間具有不同的光靈敏度(例如,對不同波長範圍的輻射產生反應),但本案並不限於此。阻劑溶液208A可以進一步包括許多添加劑,舉例而言如交聯劑(例如四羥甲基甘脲連接單元(tetramethylol glycoluril linker)或環氧連接單元(epoxy linker))、表面活性劑、發色團(chromophore)及/或溶劑。
溶劑成分258和溶劑成分260具有不同組成。具體而言,溶劑成分258包括至少一種沸點大於約攝氏180度的極性溶劑。溶劑成分258可包括例如二甘醇二甲醚(diethylene glycol dimethyl ether)、三甘醇二甲醚(triethylene glycol dimethyl ether)、二甲基亞碸(dimethyl sulfoxide)、乙二醇(ethylene glycol)、甘油(glycerin)、六甲基磷醯胺(hexamethylphosphoramide)、N-甲基-2-吡咯烷酮(N
-methyl-2-pyrrolidinone)、苯甲腈(benzonitrile)、1,2-二氯苯(1,2-dichlorobenzene)、N,N-二甲基乙醯胺(N,N
dimethylacetamide)、2-乙氧基乙基醚(2-ethoxyethyl ether)、γ-丁內酯(γ-butyrolactone)、1,6-二乙醯氧基己烷(1,6-di-acetoxyhexane)、三(丙二醇)甲基醚(tri(propylene glycol) methyl ether)、碳酸丙烯酯(propylene carbonate)、四(乙二醇)單甲醚(tetra(ethylene glycol) monomethyl ether)、其他合適的極性溶劑、或前述之組合。
另一方面,溶劑成分260可包括沸點小於溶劑成分258沸點約80%的極性或非極性溶劑(例如,約小於攝氏145度)。由於溶劑的沸點的大小通常與其蒸氣壓的大小成反比,因此溶劑成分260的較低沸點顯示其具有比溶劑成分258更高的蒸氣壓。換句話說,在類似的條件下(例如,溫度,大氣壓,濕度等),溶劑成分260將比溶劑成分258以更高的速率蒸發。在許多實施例中,溶劑成分260包括一種或多種不同組成的溶劑。溶劑成分260可包括丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、γ-丁內酯、乳酸乙酯(ethyl lactate),環己酮(cyclohexanone)、乙酸正丁酯(n-butyl actetate,乙基酮(ethyl ketone)、二甲基甲酰胺(dimethyl formamide)、醇(例如異丙醇(isopropyl alcohol)或乙醇)及/或其他合適的溶劑。在所示的實施例中,溶劑成分260包括PGMEA,PGME或前述之組合。
此外,在阻劑溶液208A中之溶劑成分258和溶劑成分260各自具有不同的量(例如,佔總體積的百分比或vol%)。因為溶劑成分258包括至少一種極性溶劑,所以溶劑成分258的量可以是溶劑成分258和溶劑成分260的總體積之0.1%至約40vol%,以促進阻劑溶液208A在基底結構210上之分散(例如藉由旋轉塗佈)(後續將詳細討論)。換言之,溶劑成分260的量為溶劑成分258和260的總體積的至少約60vol%。當溶劑成分258的量大於約40vol%時,在溶劑成分258中的極性溶劑將產生高表面張力,導致阻劑溶液208A形成不良之塗層。因此,在一些實例中,阻劑溶液208A中溶劑成分258與溶劑成分260之間,其量的比例約小於2:3。
相對能量差(relative energy difference,RED)是可用於描述溶質(例如,聚合物252、光敏感單元254和光敏感單元256)溶解在一種或多種溶劑(例如,溶劑成分258和溶劑成分260)中之傾向的參數。通常,小於1的RED表示溶質和溶劑在其分子特徵方面彼此相似,因此表現出良好的溶解性。相反的,當RED大於1時則表示溶質和溶劑之間差異過大而不具有良好的溶解性。在本案中,雖然在組成和相對量方面並不相同,但可以藉由選擇溶劑成分258和260,使得阻劑溶液208A的總RED小於1。換言之,藉由選擇溶劑成分258和260來確保或最大化聚合物252、光敏感單元254和光敏感單元256的溶解度。
請參閱第4A與4B圖。在將阻劑溶液208A分配於底層結構210上後,旋轉塗佈系統300利用基底結構210的旋轉將阻劑溶液208A分散至基底結構210的整體頂表面,以形成阻劑層208B。在所示的實施例中,托盤302使基底結構210依一穿過基底結構210中央區域之假想軸306進行旋轉。托盤302(以及藉由基底結構210延伸)的最大旋轉速度可達到或超過每分鐘3000轉(rpm)。此外,旋轉速度可以在整個旋轉塗佈過程中改變,以控制阻劑溶液208A均勻分散。雖然此處並為繪示,但旋轉塗佈系統300可利用例如氣體輸送噴嘴、加熱元件以及用於定位噴嘴之裝置等其他部件,以控制阻劑溶液208A的分散。在所示的實施例中,在步驟120處實施旋轉塗佈製程,且保持在一環境溫度(例如,在約攝氏23度),以避免在阻劑層208B形成均一厚度之前,使阻劑溶液208A過度乾燥。
值得注意的是,參見第4C圖和第4D圖,當旋轉塗佈系統300分散阻劑溶液208A時,由於溶劑成分258和260之間的蒸氣壓(即沸點)不同,溶劑成分260以比溶劑成分258明顯更高的速率進行蒸發。在一些實例中,在阻劑溶液208A的分散過程中,至少約90%的溶劑成分260被蒸發,而溶劑成分258的量則保持大致相同。換句言之,溶劑成分258的剩餘部分大於溶劑成分260的剩餘部分。溶劑成分260的蒸發量可直接與溶劑成分260的沸點相關。例如,溶劑成分260的較低沸點顯示其在分散過程中具有較大的蒸發量。因此,阻劑層208B的厚度244小於阻劑溶液208A最初沉積在基底結構210的頂面上之厚度242。此外,因在阻隔劑層208B中溶劑成分258的量大致上與阻劑溶液208A中的相同,並且因為溶劑成分258被選擇以達成和聚合物252、光敏感單元254以及光敏感單元256之間傾向相互作用(亦即,小於1的RED),即使當溶劑成分260蒸發,聚合物252、光敏感單元254和光敏感單元256間仍僅有最小化之聚集。相反的,如果阻劑溶液208A僅包含溶劑成分260而不包含溶劑成分258,在旋轉塗佈過程中溶劑成分260的蒸發將顯著降低總體積,並增加阻劑溶液208A的黏度,導致於基底結構210的頂面上之阻劑層208B產生聚集和不均勻分佈。因此,在旋轉塗佈期間保留之溶劑成分258有助於阻劑溶液208A的持續分配,以形成阻劑層208B。
請參考第1、5A和5B圖,方法100在步驟130對阻劑層208B進行預曝光烘烤(或者可稱為預曝光熱處理)230,得到烘烤後阻劑層208C,其具有小於厚度244之厚度246。可以在低於溶劑成分258的沸點但高於溶劑成分260的沸點溫度下進行預曝光烘烤230。在一些實例中,預曝光烘烤230可以在約攝氏90度至約攝氏150度的溫度下進行。預曝光烘烤230的溫度可進行調整,使得方法100在不完全除去溶劑成分258的情況下而完全除去溶劑成分260的剩餘部分。在烘烤後阻劑層208C中保留一部分的溶劑成分258可能是有益的,因其可有助於光敏感單元254和256在基底結構210頂面上的擴散,以確保後續的曝光和顯影過程可有效率地進行。在一些實施例中,可以根據光敏感單元254和256所需的擴散程度來調節預曝光烘烤230的溫度。例如,為了較長的擴散長度,可以相應地降低溫度。如果預曝光烘烤230在低於約攝氏90度的溫度下進行,則溶劑成分260可能不會被完全去除,導致當剩餘的溶劑成分260逐漸蒸發,將形成不穩定的阻劑層208B(例如,具有不均勻的厚度)。另一方面,如果預曝光烘烤230在大於約150攝氏度的溫度下實施,則過量的熱應力可能導致阻劑層208B分解。
此後,參照第1圖第6圖,方法100於步驟140將烘烤後阻劑層208C暴露於輻射216。在許多實施例中,輻射216可以是I線(波長約365nm)、DUV輻射,例如KrF準分子雷射(波長約248 nm)或ArF準分子雷射(波長約193nm)、EUV輻射(波長在約1nm和約100nm之間)、X射線、電子束,離子束及/或其他合適的輻射。步驟140處的曝光製程可以在空氣中、液體(浸沒式微影)中或在真空中(例如,用於EUV微影和電子束微影)進行。在所示的實施例中,使用光罩218來進行於步驟140處的曝光過程。光罩218可以是透射光罩或反射光罩,其中的任一個皆可進一步進行如相位移(phase-shifting)(例如,間隔型相移光罩(alternative phase-shift mask)、減光型相移光罩(attenuated phase-shift mask)或無鉻相移光罩(chromeless phase-shift mask))、離軸照明(off-axis illumination,OAI)和/或光學鄰近校正(optical proximity correction,OPC)等之解析度增強技術。在一些其他的實施例中,輻射216直接以預定之圖案(例如IC佈局)進行設置,而不使用光罩218(例如使用電子束直寫設備)。在所示的實施例中,輻射216是EUV輻射,且步驟140之曝光製程在EUV微影系統中進行。相應地,光罩218可以是用以圖案化烘烤後阻劑層208C的反射光罩。
隨後,步驟140的曝光製程在阻劑層208C上形成潛在圖案,其包括曝光區域212和未曝光區域214。潛在圖案通常是指曝光在阻劑層上之圖案,當阻劑層經顯影製程後,其最終成為實體的阻隔圖案。在曝光區域212中的阻劑層208C將進行一系列光化學反應,而在未曝光區域214中的阻劑層208C與曝光前之阻隔劑材料大致相同。
請參閱第1圖與第7圖。方法100在步驟150對曝光後阻劑層208C進行曝光後烘烤(或者稱為曝光後熱處理)250,曝光後阻劑層208C現在包括曝光區域212和未曝光區域214。曝光後烘烤250可以被配置成在步驟140處催化由曝光製程所引發的光敏感單元254和/或光敏感單元256之光化學反應。曝光後烘烤250可以在低於溶劑沸點的溫度下進行。在一些實施例中,根據選擇作為溶劑成分258和260的特定溶劑,曝光後烘烤250可以在溫度低於或類似於預曝光烘烤230的溫度下進行,例如從約攝氏90度到約攝氏130度,但本案並不限於此。如果溫度低於約攝氏90度,則可能不會活化用以完成CAR反應的成分(例如ALG)。另一方面,如果溫度高於約攝氏130度,則光敏感單元254的擴散長度可能過長,將對阻劑層208C產生不利的影響。
請參閱第1、8A以及8B圖。方法100在步驟160對阻劑層208C進行顯影製程,以在阻劑層208C中形成圖案。根據顯影劑和阻劑層之間的分子相互作用,顯影過程使用顯影劑以溶解或其它方式除去未曝光區域214(第8A圖)或曝光區域212(第8B圖),此部分將於後文詳細說明。顯影製程可藉由類似於前述旋轉塗佈製程的旋轉塗佈程序,在阻劑層208C上噴塗顯影劑來完成。在一些例子中,顯影劑222可在負光阻顯影過程期間,用以去除未曝光區域214(第8A圖)、或者可選擇地,顯影劑224可在正光阻顯影期間,用以去除已曝光區域212。在所示實施例中,顯影劑222是非極性溶劑,其包括具有淨偶極矩為零的分子,而顯影劑224是極性溶劑,其包括具有淨偶極矩不為零的分子。在許多實施例中,顯影劑222包括有機溶劑,例如乙酸正丁酯(n-butyl acetate)、乙醇、己烷、苯、甲苯及/或其他合適的溶劑,而顯影劑224包括含水溶劑,例如四甲基氫氧化銨(tetramethyl ammonium hydroxide,TMAH)、KOH,NaOH及/或其他合適的溶劑。
請參照第1圖,方法100在步驟170進行額外的製造程序,包括例如在一個或多個蝕刻製程中,將形成在阻劑層208C中的圖案轉移至中間層206和底層204,隨後於所述各個蝕刻製程中,分別去除阻劑層208C、中間層206和底層204。蝕刻製程可藉由任何合適的方法施行,包括乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、反應性離子蝕刻(reactive ion etching,RIE)製程及/或其他合適的製程。在一些實施例中,阻劑層208C可藉由任何合適的方法去除,例如阻隔劑剝離或等電漿灰化。在一個示例中,阻劑層208C可以藉由包含類似溶劑成分258的溶劑之剝離溶液來去除。隨後,可以使用圖案化之底層204作為遮罩來處理基底202。任何合適的方法皆可施行以處理基底202,包括沉積、植入、磊晶成長、其他製程或前述之組合。
隨後,工作元件200可用於製造積體電路晶片、系統單晶片(system-on-a-chip,SOC)及/或其一部分,因此後續製程可形成各種主動或被動之微電子裝置,例如電阻器、電容器、電感器、二極體、金屬氧化物半導體場效應電晶體、互補金屬氧化物半導體電晶體、雙極性電晶體(bipolar junction transistor,BJT)、橫向擴散MOS(laterally diffused MOS,LDMOS)、高功率MOS、其他類型之電晶體及/或其他電路元件。
本案所述之方法、裝置和組合物的一個或多個實施例中可存在各種優點。例如,本案提供了藉由控制於阻劑溶液中之溶劑的蒸發,以改善阻劑溶液於基板上之塗覆的方法。具體而言,本案之實施例係關於在旋轉塗佈製程期間,藉由包含至少兩種具有不同蒸氣壓(即沸點)、不同含量的溶劑成分,以調節阻劑溶液的蒸發之方法,所述阻劑溶液包含用以形成CAR阻隔劑材料之光敏感單元以及聚合物。在旋轉塗佈過程中,具有較低蒸氣壓(因此其沸點較高)的溶劑成分將保留於基板上,而具有較高蒸氣壓(因此其沸點較低)的溶劑成分將蒸發。在旋轉塗佈過程中所殘留的溶劑成分可防止阻劑溶液的各種成分聚集,並有助於阻劑溶液分在基板上分散。
在一些實施例中,本案提供了一種方法,所述方法包括提供光阻劑溶液(光阻溶液)、將光阻溶液分散在基板上以形成薄膜、烘烤此薄膜、曝光此薄膜、以及使曝光後之薄膜進行顯影。在一些實施例中,光阻溶液包括具有第一體積的第一溶劑和具有第二體積的第二溶劑,其中第一溶劑不同於第二溶劑,並且其中第一體積小於第二體積。在一些實施例中,所述分散將蒸發一部分第一溶劑和一部分第二溶劑,使得第一溶劑的剩餘部分大於第二溶劑的剩餘部分。
在一些實施例中,第一溶劑包括二甘醇二甲醚、三甘醇二甲醚、二甲基亞碸、乙二醇、甘油、六甲基磷醯胺、N-甲基-2-吡咯烷酮、苯甲腈、1,2-二氯苯、N,N二甲基乙醯胺、2-乙氧基乙基醚、γ-丁內酯、1,6-二乙醯氧基己烷、三(丙二醇)甲基醚、碳酸丙烯酯、四(乙二醇)單甲醚、或前述之組合。在另一些實施例中,第二溶劑包括丙二醇甲醚(propylene glycol methyl ether)、丙二醇單甲醚乙酸酯(propylene glycol monomethy ether acetate)、或前述之組合。
在一些實施例中,光阻溶液還包含聚合物、光酸產生劑(PAG)和猝滅劑。在另一些實施例中,第一溶劑與各聚合物、PAG以及猝滅劑之間的相對能量差小於1。
在一些實施例中,薄膜之曝光包括使用極紫外光光源。
在一些實施例中,其中薄膜之烘烤係在第一溫度下之第一烘烤製程中進行,所述方法進一步包括在薄膜曝光後,在第二溫度下之第二烘烤製程中烘烤此薄膜,其中第一溫度和第二溫度低於第一溶劑的沸點。在另一些實施例中,第二溫度低於第一溫度。
在另一些實施例中,本案提供一種方法,包括將阻劑層分散在基板上,對阻劑層進行第一熱處理,將阻劑層暴露於極紫外(EUV)輻射中,對曝光後之阻劑層進行第二熱處理,並使曝光後阻劑層進行顯影以形成圖案化之阻劑層。在一些實施例中,阻劑層包括光敏感單元、具有第一沸點的第一溶劑和具有第二沸點的第二溶劑,其中第一沸點小於第二沸點。在一些實施例中,第一熱處理在第一溫度下進行,其中第一溫度高於第一沸點但低於第二沸點。在一些實施例中,第二熱處理在小於第二沸點的第二溫度下進行。在一些實施例中,該方法還包括使用圖案化的阻劑層作為遮罩處理基板,並去除圖案化之阻劑層,其中所述去除步驟包括施加阻隔劑剝離溶液,且其中阻隔劑剝離溶液包括第二溶劑。
在一些實施例中,阻劑層在阻劑層溶液分散之後、進行第一熱處理之前具有第一厚度,且阻劑層在進行第一熱處理之後、曝光之前具有第二厚度,其中第二厚度小於第一厚度。
在一些實施例中,於分散阻劑層後,第二溶劑的含量將大於第一溶劑的含量。
在一些實施例中,第二溶劑包括1,6-二乙醯氧基己烷、三(丙二醇)甲基醚、碳酸丙烯酯、三甘醇二甲醚、四(乙二醇)單甲醚、或前述之組合。在另一些實施例中,第一溶劑包括丙二醇甲醚,丙二醇單甲醚乙酸酯,或前述之組合。
在另一些實施例中,本案提供一種方法,包括提供極紫外(EUV)阻劑溶液、在基板上旋轉塗佈EUV阻劑溶液以形成EUV阻劑層、對EUV阻劑層進行預曝光烘烤、曝光EUV阻劑層以形成曝光後EUV阻劑層、對曝光後EUV阻劑層進行曝光後烘烤、以及將曝光後EUV阻劑層進行顯影。在一些實施例中,EUV阻劑溶液包含第一溶劑和第二溶劑,第一溶劑的含量大於第二溶劑的含量。在一些實施例中,旋轉塗佈將蒸發一部分第一溶劑和一部分第二溶劑,使得第二溶劑的剩餘量大於第一溶劑的剩餘量。
在一些實施例中,第一溶劑具有第一蒸氣壓,第二溶劑具有第二蒸氣壓,其中第二蒸氣壓低於第一蒸氣壓。
在一些實施例中,在旋轉塗佈EUV阻劑層前,第二溶劑的量與第一溶劑的量之比率約小於2:3。
在一些實施例中,第二溶劑包括二甘醇二甲醚、六甲基磷酰胺、N-甲基-2-吡咯烷酮、苯甲腈、1,2-二氯苯、N,N-二甲基乙酰胺、2-乙氧基乙基醚、γ-丁內酯或前述之組合。
在一些實施例中,預曝光烘烤完全蒸發第一溶劑,但不完全蒸發第二溶劑。
以上概略說明了本案數個實施例的特徵,使所屬技術領域內具有通常知識者對於本案可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本案實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本案之精神及保護範圍內,且可在不脫離本案之精神及範圍內,當可作更動、替代與潤飾。
100‧‧‧方法
110、120、130、140、150、160、170‧‧‧步驟
200‧‧‧工作元件
202‧‧‧基板
204‧‧‧底層
206‧‧‧中間層
208‧‧‧光阻層
208A‧‧‧阻劑溶液
208B‧‧‧阻劑層
208C‧‧‧烘烤後阻劑層
210‧‧‧基底結構
212‧‧‧曝光區域
214‧‧‧未曝光區域
216‧‧‧輻射(光源)
218‧‧‧光罩
222、224‧‧‧顯影劑
242‧‧‧厚度
250‧‧‧曝光後烘烤
252‧‧‧聚合物
254、256‧‧‧光敏感單元
258、260‧‧‧溶劑成分
300‧‧‧旋轉塗佈系統
302‧‧‧托盤
306‧‧‧假想軸
308‧‧‧噴嘴
310‧‧‧可移動式轉子
本案之各面向可由以下之詳細說明並配合所附圖式來完整了解。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本案的特徵。
第1圖係根據本案一些實施例所繪製之半導體裝置的製造方法流程圖。
第2、3A、4A、5A、6、7、8A以及8B圖係根據本案一些實施例所繪示之半導體裝置在第1圖的製造方法之中間步驟時的剖面示意圖。
第3B、4B以及5B圖係根據本案一些實施例所繪製,分別為第3A、4A以及5A圖中的半導體裝置之部分組成示意圖。
第3C、4C圖係根據本案一些實施例所繪製之用以形成部分半導體裝置的設備剖面示意圖。
第3D以及4D圖係根據本案一些實施例所繪製,分別為第3C圖與第4C圖中之設備的上視示意圖。
100‧‧‧方法
110、120、130、140、150、160、170‧‧‧步驟
Claims (1)
- 一種半導體製程,包括: 提供一光阻溶液,其中該光阻溶液包含一具有第一體積之第一溶劑、以及一具有第二體積之第二溶劑;其中該第一溶劑不同於該第二溶劑,且該第一體積小於該第二體積; 分散該光阻溶液於一基板上以形成一薄膜,其中該分散步驟蒸發一部份的該第一溶劑以及一部份的該第二溶劑,使該第一溶劑的剩餘部分大於該第二溶劑的剩餘部分; 烘烤該薄膜; 在烘烤該薄膜後,將該薄膜進行曝光,形成一已曝光薄膜;以及 將該已曝光薄膜進行顯影。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/102,429 US10768527B2 (en) | 2018-08-13 | 2018-08-13 | Resist solvents for photolithography applications |
US16/102,429 | 2018-08-13 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202009979A true TW202009979A (zh) | 2020-03-01 |
Family
ID=69405018
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108128680A TW202009979A (zh) | 2018-08-13 | 2019-08-13 | 半導體製程 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10768527B2 (zh) |
CN (1) | CN110824845A (zh) |
TW (1) | TW202009979A (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN114628322A (zh) * | 2022-03-14 | 2022-06-14 | 长鑫存储技术有限公司 | 半导体结构的制作方法及半导体结构 |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100685175B1 (ko) * | 2005-10-05 | 2007-02-22 | 삼성전자주식회사 | 포토레지스트 코팅 장치 및 그 방법 |
US8647796B2 (en) | 2011-07-27 | 2014-02-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoactive compound gradient photoresist |
JP6079263B2 (ja) * | 2012-03-07 | 2017-02-15 | Jsr株式会社 | レジスト下層膜形成用組成物及びパターン形成方法 |
US9213234B2 (en) | 2012-06-01 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photosensitive material and method of lithography |
US9256133B2 (en) | 2012-07-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for developing process |
US9028915B2 (en) | 2012-09-04 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a photoresist layer |
US9093530B2 (en) | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US9097972B2 (en) * | 2013-01-29 | 2015-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of applying photoresist to a semiconductor substrate |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
JP6097649B2 (ja) * | 2013-07-17 | 2017-03-15 | 富士フイルム株式会社 | 半導体製造プロセス用レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、及びレジストパターン形成方法、並びに、電子デバイスの製造方法 |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9958779B2 (en) * | 2015-02-13 | 2018-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist additive for outgassing reduction and out-of-band radiation absorption |
US9536759B2 (en) | 2015-05-29 | 2017-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Baking apparatus and method |
US9857684B2 (en) | 2016-03-17 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicon-containing photoresist for lithography |
-
2018
- 2018-08-13 US US16/102,429 patent/US10768527B2/en active Active
-
2019
- 2019-08-13 TW TW108128680A patent/TW202009979A/zh unknown
- 2019-08-13 CN CN201910743530.8A patent/CN110824845A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
US10768527B2 (en) | 2020-09-08 |
US20200050110A1 (en) | 2020-02-13 |
CN110824845A (zh) | 2020-02-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10281819B2 (en) | Silicon-containing photoresist for lithography | |
US12019375B2 (en) | Photosensitive material and method of lithography | |
TWI798185B (zh) | 微影圖案化的方法 | |
JP5698924B2 (ja) | 電子デバイスを形成する方法 | |
CN106325002B (zh) | 光刻技术显影成分及用于光刻技术图案化的方法 | |
JP2011065136A (ja) | 自己整合型スペーサー多重パターニング方法 | |
US10520821B2 (en) | Lithography process with enhanced etch selectivity | |
TW201946107A (zh) | 半導體裝置的形成方法 | |
TWI849282B (zh) | 在光阻層中形成圖案的方法、製造半導體裝置的方法及光阻組成物 | |
TW201937542A (zh) | 半導體裝置結構的形成方法 | |
US11703766B2 (en) | Materials and methods for forming resist bottom layer | |
TWI736961B (zh) | 半導體裝置的製造方法 | |
US20210341844A1 (en) | Lithography method for positive tone development | |
TW202009979A (zh) | 半導體製程 | |
US20090214959A1 (en) | Photoresist compositions and methods related to near field masks | |
TW201918799A (zh) | 半導體結構的形成方法 | |
TWI754801B (zh) | 半導體裝置的製造方法 | |
TW202136913A (zh) | 光阻劑組成物與製造半導體裝置的方法 | |
TWI761987B (zh) | 負光阻及其顯影的方法 | |
TWI734194B (zh) | 半導體裝置的製造方法 | |
TW202411780A (zh) | 製造半導體裝置的方法及光阻劑組成物 | |
TW202136327A (zh) | 製造半導體裝置的方法 |