TW202002206A - 積體電路結構、產生積體電路佈局圖的方法及積體電路佈局圖產生系統 - Google Patents
積體電路結構、產生積體電路佈局圖的方法及積體電路佈局圖產生系統 Download PDFInfo
- Publication number
- TW202002206A TW202002206A TW108105401A TW108105401A TW202002206A TW 202002206 A TW202002206 A TW 202002206A TW 108105401 A TW108105401 A TW 108105401A TW 108105401 A TW108105401 A TW 108105401A TW 202002206 A TW202002206 A TW 202002206A
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- segments
- pitch
- segment
- integrated circuit
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 124
- 238000010586 diagram Methods 0.000 title claims description 49
- 229910052751 metal Inorganic materials 0.000 claims abstract description 696
- 239000002184 metal Substances 0.000 claims abstract description 696
- 238000013461 design Methods 0.000 claims description 58
- 238000003860 storage Methods 0.000 claims description 24
- 238000004590 computer program Methods 0.000 claims description 15
- 239000011295 pitch Substances 0.000 description 207
- 239000010410 layer Substances 0.000 description 152
- 238000004519 manufacturing process Methods 0.000 description 73
- 230000008569 process Effects 0.000 description 24
- 239000004065 semiconductor Substances 0.000 description 24
- 238000002360 preparation method Methods 0.000 description 16
- 230000000670 limiting effect Effects 0.000 description 9
- 230000007423 decrease Effects 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- 238000012545 processing Methods 0.000 description 8
- 239000000758 substrate Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 230000008859 change Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 238000010276 construction Methods 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000010363 phase shift Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000002238 attenuated effect Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000012938 design process Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70433—Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/394—Routing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Theoretical Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Geometry (AREA)
- General Engineering & Computer Science (AREA)
- Evolutionary Computation (AREA)
- Computer Networks & Wireless Communication (AREA)
- Architecture (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
積體電路結構包含:多個第一金屬段,位於第一金屬層中;多個第二金屬段,位於上覆於第一金屬層的第二金屬層中;以及多個第三金屬段,位於上覆於第二金屬層的第三金屬層中。多個第一金屬段及多個第三金屬段中的金屬段在第一方向上延伸,且多個第二金屬段中的金屬段在垂直於第一方向的第二方向上延伸。多個第三金屬段的間距小於多個第二金屬段的間距。
Description
積體電路(integrated circuit;IC)典型地包含IC佈局圖中呈現的數個半導體元件。IC佈局圖是分級式(hierarchical)的,且包含根據半導體元件的設計規格實施較高層級功能的模組。模組往往由多個胞元(cell)的組合建構,所述多個胞元中的每一者相當於經組態以執行特定功能的一或多個半導體結構。
多個胞元典型地具有共同高度,以便於置放至IC佈局圖中。為形成較高層級模組且啟用外部連接,藉由形成於多個上覆金屬層中的內連線結構使胞元及其他IC特徵路由(route)至彼此。胞元置放及內連線佈線是用於IC的整體設計製程的部分。
以下揭露內容提供用於實施所提供主題之不同特徵的許多不同實施例或實例。下文描述組件、值、操作、材料、配置或類似者的特定實例以簡化本發明。當然,此等組件、值、操作、材料、配置或類似者僅為實例且不意欲為限制性的。涵蓋其他組件、值、操作、材料、配置或類似者。舉例而言,在以下描述中,第一特徵在第二特徵上方或在第二特徵上的形成可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本發明可在各種實例中重複附圖標號及/或字母。此重複是出於簡化及清楚的目的,且自身並不指示所論述的各種實施例及/或組態之間的關係。
此外,本文中為易於描述,可使用諸如「在...下方」、「下方」、「下部」、「上方」、「上部」以及類似術語的空間相對術語來描述一個構件或特徵與如圖式中所示出的另一構件或特徵的關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
在各種實施例中,產生用於製造IC結構的IC佈局圖包含配置金屬段的第一層、第二層以及第三層以作為將電連接部路由至胞元的部分以及具有特徵高度(feature height)的其他佈局圖特徵。金屬段的第三層具有沿著特徵高度的方向的間距(pitch),且所述金屬段的第三層的間距小於第二層的間距。與給定層的間距並不小於下部金屬層的間距的方法相比,由於第三層的相對較小間距,佈線彈性增加。與不具有相對較小間距的方法相比,藉由允許更緊密的佈局圖特徵(諸如標準胞元)配置,更大的彈性能夠增大晶片面積的利用率。
圖1是根據一些實施例的產生IC佈局圖的方法100的流程圖。方法100的操作能夠執行為形成IC或IC的一部分(例如下文相對於圖3A至圖3C論述的IC結構300)的方法的部分。在一些實施例中,形成IC是形成一或多個IC元件的部分,所述一或多個IC元件的非限制性實例包含記憶體電路、邏輯元件、處理元件、訊號處理電路以及類似者。
方法100的操作中的一些或全部能夠執行為自動放置及佈線(automated placement and routing;APR)方法的部分,所述自動放置及佈線方法例如藉由APR系統執行的APR方法。在一些實施例中,方法100的操作中的一些或全部執行為下文相對於圖4論述的將連接部路由至胞元的方法400的部分。方法100的操作中的一些或全部能夠執行為設計室中所執行的設計程序的部分,所述設計室例如下文相對於圖6論述的設計室620。
在一些實施例中,方法100中的一些或全部藉由電腦的處理器執行。在一些實施例中,方法100中的一些或全部藉由下文相對於圖5論述的IC佈局圖產生系統500的處理器502執行。
在各種實施例中,以圖1中描繪的次序或以除了圖1中描繪的次序以外的一或多個次序執行100方法的操作。在一些實施例中,在方法100的一或多個操作之前、之間、期間及/或之後執行一或多個額外操作。
圖2A至圖2D是處於在一些實施例中藉由執行方法100的一或多個操作產生IC佈局圖200的各個階段的IC佈局圖200的平面圖的非限制性實例的繪圖。出於清楚之目的簡化IC佈局圖200。在各種實施例中,IC佈局圖200包含除了圖2A至圖2D中所描繪的特徵以外的特徵,例如一或多個電晶體構件、電力軌、隔離結構或類似物。圖2A至圖2D中的每一者進一步描繪水平X方向及垂直Y方向。
圖2E是根據一些實施例的當受到執行方法100的一或多個操作影響時的佈局圖特徵及利用率關係的繪圖。
在操作110處,多個第一金屬段配置在IC佈局圖的第一金屬層中,所述多個第一金屬段在第一方向上具有第一間距。多個第一金屬段中的每一金屬段具有第一方向上的寬度以及垂直於第一方向的第二方向上的長度。在各種實施例中,第一方向為水平的且第二方向為垂直的,或第一方向為垂直的且第二方向為水平的。
第一間距定義一倍數,藉由所述倍數,在第一金屬層中的多個第一金屬段中的多個金屬段在第一方向上對準。在一些實施例中,配置多個第一金屬段以具有第一間距包含將每一金屬段的中心定在具有第一間距的軌道上。藉此,每一金屬段的寬度藉由軌道劃分成兩半。
在一些實施例中,配置多個第一金屬段以具有第一間距包含在無需將每一金屬段的中心定在軌道上的情況下基於沿著多個金屬段的寬度的多個共同點在第一方向上對準多個金屬段。
藉此,多個軌道或多個共同點沿第一方向定義多個位置,多個金屬段可能定位在所述多個位置處。在各種實施例中,配置多個第一金屬段以具有第一間距包含沿著第一方向將至少一個金屬段安置在每一可能的位置處,或沿著第一方向忽略一或多個可能的位置來安置金屬段。
在各種實施例中,多個第一金屬段中的每一金屬段具有相同寬度,或多個第一金屬段中的一或多個金屬段具有與多個第一金屬段中的一或多個其他金屬段的一或多個寬度不同的一或多個寬度。
在各種實施例中,多個第一金屬段中的每一金屬段具有相同長度,或多個第一金屬段中的一或多個金屬段具有與多個第一金屬段中的一或多個其他金屬段的一或多個長度不同的一或多個長度。
每一金屬段沿著第一端部與第二端部之間的長度延伸。配置多個第一金屬段包含以下的一或兩者:使兩個或大於兩個金屬段在第一方向上共同地(coextensively)對準,或者使兩個或大於兩個金屬段在第一方向上非共同地對準中。金屬段被視為藉由與在第一方向上延伸的線相交而在第一方向上共同地對準。
每一金屬段沿第一側與第二側之間的寬度延伸。配置多個第一金屬段包含以下的一或兩者:使兩個或大於兩個金屬段在第二方向上共同地對準,或者使兩個或大於兩個金屬段在第二方向上非共同地對準中。金屬段被視為藉由與在第二方向上延伸的線相交而在第二方向上共同地對準。
第一金屬層定義用於基於IC佈局圖製造IC結構的製程的一或多個層中的特徵,使得將一或多個層製造為上覆於由IC佈局圖的一或多個下部層中的佈局圖特徵定義的各種半導體元件。
配置多個第一金屬段包含多個第一金屬段中的至少一個金屬段交疊IC佈局圖中的佈局圖特徵。佈局圖特徵包含一或多個IC組件,所述一或多個IC組件配置於在第一方向上具有高度以及在第二方向上具有寬度的面積中。
在各種實施例中,佈局圖特徵是胞元的佈局圖,所述胞元諸如標準胞元、工程變更命令(engineering change order;ECO)胞元、邏輯胞元、記憶體胞元,或自定義胞元(custom cell)、胞元的組合、電容結構,或其他IC結構或與具有第一方向上的高度以及第二方向上的寬度的面積相對應的結構的組合。
配置多個第一金屬段是在給定佈局圖特徵與一或多個額外佈局圖特徵及/或其他IC結構構件之間製作的電連接的部分。因此,交疊於給定佈局圖特徵的多個第一金屬段中的金屬段的數目受需要待製作至給定佈局圖特徵及/或自所述給定佈局圖特徵的電連接部的數目影響。
能夠交疊於給定佈局圖特徵的金屬段的數目繼而受佈局圖特徵的高度與第一間距之比例的影響。較大的比例與能夠交疊給定佈局圖特徵的金屬段的相對較大數目相對應,且較小的比例與能夠交疊給定佈局圖特徵的金屬段的相對較小數目相對應。
在一些實施例中,佈局圖特徵的高度與第一間距之比例為等於或小於五,藉此能夠交疊於給定佈局圖特徵的金屬段的數目相對於佈局圖特徵的高度與第一間距之比例為大於五的IC佈局圖是較小的。
佈局圖特徵的高度與第一間距之比例的減小以及能夠交疊於給定佈局圖的金屬段的數目的相應減小在製作至佈局圖特徵的電連接部/自佈局圖特徵的電連接部時限制佈線選項,如下文相對於圖2E作進一步論述。
在一些實施例中,佈局圖特徵是多個佈局圖特徵中的一個佈局圖特徵,且在第一金屬層中配置多個第一金屬段包含使多個第一金屬段中的至少一個金屬段交疊多個佈局圖特徵中的每一佈局圖特徵。
在一些實施例中,多個佈局圖特徵中的每一佈局圖特徵需要相應的電連接部數目,且每一佈局圖特徵的高度與第一間距之比例的減小在製作至多個佈局圖特徵的電連接部/自多個佈局圖特徵的電連接部時限制佈線選項。在一些實施例中,配置多個第一金屬段包含配置金屬段以定義用於基於IC佈局圖製造IC結構的製程的金屬二(metal two)層的部分。在各種實施例中,配置多個第一金屬段包含配置至少一個金屬二段以交疊下述者中的一或多者:一或多個佈局圖特徵的金屬一(metal one)段、金屬零(metal zero)段、多晶矽(poly)區域、閘極結構或源極區或汲極區。
在一些實施例中,配置多個第一金屬段包含將通孔或接點中的一或多者安置在多個第一金屬段中的一或多個金屬段下方。安置通孔或接點包含使得通孔或接點佔據金屬段交疊於佈局圖特徵的面積中的一些或全部。在一些實施例中,安置通孔或接點包含使得通孔或接點佔據金屬段交疊於下述者的面積中的一些或全部:佈局圖特徵的金屬一段、金屬零段、多晶矽區域、閘極結構或源極區或汲極區。在各種實施例中,安置通孔或接點包含在金屬段交疊於佈局圖特徵的面積中安置例如狹槽通孔(slot via)的單一通孔、單一接點或多個通孔或接點。
在一些實施例中,配置多個第一金屬段包含配置多個金屬段以定義金屬層的在IC製程的金屬二層的上方的部分。在各種實施例中,佈局圖特徵是金屬層的在第一金屬層下方的金屬段,且金屬層的在第一金屬層下方的多個金屬段具有小於、等於或大於第一間距的間距。
圖2A描繪根據一些實施例的在IC佈局圖200的第一層中配置多個第一金屬段220的非限制性實例。每一金屬段220具有沿X方向的長度,沿Y方向的寬度,且交疊於胞元210A或胞元210B中的一或兩者,所述胞元210A或所述胞元210B中的每一者具有Y方向上的胞元高度CH。胞元210A及胞元210B中的每一者包含金屬部分222以及出於清楚之目的並未在圖2A中描繪的一或多個額外特徵。
在各種實施例中,胞元210A或胞元210B中的一或兩者是下述者的佈局圖:標準胞元、自定義胞元、工程變更命令(ECO)胞元、邏輯閘胞元、記憶體胞元,或其他類型的胞元或能夠定義於IC佈局圖中的胞元的組合。在各種實施例中,邏輯閘胞元包含下述者中的一或多者的佈局圖:及(AND)、或(OR)、反及(NAND)、反或(NOR)、互斥或(XOR)、反相(INV)、及或反相(AND-OR-Invert;AOI)、或及反相(OR-AND-Invert;OAI)、多工器(MUX)、正反器(Flip-flop)、緩衝器(BUFF)、鎖存器(Latch)、延遲(delay)或時脈元件。在各種實施例中,記憶體胞元包含下述者中的一或多者的佈局圖:靜態隨機存取記憶體(static random access memory;SRAM)、動態RAM(dynamic RAM;DRAM)、電阻式RAM(resistive RAM;RRAM)、磁阻式RAM(magnetoresistive RAM;MRAM)或唯讀記憶體(read only memory;ROM)胞元,或能夠具有表示邏輯值的多個狀態的其他元件。
在圖2A中所描繪的實施例中,配置金屬段220包含使金屬段220的中心定於在Y方向上各自具有間距M2P的軌道T21至軌道T25上。在不同的實施例中,相對於軌道T21至軌道T25中的一者來配置每一金屬段220包含將沿著寬度的一個給定點(例如為頂部邊緣或底部邊緣)來與軌道T21至軌道T25中的對應一者對準,而非將沿著中心的一個給定點來與軌道T21至軌道T25中的對應一者對準。
胞元高度CH與間距M2P之比例等於五。因此,能夠交疊於胞元210A或胞元210B中的一者的金屬段220的數目小於能夠交疊於胞元高度與第一間距之比例大於五的IC佈局圖中的胞元的金屬段的數目,且能夠交疊於胞元210A或胞元210B中的一者的金屬段220的數目大於能夠交疊於胞元高度與第一間距之比例小於五的IC佈局圖中的胞元的金屬段的數目。
在圖2A中所描繪的實施例中,配置金屬段220包含不將金屬段220交疊於胞元210A或胞元210B的頂部邊緣或底部邊緣。在不同的實施例中,一或多個金屬段220交疊胞元210A或胞元210B中的一或兩者的一或多個頂部邊緣或底部邊緣。
每一金屬段220定義了IC佈局圖200中的金屬二層的一部分且上覆於通孔220V。每一通孔220V定義了胞元210A或胞元210B中的一者中的自金屬段220至金屬部分222的導電路徑。在各種實施例中,給定的金屬部分222定義了胞元210A或胞元210B中的一者中的金屬一層或金屬零層的一部分。
在操作120處,多個第二金屬段配置在IC佈局圖的第二金屬層中,所述多個第二金屬段在第二方向上具有第二間距。多個第二金屬段中的每一金屬段具有第二方向上的寬度以及第一方向上的長度。
第二金屬層定義了用於基於IC佈局圖製造IC結構的製程的一或多個層中的特徵,使得將一或多個層製造為上覆於IC佈局圖的第一金屬層。
第二間距定義一倍數,藉由所述倍數,在第二金屬層中的多個第二金屬段中的多個金屬段在第二方向上對準。以上文所論述的用於在IC佈局圖的第一金屬層中配置多個第一金屬段以在第一方向上具有第一間距的方式來執行在IC佈局圖的第二金屬層中配置多個第二金屬段以在第二方向上具有第二間距。
在不同的實施例中,在第二金屬層中配置多個第二金屬段包含配置金屬段以定義IC製程的金屬三(metal three)層的部分或以定義在IC製程的金屬三層上方的金屬層的部分。
在各種實施例中,第二間距小於、等於或大於第一間距。
圖2B描繪根據一些實施例的在IC佈局圖200的第二層中配置多個第二金屬段230的非限制性實例。每一金屬段230具有沿Y方向的長度、沿X方向的寬度,且交疊於一或多個金屬段220。
在圖2B中所描繪的實施例中,配置金屬段230包含使金屬段230的中心定於在X方向上具有間距M3P的軌道T31至軌道T35上。在不同的實施例中,相對於軌道T31至軌道T35中的一者來配置每一金屬段230包含將沿著寬度的一個給定點(例如左邊緣或右邊緣)來與軌道T31至軌道T35中的對應一者對準,而非將沿著中心的一個給定點來與軌道T31至軌道T35中的對應一者對準。
每一金屬段230定義了IC佈局圖200中的金屬三層的一部分且上覆於通孔230V。每一通孔230V定義了自金屬段230至金屬段220的導電路徑。
在操作130處,多個第三金屬段配置在IC佈局圖的第三金屬層中,所述多個第三金屬段在第一方向上具有第三間距。多個第三金屬段中的每一金屬段具有第一方向上的寬度以及第二方向上的長度。
第三金屬層定義了用於基於IC佈局圖製造IC結構的製程的一或多個層中的特徵,使得將一或多個層製造為上覆於IC佈局圖的第二金屬層。
第三間距定義一倍數,藉由所述倍數,在第三金屬層中的多個第三金屬段中的多個金屬段在第一方向上對準。以上文所論述的用於在IC佈局圖的第一金屬層中配置多個第一金屬段以在第一方向上具有第一間距的方式來執行在IC佈局圖的第三金屬層中配置多個第三金屬段以在第一方向上具有第三間距。
在不同的實施例中,在第三金屬層中配置多個第三金屬段包含配置金屬段以定義IC製程的金屬四(metal four)層的部分或以定義在IC製程的金屬四層上方的金屬層的部分。
第三間距小於第二間距。因此,基於包含操作130的方法100所製造的IC結構(例如下文相對於圖3A至圖3C論述的IC結構300)包含第三金屬層中的多個金屬段,所述第三金屬層中的多個金屬段所具有的間距小於第二金屬層中的多個金屬段的間距。
在一些實施例中,在第三金屬層中配置多個第三金屬段包含使用一或多個罩幕(例如於下文中相對於圖6所論述的一或多個罩幕645)以定義具有第三間距的第三金屬層中的全部金屬段。在一些實施例中,在第三金屬層中配置多個第三金屬段包含使用一或多個罩幕以定義具有第三間距的第三金屬層中的多個金屬段的第一子集,以及使用一或多個額外罩幕以定義具有大於及/或小於第三間距的一或多個額外間距的第三金屬層中的多個金屬段的一或多個額外子集。
藉由配置具有小於第二間距的第三間距的第三金屬層中的多個第三金屬段,至一或多個IC佈局圖特徵的電連接部的佈線比其中間距並不小於下部金屬層的間距的方法中的電連接部的佈線更加有彈性。
由於第三間距小於第二間距,因此第二間距與第三間距之比例具有高於1.0的值。對於比例接近於1.0,佈線彈性隨著比值增大而增加。比例的額外增大需要第二間距的增大或第三間距的減小中的至少一者。
隨著第二間距增大,由於定義電連接部的一或多個IC佈局圖特徵的受限大小,佈線彈性從而減小。隨著第三間距減小,多個第三金屬段的寄生電阻及/或電容增大,使得愈來愈難以滿足例如訊號傳播速度或熱量產生的各種設計標準。
由於對使第二間距增大以及使第三間距減小中的每一者的限制,使比例增大以便使佈線彈性增加將受到限制。在一些實施例中,第二間距與第三間距之比例具有介於1.1至1.5範圍內的值。在一些實施例中,第二間距與第三間距之比例為大於或等於1.25。
在各種實施例中,第三間距小於、等於或大於第一間距。
圖2C描繪根據一些實施例的在IC佈局圖200的第三層中配置多個第三金屬段240的非限制性實例。每一金屬段240具有沿X方向的長度、沿Y方向的寬度,且交疊於一或多個金屬段230。
在圖2C中所描繪的實施例中,配置金屬段240包含使金屬段240的中心定於在Y方向上具有間距M4P的軌道T41至軌道T45上。在不同的實施例中,相對於軌道T41至軌道T45中的一者來配置每一金屬段240包含將沿著寬度的一個給定點(例如頂部邊緣或底部邊緣)來與軌道T41至軌道T45中的對應一者對準,而非將沿著中心的一個給定點來與軌道T41至軌道T45中的對應一者對準。根據上文相對於第二間距及第三間距論述,間距M3P與間距M4P之比例等於1.25。
每一金屬段240定義了IC佈局圖200中的金屬四層的一部分且上覆於通孔240V。每一通孔240V定義了自金屬段240至金屬段230的導電路徑。
在操作140處,在一些實施例中,多個第四金屬段配置在IC佈局圖的第四金屬層中,所述多個第四金屬段在第二方向上具有第四間距。多個第四金屬段中的每一金屬段具有第二方向上的寬度以及第一方向上的長度。
第四金屬層定義了用於基於IC佈局圖製造IC結構的製程的一或多個層中的特徵,使得將一或多個層製造為上覆於IC佈局圖的第三金屬層。
第四間距定義一倍數,藉由所述倍數,在第四金屬層中的多個第四金屬段中的多個金屬段在第二方向上對準。以上文所論述的用於在IC佈局圖的第一金屬層中配置多個第一金屬段以在第一方向上具有第一間距的方式來執行在IC佈局圖的第四金屬層中配置多個第四金屬段以在第二方向上具有第四間距。
在不同的實施例中,在第四金屬層中配置多個第四金屬段包含配置金屬段以定義IC製程的金屬五(metal five)層的部分或以定義在IC製程的金屬五層上方的金屬層的部分。
第四間距是大於第三間距。在一些實施例中,第四間距與第三間距之比例為大於或等於1.3。在各種實施例中,第四間距小於、等於或大於第一間距或第二間距中的一或兩者。
在一些實施例中,第一金屬層、第二金屬層、第三金屬層以及第四金屬層是IC佈局圖的主要佈線層的金屬層。在一些實施例中,主要佈線層包含介於十至十五的範圍內的金屬層數目。在一些實施例中,主要佈線層包含十二個金屬層。
在一些實施例中,除第三間距小於第二間距之外,主要佈線層的每一金屬層所具有的間距大於或等於每一下伏金屬層的間距。
圖2D描繪根據一些實施例的在IC佈局圖200的第四層中配置多個第四金屬段250的非限制性實例。每一金屬段250具有沿Y方向的長度、沿X方向的寬度,且交疊於一或多個金屬段240。
在圖2D中所描繪的實施例中,配置金屬段250包含使金屬段250的中心定於在X方向上具有間距M5P的軌道T51至軌道T55上。在不同的實施例中,相對於軌道T51至軌道T55中的一者來配置每一金屬段250包含將沿著寬度的一個給定點(例如左邊緣或右邊緣)來與軌道T51至軌道T55中的對應一者對準,而非將沿著中心的一個給定點來與軌道T51至軌道T55中的對應一者對準。間距MP5大於間距M2P、間距M3P以及間距M4P中的每一者。
每一金屬段250定義了IC佈局圖200中的金屬五層的一部分且上覆於通孔250V。每一通孔250V定義了自金屬段250至金屬段250的導電路徑。
在操作150處,在一些實施例中,基於IC佈局圖產生IC佈局檔案。在一些實施例中,產生IC佈局檔案包含基於上文相對於圖2A至圖2D論述的IC佈局圖200產生IC佈局檔案。
在一些實施例中,產生IC佈局檔案包含基於於下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個佈局圖520來產生IC佈局檔案。
在一些實施例中,產生IC佈局檔案包含產生可藉由作為IC製造流程的部分的IC製造系統(例如IC製造系統600)來使用的含有資料的一或多個電子檔案(例如IC設計佈局圖622),各自於下文中相對於圖6論述。
在操作150處,在一些實施例中,基於IC佈局圖產生罩幕集合。罩幕集合可用於製造一或多個IC結構。在各種實施例中,產生罩幕集合包含執行一或多個額外製造操作,所述一或多個額外製造操作的非限制性實例包含產生表示IC佈局圖的一或多個檔案、將一或多個檔案儲存在儲存元件或資料庫中,或經由網路傳輸一或多個檔案。
在各種實施例中,產生罩幕集合包含使用於下文中相對於圖5所論述的IC佈局圖產生系統500的處理器502來執行一或多個製造操作。
在各種實施例中,產生罩幕集合包含藉由IC製造系統將一或多個製造操作執行為IC製造流程的部分,例如於下文中相對於圖6所論述的IC製造系統600。在各種實施例中,產生罩幕集合是製造IC結構的部分,所述IC結構例如為於下文中相對於圖3A至圖3C所論述的IC結構300,其中藉由包含小於第二間距的第三間距來配置多個金屬段。
在圖2E中,x軸上展示於上文中相對於操作110所論述的佈局圖特徵高度與第一間距之比例的值,且y軸上展示利用率值。
利用率是給定電路的佈局圖相對於可用空間的效率的量化表示。在圖2E中所描繪的實施例中,基於代表佈局圖特徵使用情況的第一面積以及代表總可用空間的第二面積來將利用率表示為百分比。在不同的實施例中,以能夠表示佈局圖效率的另一形式(例如不同的百分比或標準化(normalized)值)來表示利用率。
在各種實施例中,第一面積是由給定電路的佈局圖特徵(例如胞元210A及/或胞元210B)中的一些或全部所佔據的面積的總計,且第二面積是給定電路所定位的IC元件的特定區段(例如中心區段)中的一些或全部的可用空間。
圖2E描繪根據一些實施例的比例與利用率值之間的兩個關係,關係R1及關係R2。如於上文中相對於操作110所論述,隨著比例減小,能夠交疊於給定佈局圖特徵的多個第一金屬段中的金屬段的數目減小,且用於路由至佈局圖特徵/自佈局圖特徵的電連接部的選項受到限制。因此,關係R1及關係R2中的每一者具有正斜率,表示利用率隨著比例減小而減小且隨著比例增大而增大。
在關係R1中,為3的比例與利用率值UT1相對應,且為7的比例與利用率值UT3相對應。在關係R2中,為3的比例與大於利用率值UT1的利用率值UT2相對應,且為7的比例與大於利用率值UT3的利用率值UT4相對應。
關係R2表示多個第三金屬段所具有的間距小於根據執行方法100的一或多個操作的多個第二金屬段的間距的情況。在一些實施例中,第二間距大於或等於第三間距的1.25倍,如上文中相對於操作130所論述。關係R1表示多個第三金屬段所具有的間距大於多個第二金屬段的間距的方法。
如圖2E中所描繪,對於佈局圖特徵高度與第一間距的給定比例,基於關係R2的利用率大於基於關係R1的利用率,反映出根據執行方法100的一或多個操作,增大的佈線彈性基於多個第三金屬段所具有的間距小於多個第二金屬段的間距。
在圖2E中所描繪的實施例中,關係R2相對於關係R1的利用率增加量隨著比例減小而增大,反映出當佈局圖特徵高度相對於第一間距減小時,顯著性增加。
在一些實施例中,對於佈局圖特徵高度與第一間距的給定比,例如3或7,關係R2相對於關係R1的利用率增加量(例如UT4-UT3或UT2-UT1),具有介於1%至5%範圍內的值。在一些實施例中,對於佈局圖特徵高度與第一間距的給定比,關係R2相對於關係R1的利用率增加量具有介於2%至4%範圍內的值。
藉由執行方法100的操作,產生例如IC佈局圖200的IC佈局圖,其中多個第三金屬段所具有的間距小於多個第二金屬段的間距。由於相對較小的間距,相較於間距並不小於下部金屬層的間距的方法中的多個金屬段,多個第三金屬段能夠具有更大佈線彈性。與不具有相對較小的間距的方法相比,藉由允許更緊密的佈局圖特徵(諸如標準胞元)配置,更大的彈性能夠增大晶片面積的利用率。
當胞元高度相對於上覆於IC佈局圖中的胞元的第一金屬層的間距變得更小時,晶片面積利用率的佈線彈性的作用增加。因此,相對更大彈性及增加的利用率的益處在佈局圖中尤其重要,佈局圖中相對更小間距處於胞元的高度的方向且佈局圖中胞元高度限於第一金屬層的間距的五倍。
與主要佈線層包含其中第三間距並不小於第二間距的金屬層的方法相比,在主要佈線層包含其中第三間距小於第二間距的金屬層的實施例中,增加的佈線彈性亦能夠在設計規則檢查(例如下文相對於方法400及圖4論述的操作460)期間減少違規。在一些實施例中,與主要佈線層包含其中第三間距並不小於第二間距的金屬層相比,對於主要佈線層包含其中第三間距小於第二間距的金屬層,設計規則檢查違規的數目減少了多達十分之一。
圖3A至圖3C是根據一些實施例的IC結構300的圖。IC結構300是藉由執行本文中相對於圖1及圖4所論述的方法100及方法400的操作中的一些或全部而形成的IC結構的非限制性實例。在不同的實施例中,藉由執行方法100及方法400的操作中的一些或全部形成的IC結構除了包含圖3A至圖3C中所描繪的特徵以外,亦包含圖3A至圖3C中所描繪的特徵的子集,且包含具有與圖3A至圖3C中所描繪的特徵不同的組態的特徵。
出於清楚之目的簡化圖3A至圖3C中的IC結構300的繪圖,其中包含及不包含各種特徵以便於下文中論述。圖3A描繪IC結構300的平面圖,以及方向X及方向Y。圖3B描繪IC結構300的沿圖3A中的線A-A'的截面圖、方向Y以及方向Z。圖3C描繪IC結構300的沿圖3A中的線B-B'的截面圖,以及方向X及方向Z。
IC結構300包含:IC特徵310、上覆於IC特徵310的金屬段320A及金屬段320B、上覆於金屬段320A及金屬段320B的金屬段330A及金屬段330B、上覆於金屬段320A及金屬段330B的金屬段340A及金屬段340B、上覆於金屬段340A及金屬段340B的金屬段350A,以及上覆於金屬段340B的金屬段350B。
IC特徵310與上文中相對於方法100及圖1及圖2A所論述的IC特徵相對應,具有Y方向上的高度310H,且包含導電構件312。在各種實施例中,IC特徵310包含一或多個半導體或其他IC結構(未示出),且導電構件312經組態以將電連接提供至一或多個半導體或其他IC結構。
在圖3A中所描繪的實施例中,導電構件312是安置於金屬一層中的單一金屬段。在不同的實施例中,導電構件312是安置於IC特徵310的金屬零層或IC特徵310中的多晶矽或主動區中的金屬段。
在一些實施例中,導電構件312是IC特徵310中的多個導電構件中的一個導電構件。在不同的實施例中,IC特徵310中的多個導電構件包含安置於IC特徵310中的金屬一層、金屬零層或多晶矽或主動區中的一或多者中的一或多個導電構件。
在圖3A中所描繪的實施例中,導電構件312具有矩形形狀及Y方向上的長度。在不同的實施例中,導電構件312具有X方向上的長度或具有除了矩形形狀以外的形狀,例如正方形或L形狀。
金屬段320A及金屬段320B在Y方向上具有間距M2P。在圖3A及圖3B中所描繪的實施例中,金屬段320A及金屬段320B具有相同寬度,且具有與沿金屬段320A及金屬段320B的寬度的第一邊緣相對應的間距M2P。在不同的實施例中,間距M2P與沿第一邊緣以外的金屬段320A及金屬段320B的寬度的點相對應,及/或金屬段320A具有與金屬段320B的寬度不同的寬度。
在圖3A及圖3B中所描繪的實施例中,金屬段320A及金屬段320B皆上覆於IC特徵310,安置於金屬二層中,且在Y方向上具有間隔(spacing),所述間隔對應於由間距M2P所確定的最小間隔。在不同的實施例中,金屬段320A或金屬段320B中的一者並不上覆於IC特徵310,金屬段320A及金屬段320B安置於除了金屬二層以外的金屬層中,及/或金屬段320A及金屬段320B在Y方向上具有由間距M2P所確定的間隔,但對應的間隔並非由間距M2P所確定的最小間隔。
金屬段330A及金屬段330B在X方向上具有間距M3P。在圖3A及圖3C中所描繪的實施例中,金屬段330A及金屬段330B具有相同寬度,且具有與沿金屬段330A及金屬段330B的寬度的第一邊緣相對應的間距M3P。在不同的實施例中,間距M3P與沿第一邊緣以外的金屬段330A及金屬段330B的寬度的點相對應,及/或金屬段330A具有與金屬段330B的寬度不同的寬度。
在圖3A至圖3C中所描繪的實施例中,金屬段330A及金屬段330B皆上覆於金屬段320A及金屬段320B,安置於金屬三層中,且在X方向上具有間隔,所述間隔對應於由間距M3P所確定的最小間隔。在不同的實施例中,金屬段330A或金屬段330B中的一者並不上覆於金屬段320A或金屬段320B中的一或兩者,金屬段330A及金屬段330B安置於除了金屬三層以外的金屬層中,及/或金屬段330A及金屬段330B在X方向上具有藉由間距M3P所確定的間隔,但對應的間隔並非由間距M3P所確定的最小間隔。
如圖3B中所描繪,金屬段330B藉由通孔332BA電性連接至金屬段320A,且藉由通孔332BB電性連接至金屬段320B。在一些實施例中,IC結構300並不包含通孔332BA或通孔332BB中的一者,且金屬段330B僅電性連接至金屬段320A或金屬段320B中的一者。
金屬段340A及金屬段340B在Y方向上具有間距M4P。在圖3A及圖3B中所描繪的實施例中,金屬段340A及金屬段340B具有相同寬度,且具有與沿金屬段340A及金屬段340B的寬度的第一邊緣相對應的間距M4P。在不同的實施例中,間距M4P與沿第一邊緣以外的金屬段340A及金屬段340B的寬度的點相對應,及/或金屬段340A具有與金屬段340B的寬度不同的寬度。
在圖3A至圖3C中所描繪的實施例中,金屬段340A及金屬段340B皆上覆於金屬段330A及金屬段320B,安置於金屬四層中,且在Y方向上具有間隔,所述間隔對應於由間距M4P所確定的最小間隔。在不同的實施例中,金屬段340A或金屬段340B中的一者並不上覆於金屬段330A或金屬段330B中的一或兩者,金屬段340A及金屬段340B安置於除了金屬四層以外的金屬層中,及/或金屬段340A及金屬段340B在Y方向上具有由間距M4P所確定的間隔,但對應的間隔並非由間距M4P所確定的最小間隔。
如圖3B及圖3C中所描繪,金屬段340A藉由通孔342AB電性連接至金屬段330B,且金屬段340B藉由通孔342BA電性連接至金屬段330A且藉由通孔342BB電性連接至金屬段330B。在一些實施例中,IC結構300並不包含通孔342AB,且金屬段340A並不電性連接至金屬段330B。在一些實施例中,IC結構300並不包含通孔342BA或通孔342BB中的一者,且金屬段340B僅電性連接至金屬段330A或金屬段330B中的一者。
金屬段350A及金屬段350B在X方向上具有間距M5P。在圖3A及圖3C中所描繪的實施例中,金屬段350A及金屬段350B具有相同寬度,且具有與沿金屬段350A及金屬段350B的寬度的第一邊緣相對應的間距M5P。在各種實施例中,間距M5P與沿第一邊緣以外的金屬段350A及金屬段350B的寬度的點相對應,及/或金屬段350A具有與金屬段350B的寬度不同的寬度。
在圖3A及圖3C中所描繪的實施例中,金屬段350A上覆於金屬段340A及金屬段340B,金屬段350B上覆於金屬段340A,金屬段350A及金屬段350B安置於金屬五層中,且在X方向上具有間隔,所述間隔對應於由間距M5P所確定的最小間隔。在不同的實施例中,金屬段350A或金屬段350B中的一者並不上覆於金屬段340A或金屬段340B中的一或兩者,金屬段350A及金屬段350B安置於除了金屬五層以外的金屬層中,及/或金屬段350A及金屬段350B在X方向上具有藉由間距M5P所確定的間隔,但對應的間隔並非由間距M5P所確定的最小間隔。
如圖3C中所描繪,金屬段350A藉由通孔352AB電性連接至金屬段340B,且金屬段350B藉由通孔352BB電性連接至金屬段340B。在一些實施例中,IC結構300並不包含通孔352AB或通孔352BB中的一者,且僅金屬段350A或金屬段350B中的一者電性連接至金屬段340B。
在圖3A至圖3C中所描繪的實施例中,通孔332BA、通孔332BB、通孔342AB、通孔342BA、通孔342BB、通孔352AB以及通孔352BB中的每一者在X方向及Y方向上具有等於或小於上伏金屬段或下伏金屬段的相應尺寸的尺寸。在不同的實施例中,通孔332BA、通孔332BB、通孔342AB、通孔342BA、通孔342BB、通孔352AB或通孔352BB中的一或多者經組態為狹槽通孔,藉此在X方向及Y方向上具有大於上伏金屬段或下伏金屬段的相應尺寸的一或多個尺寸。
間距M4P小於間距M3P。在一些實施例中,根據上文中相對於方法100的操作130及圖1所論述,間距M3P與間距M4P之比例大於或等於1.25。
間距M2P小於間距M3P、間距M4P以及間距M5P中的每一者。在一些實施例中,間距M5P大於間距M3P。
在各種實施例中,IC結構300包含在金屬段350A及金屬段350B所安置的金屬層上方的金屬層中的一或多個金屬段(未示出),且一或多個金屬段在X方向及/或Y方向上具有大於間距M5P的間距。
藉由執行本文中相對於圖1及圖4所論述的方法100及/或方法400的操作中的一些或全部製造,具有小於間距M3P的間距M4P的IC結構300能夠實現上文中相對於方法100論述的優點。
圖4是根據一些實施例的將連接部路由至胞元的方法400的流程圖。方法400的操作能夠被執行以作為形成IC結構(例如上文中相對於圖3A至圖3C所論述的IC結構300)的方法的部分。在一些實施例中,形成IC結構是形成一或多個半導體元件的部分,所述一或多個半導體元件的非限制性實例包含記憶體電路、邏輯元件、處理元件、訊號處理電路以及類似者。
在一些實施例中,方法400中的一些或全部藉由電腦的處理器執行。在一些實施例中,方法400中的一些或全部藉由下文中相對於圖5所論述的IC佈局圖產生系統500的處理器502執行。
方法400的操作中的一些或全部能夠執行為設計室中所執行的設計程式的部分,所述設計室例如下文中相對於圖6所論述的設計室620。
方法400的操作中的一些或全部能夠執行為APR方法的部分,所述APR方法例如是藉由APR系統執行的APR方法。在各種實施例中,APR方法包含構造演算法(constructive algorithm)、迭代演算法(iterative algorithm)或整合演算法(integrated algorithm)中的一者或組合。
在構造演算法中,在逐一胞元(cell-by-cell)基礎上執行放置及佈線(placing and routing)的操作。在IC佈局圖已經更新以包含給定胞元的放置及其相關聯佈線連接之後,額外佈局圖修正包含額外胞元的放置及其相關聯佈線連接。
在迭代演算法中,包含多個胞元及相關聯的佈線連接的初始IC佈局圖基於電路性能(circuit performance)及折衷標準(trade-off criteria)被迭代地分析且修正。
在整合演算法中,在IC佈局圖經修正以包含給定胞元的放置及/或其佈線連接時,應用電路性能及折衷標準。
在各種實施例中,以圖4中描繪的次序或以除了圖4中描繪的次序以外的一或多個次序執行方法400的操作。在一些實施例中,在方法400的一或多個操作之前、之間、期間及/或之後執行一或多個額外操作。
在操作410處,在一些實施例中,胞元置放於IC佈局圖中。在各種實施例中,將胞元置放於IC佈局圖中包含置放標準胞元、工程變更命令(ECO)胞元、邏輯胞元、記憶體胞元、自定義胞元或胞元的組合。在一些實施例中,將胞元置放於IC佈局圖中包含置放上文中相對於圖1及圖2A所論述的IC佈局圖200中的胞元210A或胞元210B中的一者。
胞元在第一方向上具有胞元高度。在一些實施例中,胞元是多個胞元中的一個胞元,且多個胞元中的每一胞元具有胞元高度。在一些實施例中,胞元是多個胞元中的一個胞元,且多個胞元中的一或多個胞元具有與胞元高度不同的高度。
在操作420處,藉由沿著多個第一軌道安置金屬二段,以將金屬二段路由至胞元,所述多個第一軌道在第一方向上具有第一間距。在一些實施例中,將金屬二段路由至胞元包含使胞元與金屬二段交疊。
在一些實施例中,根據上文中相對於方法100以及圖1及圖2E所論述,胞元高度與第一間距之比例為等於或小於五。在一些實施例中,第一間距是下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個間距522中的第一間距。
將金屬二段路由至胞元包含在IC製造製程的金屬二層中形成圖案。在各種實施例中,將金屬二段路由至胞元包含在胞元與金屬二段之間形成一或多個額外圖案,所述一或多個額外圖案經組態以形成一或多個電連接部,例如通孔、狹槽通孔、接點或金屬一段或金屬零段。
在一些實施例中,胞元是多個胞元中的一個胞元,金屬二段是多個金屬二段中的一個金屬二段,且將金屬二段路由至胞元包含將多個金屬二段中的每一金屬二段路由至多個胞元中的相應胞元。
在一些實施例中,將金屬二段路由至胞元包含配置上文相對於圖1及圖2A論述的IC佈局圖200中的金屬段220。
在操作430處,藉由沿著多個第二軌道安置金屬三段,以將金屬三段路由至金屬二段,所述多個第二軌道在垂直於第一方向的第二方向上具有第二間距。在一些實施例中,將金屬三段路由至金屬二段包含使金屬二段與金屬三段交疊。
在一些實施例中,第二間距是下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個間距522中的第二間距。
將金屬三段路由至金屬二段包含在IC製造製程的金屬三層中形成圖案。在各種實施例中,將金屬三段路由至金屬二段包含在金屬二段與金屬三段之間形成一或多個額外圖案,所述一或多個額外圖案經組態以形成一或多個電連接部,例如通孔或狹槽通孔。
在一些實施例中,金屬二段是多個金屬二段中的一個金屬二段,金屬三段是多個金屬三段中的一個金屬三段,且將金屬三段路由至金屬二段包含將多個金屬三段中的每一金屬三段路由至多個金屬二段中的相應金屬二段。
在一些實施例中,將金屬三段路由至金屬二段包含配置上文中相對於圖1及圖2B所論述的IC佈局圖200中的金屬段230。
在操作440處,藉由沿著多個第三軌道安置金屬四段,以將金屬四段路由至金屬三段,所述多個第三軌道在第一方向上具有第三間距。在一些實施例中,將金屬四段路由至金屬三段包含使金屬三段與金屬四段交疊。
第三間距小於第二間距。在一些實施例中,根據上文中相對於方法100的操作130及圖1所論述,第二間距與第三間距之比例為大於或等於1.25。在不同的實施例中,第三間距小於、等於或大於第一間距。在一些實施例中,第三間距是下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個間距522中的第三間距。
將金屬四段路由至金屬三段包含在IC製造製程的金屬四層中形成圖案。在各種實施例中,將金屬四段路由至金屬三段包含在金屬三段與金屬四段之間形成一或多個額外圖案,所述一或多個額外圖案經組態以形成一或多個電連接部,例如通孔或狹槽通孔。
在一些實施例中,金屬三段是多個金屬三段中的一個金屬三段,金屬四段是多個金屬四段中的一個金屬四段,且將金屬四段路由至金屬三段包含將多個金屬四段中的每一金屬四段路由至多個金屬三段中的相應金屬三段。
在一些實施例中,將金屬四段路由至金屬三段包含配置上文中相對於圖1及圖2所C論述的IC佈局圖200中的金屬段240。
在操作450處,在一些實施例中,藉由沿著多個第四軌道安置金屬五段,以將金屬五段路由至金屬四段,所述多個第四軌道在第二方向上具有第四間距。在一些實施例中,將金屬五段路由至金屬四段包含使金屬四段與金屬五段交疊。
在一些實施例中,第四間距大於第二間距及第三間距。在一些實施例中,第四間距與第三間距之比例為等於或大於1.3。在各種實施例中,第四間距小於、等於或大於第一間距或第二間距中的一或兩者。在一些實施例中,第四間距是下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個間距522中的第四間距。
將金屬五段路由至金屬四段包含在IC製造製程的金屬五層中形成圖案。在各種實施例中,將金屬五段路由至金屬四段包含在金屬四段與金屬五段之間形成一或多個額外圖案,所述一或多個額外圖案經組態以形成一或多個電連接部,例如通孔或狹槽通孔。
在一些實施例中,金屬四段是多個金屬四段中的一個金屬四段,金屬五段是多個金屬五段中的一個金屬五段,且將金屬五段路由至金屬四段包含將多個金屬五段中的每一金屬五段路由至多個金屬四段中的相應金屬四段。
在一些實施例中,將金屬五段路由至金屬四段包含配置上文中相對於圖1及圖2D所論述的IC佈局圖200中的金屬段250。
在操作460處,在一些實施例中,對金屬四段執行設計規則檢查。在一些實施例中,執行設計規則檢查包含基於金屬四段與IC佈局圖的其他導電特徵之間的間距來執行評估。在一些實施例中,金屬四段是多個金屬四段中的一個金屬四段,且執行設計規則檢查包含基於多個金屬四段中的多個金屬四段之間的間距來執行評估。
在一些實施例中,執行設計規則檢查包含確定金屬四金屬段的佈線違反設計規則。在一些實施例中,違反設計規則包含金屬四段及相鄰段具有小於最小端對端間隔的間隔。在一些實施例中,違反設計規則包含金屬四段為第一訊號路徑的部分、相鄰段為第二訊號路徑的部分,以及金屬四段與相鄰金屬段短路。
在一些實施例中,設計規則檢查是多個設計規則檢查中的一個設計規則檢查,且執行設計規則檢查包含執行多個設計規則檢查。
在操作470處,在一些實施例中,重複操作410至操作460中的一或多者。在一些實施例中,重複操作410至操作460中的一或多者是執行APR方法的部分。在一些實施例中,重複操作410至操作460中的一或多者是執行包含構造演算法、迭代演算法或整合演算法中的一者或組合的APR方法的部分。
在一些操作中,重複操作410至操作460中的一或多者包含基於所述重複操作410至操作460中的一或多者來修正IC佈局圖。
在操作480處,在一些實施例中,基於IC佈局圖產生IC佈局檔案。在一些實施例中,產生IC佈局檔案包含基於下文中相對於圖5所論述的IC佈局圖產生系統500的一或多個佈局圖520產生IC佈局檔案。
在一些實施例中,產生IC佈局檔案包含產生可藉由作為IC製造流程的部分的IC製造系統(例如IC製造系統600)來使用的含有資料的一或多個電子檔案,於下文中相對於圖6論述。
在操作490處,在一些實施例中,基於IC佈局圖產生罩幕集合。罩幕集合可用於製造一或多個IC結構。在各種實施例中,產生罩幕集合包含使用下文中相對於圖5所論述的IC佈局圖產生系統500的處理器502來執行一或多個製造操作。
在各種實施例中,產生罩幕集合包含使用IC製造系統作為IC製造流程的部分來執行一或多個製造操作,所述IC製造系統例如為下文中相對於圖6論述的IC製造系統600。在各種實施例中,產生罩幕集合是製造IC結構的部分,所述IC結構例如為上文中相對於圖3A至圖3C所論述的IC結構300,其中至胞元的電連接部胞元包含小於第二間距的第三間距。
藉由執行方法400的操作,產生例如為IC佈局圖200的IC佈局圖,其中第三間距小於第二間距。由於相對較小間距,金屬二段、金屬三段以及金屬四段至胞元的佈線能夠比間距並不小於下部金屬層的間距的方法中的佈線具有更大彈性。與不具有相對較小間距的方法相比,更大彈性實現上文中相對於方法100所論述的益處。
圖5是根據一些實施例的IC佈局圖產生系統500的示意圖。在一些實施例中,IC佈局圖產生系統500可用作下文中相對於圖6所論述的IC製造系統600的設計室620的部分。在一些實施例中,IC佈局圖產生系統500是APR系統、包含APR系統或是APR系統的部分,所述APR系統可用於執行APR方法。
在一些實施例中,IC佈局圖產生系統500能夠執行上文中相對於圖1所論述的方法100的操作中的一些或全部及/或上文中相對於圖4所論述的方法400中的一些或全部。
IC佈局圖產生系統500包含硬體處理器502及非暫時性電腦可讀儲存媒體504,所述電腦可讀儲存媒體504經電腦程式指令506(亦即可執行指令集合)編碼(亦即儲存)。指令506包含用於產生IC製造系統的IC佈局圖的指令。處理器502經由匯流排508與電腦可讀儲存媒體504電性耦接。處理器502亦藉由匯流排508與I/O介面510而電性耦接。網路介面512亦經由匯流排508電性連接至處理器502。網路介面512連接至網路514,使得處理器502及電腦可讀儲存媒體504能夠經由網路514連接至外部構件。處理器502經組態以執行電腦可讀儲存媒體504中編碼的電腦程式指令506,以便使得IC佈局圖產生系統500可用於執行如方法100及方法400中所描述的操作中的部分或全部。
在一些實施例中,處理器502是中央處理胞元(central processing unit;CPU)、多重處理器、分佈式處理系統(distributed processing system)、特殊應用積體電路(application specific integrated circuit;ASIC),及/或合適的處理胞元。
在一些實施例中,電腦可讀儲存媒體504是用於以非暫時性方式儲存指令及/或資料的電子、磁性、光學、電磁、紅外及/或半導體系統(或設備或元件)。舉例而言,電腦可讀儲存媒體504包含半導體或固態記憶體、磁帶、抽取式電腦磁盤、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(ROM)、剛性磁碟及/或光碟。在使用光碟的一些實施例中,電腦可讀儲存媒體504包含光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、光碟讀取/寫入(compact disk-read/write;CD-R/W),及/或數位視訊光碟(digital video disc;DVD)。
在一些實施例中,電腦可讀儲存媒體504儲存經組態以使得IC佈局圖產生系統500執行方法100及方法400中的部分或全部的電腦程式指令506。在一些實施例中,電腦可讀儲存媒體504亦儲存執行方法100及/或方法400所需要的資訊,以及在方法100及/或方法400的執行期間產生的資訊,諸如一或多個佈局圖520、一或多個間距522及/或指令506,從而執行方法100及方法400的一或多個操作。
I/O介面510與外部電路耦接。在一些實施例中,I/O介面510包含用於將資訊及/或命令傳達至處理器502的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡墊及/或游標方向按鍵。在一些實施例中,I/O介面510包含用於傳達來自處理器502的資訊的顯示器、訊號光及/或音訊元件。
網路介面512允許IC佈局圖產生系統500與網路514通信,一或多個其他電腦系統連接至網路514。網路介面512包含無線網路介面,諸如藍芽(BLUETOOTH)、WIFI、全球互通微波存取(WIMAX)、通用封包無線電服務(GPRS)或寬頻分碼多重存取(WCDMA);或有線網路介面,諸如乙太網路(ETHERNET)、通用串列匯流排(USB)或電氣及電子工程師學會-1394(IEEE-1394)。在一些實施例中,方法100或方法400中的一或兩者實施於兩個或大於兩個IC佈局圖產生系統500中,且諸如一或多個佈局圖520或一或多個間距522的資訊經由網路514在不同系統500之間交換。
IC佈局圖產生系統500經組態以接收與產生IC佈局圖相關的資訊。資訊經由匯流排508傳送至處理器502,且隨後作為一或多個佈局圖520、一或多個間距522或指令506儲存於電腦可讀儲存媒體504中。在一些實施例中,在方法100(圖1)及/或方法400(圖4)中存取一或多個佈局圖520。在一些實施例中,在方法100(圖1)及/或方法400(圖4)中存取一或多個間距522。
藉由經組態以執行方法100及方法400中的部分或全部,IC佈局圖產生系統500能夠實現上文中相對於方法100及方法400以及圖1至圖4所論述的優點。
圖6是根據一些實施例的IC製造系統600以及與其相關聯的IC製造流程的框圖。
一般而言,系統600產生佈局圖(例如上文中相對於圖1至圖4所論述的IC佈局圖200中的任一者,或類似者)。基於佈局圖,系統600製造(A)一或多個半導體罩幕或(B)一層未完成的半導體積體電路中的至少一個組件中的至少一者。
在圖6中,IC製造系統600包含實體,諸如設計室620、罩幕室630以及IC製造廠/製造器(「工廠(fab)」)650,其在與製造IC元件660相關的設計、開發以及製造循環及/或服務中彼此相互作用。系統600中的實體藉由通信網路連接。在一些實施例中,通信網路為單個網路。在一些實施例中,通信網路為多種不同網絡,諸如企業內部網路(intranet)及網際網路(the Internet)。通信網路包含有線及/或無線通信通道。每一實體與其他實體中的一或多者相互作用且將服務提供至其他實體中的一或多者及/或自其他實體中的一或多者接收服務。在一些實施例中,單個更大公司擁有兩個或大於兩個設計室620、罩幕室630以及IC工廠650。在一些實施例中,兩個或大於兩個設計室620、罩幕室630以及IC工廠650共存於公共設施中且使用公共資源。
設計室(或設計組)620產生IC設計佈局圖622。IC設計佈局圖622包含為了IC元件660所設計的多種幾何圖案。幾何圖案對應於構成將製造的IC元件660的各種組件的金屬層、氧化物層或半導體層的圖案相對應。多個層組合而形成多個IC特徵。舉例而言,IC設計佈局圖622的一部分包含將形成於半導體基底(諸如矽晶圓)中的各種IC特徵及安置於半導體基底上的各種材料層,所述各種IC特徵諸如主動區、閘極電極、源極及汲極、層間內連線的金屬線或通孔,以及接合墊的開口。設計室620實施恰當設計程序以形成IC設計佈局圖622。設計程序包含邏輯設計、實體設計或放置及佈線(例如藉由APR系統執行的APR方法)中的一或多者。IC設計佈局圖622呈現於具有幾何圖案的資訊的一或多個資料檔案中。舉例而言,IC設計佈局圖622可以GDSII檔案格式或DFII檔案格式表示。
罩幕室630包含資料準備632及罩幕製造644。罩幕室630使用IC設計佈局圖622來製造一或多個罩幕645,所述一或多個罩幕645將用於根據IC設計佈局圖622來製造IC元件660的多個層。罩幕室630執行罩幕資料準備632,其中IC設計佈局圖622經轉譯成代表性資料檔案(「representative data file;RDF」)。罩幕資料準備632將RDF提供至罩幕製造644。罩幕製造644包含罩幕寫入器。罩幕寫入器將RDF轉換為諸如罩幕(光罩)645或半導體晶圓653的基底上的影像。設計佈局圖由罩幕資料準備632操縱以遵從罩幕寫入器的特定特性及/或IC工廠650的要求。在圖6中,將罩幕資料準備632及罩幕製造644示出為單獨的構件。在一些實施例中,罩幕資料準備632及罩幕製造644可統稱為罩幕資料準備。
在一些實施例中,罩幕資料準備632包含光學鄰近校正(optical proximity correction;OPC),其使用微影增強技術來補償影像誤差,諸如能夠由繞射、干擾、其他製程效應或類似者所產生的影像誤差。OPC調節IC設計佈局圖622。在一些實施例中,罩幕資料準備632包含其他解析度增強技術(resolution enhancement technique;RET),諸如離軸照明(off-axis illumination)、亞解析度輔助特徵(sub-resolution assist feature)、相移罩幕(phase-shifting mask)、其他合適的技術,或類似者或其組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology;ILT),其將OPC視為反向成像問題。
在一些實施例中,罩幕資料準備632包含罩幕規則檢查器(mask rule checker;MRC),其檢查IC設計佈局圖,所述IC設計佈局圖在OPC中已藉由一組罩幕產生規則而經處理,所述罩幕產生規則含有特定幾何及/或連接限制以確保充足裕度,從而考慮半導體製造製程的可變性或類似者。在一些實施例中,MRC在罩幕製造644期間修改IC設計佈局圖以補償侷限性,其可復原由OPC執行的修改的部分以便符合罩幕產生規則。
在一些實施例中,罩幕資料準備632包含微影製程檢查(lithography process checking;LPC),所述微影製程檢查模擬將由IC工廠650實施以製造IC元件660的製程。LPC基於IC設計佈局圖622模擬此製程以產生模擬製造元件,諸如IC元件660。LPC模擬中的製程參數可包含與IC製造循環的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數,及/或製造製程的其他態樣。LPC考慮多個因素,諸如空間影像對比度、聚焦深度(「depth of focus;DOF」)、罩幕誤差增強因子(「mask error enhancement factor;MEEF」)、其他合適因素,或類似者或其組合。在一些實施例中,在模擬製造的元件已藉由LPC產生之後,若模擬元件在形狀上並不足夠緊密以滿足設計規則,則重複OPC及/或MRC以進一步優化IC設計佈局圖622。
應理解,罩幕資料準備632的上述描述已出於清楚之目的而簡化。在一些實施例中,資料準備632包含諸如邏輯操作(logic operation;LOP)的額外特徵以根據製造規則修改IC設計佈局圖。另外,在資料準備632期間應用於IC設計佈局圖622的製程可以各種不同次序來執行。
在罩幕資料準備632之後及在罩幕製造644期間,基於經修改IC設計佈局圖製造罩幕645或一組罩幕645。在一些實施例中,使用電子束(e-beam)或多個電子束的機制以基於經修改IC設計佈局圖在罩幕(光罩(photomask或reticle))645上形成圖案。罩幕645可以各種技術形成。在一些實施例中,罩幕645使用二進位技術形成。在一些實施例中,罩幕圖案包含不透明區及透明區。用於曝光已塗佈在晶圓上的影像敏感材料層(例如光阻)的諸如紫外(ultraviolet;UV)光束的輻射束藉由不透明區阻擋且經由透明區傳輸。在一個實例中,罩幕645的二進位罩幕版本包含透明基底(例如熔融石英)以及塗佈於罩幕的不透明區中的不透明材料(例如鉻)。在另一實例中,罩幕645使用相移技術形成。在罩幕645的相移罩幕(phase shift mask;PSM)版本中,形成於罩幕上的圖案中的各種特徵經組態以具有恰當相位差,從而提高解析度及成像質量。在各種實例中,相移罩幕可為衰減PSM (attenuated PSM)或交錯PSM(alternating PSM)。藉由罩幕製造644產生的罩幕用於各種製程。舉例而言,此罩幕用於離子植入製程中以在半導體晶圓653中形成各種摻雜區,用於蝕刻製程中以在半導體晶圓653中形成各種蝕刻區,及/或用於其他合適製程中。
IC工廠650為IC製造企業,其包含用於製造各種不同IC產品的一或多個製造設施。在一些實施例中,IC工廠650為半導體鑄造廠。舉例而言,可能存在用於多種IC產品的前端製造(前段製程(front-end-of-line;FEOL)製造)的製造設施,而第二製造設施可為IC產品的互連及封裝提供後端製造(後段製程(back-end-of-line;BEOL)製造),且第三製造設施可為鑄造廠企業提供其他服務。
IC工廠650使用由罩幕室630所製造的一或多個罩幕645來製造IC元件660。因此,IC工廠650至少間接地使用IC設計佈局圖622來製造IC元件660。在一些實施例中,藉由IC工廠650所使用一或多個罩幕645來製造半導體晶圓653,以形成IC元件660。半導體晶圓653包含矽基底或上面形成有材料層的其他適當基底。半導體晶圓653更包含各種摻雜區、介電特徵、多層級內連線或類似者(形成於後續製造步驟處)中的一或多者。
關於積體電路(IC)製造系統(例如上文相對於圖6論述的系統600)及與其相關聯的IC製造流程的細節可見於例如以下各者中:2016年2月9日授予的美國專利第9,256,709號、2015年10月1日發佈的美國核准前公開案第20150278429號、2014年2月6日發佈的美國核准前公開案第20140040838號,以及2007年8月21日授予的美國專利第7,260,442號,其中的每一者的全部內容以引用的方式併入本文中。
在一些實施例中,IC結構包含:多個第一金屬段,其安置於第一金屬層中,所述多個第一金屬段中的每一金屬段在第一方向上延伸;多個第二金屬段,其安置於上覆於第一金屬層的第二金屬層中,所述多個第二金屬段中的每一金屬段在垂直於第一方向的第二方向上延伸;以及多個第三金屬段,其安置於上覆於第二金屬層的第三金屬層中,所述多個第三金屬段中的每一金屬段在第一方向上延伸。多個第三金屬段的間距小於多個第二金屬段的間距。在一些實施例中,多個第二金屬段的間距及多個第三金屬段的間距中的每一者大於多個第一金屬段的間距。在一些實施例中,多個第四金屬段安置於上覆於第三金屬層的第四金屬層中,多個第四金屬段中的每一金屬段在第二方向上延伸,其中多個第四金屬段的間距大於多個第二金屬段的間距。在一些實施例中,多個第一金屬段中的金屬段上覆於金屬一層的金屬段。在一些實施例中,第一金屬層是金屬二層,第二金屬層是金屬三層,且第三金屬層是金屬四層。在一些實施例中,多個第二金屬段的間距與多個第三金屬段的間距之比例為大於或等於1.25。在一些實施例中,IC結構包含狹槽通孔,所述狹槽通孔位於多個第二金屬段中的金屬段與多個第三金屬段中的金屬段之間。
在一些實施例中,產生IC佈局圖的方法包含:在金屬二層中配置多個金屬二段,多個金屬二段中的金屬二段交疊IC佈局圖中的胞元,且多個金屬二段在第一方向上具有第一間距。方法亦包含在金屬三層中配置多個金屬三段,多個金屬三段在垂直於第一方向的第二方向上具有第二間距,以及在金屬四層中配置多個金屬四段,多個金屬四段在第一方向上具有第三間距。第三間距小於第二間距,且藉由電腦的處理器執行配置多個金屬二段、配置多個金屬三段或配置多個金屬四段中的至少一者。在一些實施例中,多個金屬二段中的金屬二段交疊胞元中的金屬一段。在一些實施例中,胞元在第一方向上的高度與第一間距之比例為等於或小於五。在一些實施例中,胞元是多個胞元中的一個胞元,且在金屬二層中配置多個金屬二段包含多個金屬二段中的至少一個金屬二段交疊多個胞元中的每一胞元。在一些實施例中,第二間距與第三間距之比例為大於或等於1.25。在一些實施例中,方法更包含基於佈局圖產生IC佈局檔案。在一些實施例中,方法更包含基於佈局圖產生罩幕集合。
在一些實施例中,IC佈局圖產生系統包含處理器及非暫時性電腦可讀儲存媒體,所述非暫時性電腦可讀儲存媒體包含用於一或多個程式的電腦程式碼。非暫時性電腦可讀儲存媒體及電腦程式碼經組態以利用處理器使得系統:將胞元置放於IC佈局圖中;藉由沿著多個第一軌道安置金屬二段,來將金屬二段路由至胞元,所述多個第一軌道在第一方向上具有第一間距;藉由沿著多個第二軌道安置金屬三段,來將金屬三段路由至金屬二段,所述多個第二軌道在垂直於所述第一方向的第二方向上具有第二間距;藉由沿著多個第三軌道安置金屬四段,來將金屬四段路由至金屬三段,所述多個第三軌道在所述第一方向上具有第三間距;以及基於胞元、金屬二段、金屬三段以及金屬四段產生IC佈局檔案,第三間距小於第二間距。在一些實施例中,胞元在第一方向上具有胞元高度,且胞元高度與第一間距之比例為等於或小於五。在一些實施例中,非暫時性電腦可讀儲存媒體及電腦程式碼經組態以利用處理器進一步使得系統:藉由沿著多個第四軌道安置金屬五段,來將金屬五段路由至金屬四段,所述多個第四軌道在所述第二方向上具有第四間距,其中第四間距大於第二間距及第三間距。在一些實施例中,胞元是多個胞元中的一個胞元,且非暫時性電腦可讀儲存媒體及電腦程式碼經組態以利用處理器進一步使得系統:基於包括金屬二段的多個金屬二段、包括金屬三段的多個金屬三段以及包括金屬四段的多個金屬四段中的每一者的佈線,將多個胞元置放在IC佈局圖中。在一些實施例中,非暫時性電腦可讀儲存媒體及電腦程式碼經組態以利用處理器進一步使得系統:對金屬四段執行設計規則檢查。在一些實施例中,非暫時性電腦可讀儲存媒體及電腦程式碼經組態以利用處理器進一步使得系統:基於IC佈局圖產生罩幕集合。
前文概述若干實施例的特徵以使得本領域的技術人員可更佳地理解本發明的態樣。本領域的技術人員應理解,其可易於使用本發明作為設計或修改用於實現本文中所引入之實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。本領域的技術人員亦應認識到,此類等效構造並不脫離本發明的精神及範圍,且本領域的技術人員可在不脫離本發明的精神及範圍的情況下在本文中進行作出改變、替代以及更改。
100、400‧‧‧方法
110、120、130、140、150、410、420、430、440、450、460、470、480、490‧‧‧操作
200‧‧‧IC佈局圖
210A、210B‧‧‧胞元
220、230、240、250‧‧‧金屬段
220V、230V、240V、250V、332BA、332BB、342AB、342BA、342BB、352AB、352BB‧‧‧通孔
222‧‧‧金屬部分
300‧‧‧IC結構
310‧‧‧IC特徵
310H‧‧‧高度
312‧‧‧導電構件
320A、320B、330A、330B、340A、340B、350A、350B‧‧‧金屬段
500‧‧‧IC佈局圖產生系統
502‧‧‧處理器
504‧‧‧非暫時性電腦可讀儲存媒體
506‧‧‧電腦程式指令
508‧‧‧匯流排
510‧‧‧I/O介面
512‧‧‧網路介面
514‧‧‧網路
520‧‧‧佈局圖
522、M2P、M3P、M4P、M5P‧‧‧間距
600‧‧‧IC製造系統
620‧‧‧設計室
622‧‧‧IC設計佈局圖
630‧‧‧罩幕室
632‧‧‧資料準備
644‧‧‧罩幕製造
645‧‧‧罩幕
650‧‧‧工廠
653‧‧‧半導體晶圓
660‧‧‧IC元件
A-A'、B-B'‧‧‧線
CH‧‧‧胞元高度
R1、R2‧‧‧關係
T21、T22、T23、T24、T25、T31、T32、T33、T34、T35、T41、T42、T43、T44、T45、T51、T52、T53、T54、T55‧‧‧軌道
UT1、UT2、UT3、UT4‧‧‧利用率值
X、Y、Z‧‧‧方向
在結合附圖閱讀時,自以下詳細描述最佳地理解本發明的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見而任意地增加或減小各種特徵的尺寸。
圖1是根據一些實施例的產生IC佈局圖的方法的流程圖。
圖2A至圖2D是根據一些實施例的IC佈局圖的繪圖。
圖2E是根據一些實施例的佈局圖特徵及利用率關係的繪圖。
圖3A至圖3C是根據一些實施例的IC結構的圖。
圖4是根據一些實施例的將連接部路由至胞元的方法的流程圖。
圖5是根據一些實施例的IC佈局圖產生系統的示意圖。
圖6是根據一些實施例的IC製造系統以及與其相關聯的IC製造流程的繪圖。
300‧‧‧IC結構
310‧‧‧IC特徵
310H‧‧‧高度
312‧‧‧導電構件
320A、320B、330A、330B、340A、340B、350A、350B‧‧‧金屬段
M2P、M3P、M4P、M5P‧‧‧間距
A-A'、B-B'‧‧‧線
X、Y‧‧‧方向
Claims (20)
- 一種積體電路結構,包括: 多個第一金屬段,安置於第一金屬層中,所述多個第一金屬段中的每一金屬段在第一方向上延伸; 多個第二金屬段,安置於第二金屬層中,所述第二金屬層上覆於所述第一金屬層,所述多個第二金屬段中的每一金屬段在垂直於所述第一方向的第二方向上延伸;以及 多個第三金屬段,安置於第三金屬層中,所述第三金屬層上覆於所述第二金屬層,所述多個第三金屬段中的每一金屬段在所述第一方向上延伸, 其中所述多個第三金屬段的間距小於所述多個第二金屬段的間距。
- 如申請專利範圍第1項所述的積體電路結構,其中所述多個第二金屬段的所述間距及所述多個第三金屬段的所述間距中的每一者大於所述多個第一金屬段的間距。
- 如申請專利範圍第1項所述的積體電路結構,更包括多個第四金屬段,所述多個第四金屬段安置於第四金屬層中,所述第四金屬層上覆於所述第三金屬層,所述多個第四金屬段中的每一金屬段在所述第二方向上延伸,其中所述多個第四金屬段的間距大於所述多個第二金屬段的所述間距。
- 如申請專利範圍第1項所述的積體電路結構,其中所述多個第一金屬段中的金屬段上覆於金屬一層的金屬段。
- 如申請專利範圍第1項所述的積體電路結構,其中 所述第一金屬層是金屬二層, 所述第二金屬層是金屬三層,以及 所述第三金屬層是金屬四層。
- 如申請專利範圍第1項所述的積體電路結構,其中所述多個第二金屬段的所述間距與所述多個第三金屬段的所述間距之比例為大於或等於1.25。
- 如申請專利範圍第1項所述的積體電路結構,更包括狹槽通孔,所述狹槽通孔位於所述多個第二金屬段中的金屬段與所述多個第三金屬段中的金屬段之間。
- 一種產生積體電路佈局圖的方法,所述方法包括: 在金屬二層中配置多個金屬二段,其中 所述多個金屬二段中的金屬二段交疊所述積體電路佈局圖中的胞元,以及 所述多個金屬二段在第一方向上具有第一間距; 在金屬三層中配置多個金屬三段,所述多個金屬三段在垂直於所述第一方向的第二方向上具有第二間距;以及 在金屬四層中配置多個金屬四段,所述多個金屬四段在所述第一方向上具有第三間距, 其中 所述第三間距小於所述第二間距,以及 藉由電腦的處理器執行所述配置所述多個金屬二段、所述配置所述多個金屬三段或所述配置所述多個金屬四段中的至少一者。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,其中所述多個金屬二段中的所述金屬二段交疊所述胞元中的金屬一段。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,其中所述胞元在所述第一方向上的高度與所述第一間距之比例為等於或小於五。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,其中 所述胞元是多個胞元中的一個胞元,以及 所述在所述金屬二層中配置所述多個金屬二段包括所述多個金屬二段中的至少一個金屬二段交疊所述多個胞元中的每一胞元。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,其中所述第二間距與所述第三間距之比例為大於或等於1.25。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,更包括基於所述佈局圖產生積體電路佈局檔案。
- 如申請專利範圍第8項所述的產生積體電路佈局圖的方法,更包括基於所述佈局圖產生罩幕集合。
- 一種積體電路佈局圖產生系統,包括: 處理器;以及 非暫時性電腦可讀儲存媒體,包含用於一或多個程式的電腦程式碼,所述非暫時性電腦可讀儲存媒體及所述電腦程式碼經組態以利用所述處理器使得所述系統: 將胞元置放於積體電路佈局圖中; 藉由沿著多個第一軌道安置金屬二段,來將所述金屬二段路由至所述胞元,所述多個第一軌道在第一方向上具有第一間距; 藉由沿著多個第二軌道安置金屬三段,來將所述金屬三段路由至所述金屬二段,所述多個第二軌道在垂直於所述第一方向的第二方向上具有第二間距; 藉由沿著多個第三軌道安置金屬四段,來將所述金屬四段路由至所述金屬三段,所述多個第三軌道在所述第一方向上具有第三間距;以及 基於所述胞元、所述金屬二段、所述金屬三段以及所述金屬四段產生積體電路佈局檔案, 其中所述第三間距小於所述第二間距。
- 如申請專利範圍第15項所述的積體電路佈局圖產生系統,其中 所述胞元在所述第一方向上具有胞元高度,以及 所述胞元高度與所述第一間距之比例為等於或小於五。
- 如申請專利範圍第15項所述的積體電路佈局圖產生系統,其中所述非暫時性電腦可讀儲存媒體及所述電腦程式碼經組態以利用所述處理器進一步使得所述系統: 藉由沿著多個第四軌道安置金屬五段,來將所述金屬五段路由至所述金屬四段,所述多個第四軌道在所述第二方向上具有第四間距,其中所述第四間距大於所述第二間距及所述第三間距。
- 如申請專利範圍第15項所述的積體電路佈局圖產生系統,其中 所述胞元是多個胞元中的一個胞元,以及 所述非暫時性電腦可讀儲存媒體及所述電腦程式碼經組態以利用所述處理器進一步使得所述系統:基於包括所述金屬二段的多個金屬二段、包括所述金屬三段的多個金屬三段以及包括所述金屬四段的多個金屬四段中的每一者的佈線,將所述多個胞元置放在所述積體電路佈局圖中。
- 如申請專利範圍第15項所述的積體電路佈局圖產生系統,其中所述非暫時性電腦可讀儲存媒體及所述電腦程式碼經組態以利用所述處理器進一步使得所述系統: 對所述金屬四段執行設計規則檢查。
- 如申請專利範圍第15項所述的積體電路佈局圖產生系統,其中所述非暫時性電腦可讀儲存媒體及所述電腦程式碼經組態以利用所述處理器進一步使得所述系統:基於所述積體電路佈局圖產生罩幕集合。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862691598P | 2018-06-28 | 2018-06-28 | |
US62/691,598 | 2018-06-28 | ||
US16/204,944 | 2018-11-29 | ||
US16/204,944 US10867102B2 (en) | 2018-06-28 | 2018-11-29 | Inverted pitch IC structure, layout method, and system |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202002206A true TW202002206A (zh) | 2020-01-01 |
TWI681520B TWI681520B (zh) | 2020-01-01 |
Family
ID=68886239
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108105401A TWI681520B (zh) | 2018-06-28 | 2019-02-19 | 積體電路結構、產生積體電路佈局圖的方法及積體電路佈局圖產生系統 |
Country Status (5)
Country | Link |
---|---|
US (3) | US10867102B2 (zh) |
KR (1) | KR102320067B1 (zh) |
CN (1) | CN110729264B (zh) |
DE (1) | DE102019116952B4 (zh) |
TW (1) | TWI681520B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11901286B2 (en) | 2021-01-28 | 2024-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Diagonal via pattern and method |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10997348B2 (en) * | 2018-09-28 | 2021-05-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal cut region location method and system |
US11151297B2 (en) * | 2020-02-27 | 2021-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple fin count layout, method, system, and device |
DE102021102964A1 (de) * | 2020-11-09 | 2022-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrierte schaltung und ein betriebsverfahren dafür |
CN114204933A (zh) | 2020-11-09 | 2022-03-18 | 台湾积体电路制造股份有限公司 | 集成电路及其操作方法 |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5554874A (en) | 1995-06-05 | 1996-09-10 | Quantum Effect Design, Inc. | Six-transistor cell with wide bit-line pitch, double words lines, and bit-line contact shared among four cells |
US6420215B1 (en) * | 2000-04-28 | 2002-07-16 | Matrix Semiconductor, Inc. | Three-dimensional memory array and method of fabrication |
JP2002110805A (ja) * | 2000-09-28 | 2002-04-12 | Toshiba Corp | 半導体デバイス |
US7138711B2 (en) | 2002-06-17 | 2006-11-21 | Micron Technology, Inc. | Intrinsic thermal enhancement for FBGA package |
US7350173B1 (en) * | 2002-06-11 | 2008-03-25 | Synplicity, Inc. | Method and apparatus for placement and routing cells on integrated circuit chips |
US7260442B2 (en) | 2004-03-03 | 2007-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for mask fabrication process control |
US7683407B2 (en) * | 2005-08-01 | 2010-03-23 | Aptina Imaging Corporation | Structure and method for building a light tunnel for use with imaging devices |
JP2009164433A (ja) * | 2008-01-08 | 2009-07-23 | Toshiba Corp | 不揮発性半導体記憶装置 |
TWM359148U (en) * | 2009-01-05 | 2009-06-11 | Samya Technology Co Ltd | Universal battery charger |
JP2010161132A (ja) * | 2009-01-07 | 2010-07-22 | Toshiba Corp | 不揮発性半導体記憶装置、及びその製造方法 |
US8390033B2 (en) | 2009-02-23 | 2013-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal structure for memory device |
JP2010199235A (ja) * | 2009-02-24 | 2010-09-09 | Toshiba Corp | 不揮発性半導体記憶装置 |
US9117882B2 (en) | 2011-06-10 | 2015-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-hierarchical metal layers for integrated circuits |
KR101907693B1 (ko) | 2012-02-24 | 2018-10-12 | 에스케이하이닉스 주식회사 | 반도체 장치, 메모리 시스템 및 반도체 장치 제조 방법 |
US8850366B2 (en) | 2012-08-01 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for making a mask by forming a phase bar in an integrated circuit design layout |
JP2014049745A (ja) * | 2012-08-31 | 2014-03-17 | Toshiba Corp | 半導体記憶装置、及びその製造方法 |
US8819610B2 (en) * | 2013-01-09 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and layout of an integrated circuit |
US20140365148A1 (en) * | 2013-04-01 | 2014-12-11 | University Of Connecticut | Methods And Systems For Test Power Analysis |
US9559040B2 (en) | 2013-12-30 | 2017-01-31 | International Business Machines Corporation | Double-sided segmented line architecture in 3D integration |
US9256709B2 (en) | 2014-02-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit mask patterning |
US9465906B2 (en) | 2014-04-01 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for integrated circuit manufacturing |
US9336348B2 (en) * | 2014-09-12 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming layout design |
US9653346B2 (en) * | 2015-05-07 | 2017-05-16 | United Microelectronics Corp. | Integrated FinFET structure having a contact plug pitch larger than fin and first metal pitch |
US10339249B2 (en) * | 2016-03-29 | 2019-07-02 | Synopsys, Inc. | Using color pattern assigned to shapes for custom layout of integrated circuit (IC) designs |
EP3229270A1 (en) * | 2016-04-06 | 2017-10-11 | IMEC vzw | Integrated circuit power distribution network |
US9972571B1 (en) | 2016-12-15 | 2018-05-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Logic cell structure and method |
US10002786B1 (en) * | 2016-12-15 | 2018-06-19 | Globalfoundries Inc. | Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts |
KR102527409B1 (ko) | 2016-12-19 | 2023-05-02 | 에스케이하이닉스 주식회사 | 칩들 사이에 열 전달 블록을 배치한 반도체 패키지 및 제조 방법 |
US10424559B2 (en) | 2016-12-22 | 2019-09-24 | Intel Corporation | Thermal management of molded packages |
US10529698B2 (en) | 2017-03-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor packages and methods of forming same |
US11347925B2 (en) * | 2017-05-01 | 2022-05-31 | Advanced Micro Devices, Inc. | Power grid architecture and optimization with EUV lithography |
-
2018
- 2018-11-29 US US16/204,944 patent/US10867102B2/en active Active
-
2019
- 2019-02-19 TW TW108105401A patent/TWI681520B/zh active
- 2019-04-17 CN CN201910308673.6A patent/CN110729264B/zh active Active
- 2019-06-24 DE DE102019116952.6A patent/DE102019116952B4/de active Active
- 2019-06-27 KR KR1020190077261A patent/KR102320067B1/ko active IP Right Grant
-
2020
- 2020-12-03 US US17/111,014 patent/US20210117606A1/en active Pending
-
2021
- 2021-04-01 US US17/220,269 patent/US20210248298A1/en active Pending
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11901286B2 (en) | 2021-01-28 | 2024-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Diagonal via pattern and method |
TWI834060B (zh) * | 2021-01-28 | 2024-03-01 | 台灣積體電路製造股份有限公司 | 積體電路結構、製造多個通孔結構的方法和產生積體電路佈局圖的方法 |
Also Published As
Publication number | Publication date |
---|---|
KR102320067B1 (ko) | 2021-11-03 |
US10867102B2 (en) | 2020-12-15 |
KR20200002002A (ko) | 2020-01-07 |
US20210248298A1 (en) | 2021-08-12 |
CN110729264A (zh) | 2020-01-24 |
DE102019116952A1 (de) | 2020-01-02 |
US20200004914A1 (en) | 2020-01-02 |
US20210117606A1 (en) | 2021-04-22 |
CN110729264B (zh) | 2021-12-24 |
DE102019116952B4 (de) | 2023-05-04 |
TWI681520B (zh) | 2020-01-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11281836B2 (en) | Cell structures and semiconductor devices having same | |
TWI681520B (zh) | 積體電路結構、產生積體電路佈局圖的方法及積體電路佈局圖產生系統 | |
US11138362B2 (en) | Integrated circuit layout method and system | |
US11675961B2 (en) | Engineering change order cell structure having always-on transistor | |
US20210384121A1 (en) | Method of forming semiconductor device including deep vias | |
US11574107B2 (en) | Method for manufacturing a cell having pins and semiconductor device based on same | |
US11569246B2 (en) | Four CPP wide memory cell with buried power grid, and method of fabricating same | |
US20220199608A1 (en) | Integrated circuit with backside power rail and backside interconnect | |
US20210240903A1 (en) | Metal cut region location system | |
US11494542B2 (en) | Semiconductor device, method of generating layout diagram and system for same | |
US11916017B2 (en) | Signal conducting line arrangements in integrated circuits | |
US11669669B2 (en) | Circuit layouts and related methods | |
US11967596B2 (en) | Power rail and signal conducting line arrangement | |
KR102515319B1 (ko) | 퓨저블 구조체 및 이의 제조 방법 | |
US20230259686A1 (en) | Semiconductor device and method and system of arranging patterns of the same | |
TW202343569A (zh) | 積體電路及其製造方法 |