TW201934686A - 用於定向自組裝之梯度嵌段共聚物 - Google Patents

用於定向自組裝之梯度嵌段共聚物 Download PDF

Info

Publication number
TW201934686A
TW201934686A TW107132644A TW107132644A TW201934686A TW 201934686 A TW201934686 A TW 201934686A TW 107132644 A TW107132644 A TW 107132644A TW 107132644 A TW107132644 A TW 107132644A TW 201934686 A TW201934686 A TW 201934686A
Authority
TW
Taiwan
Prior art keywords
region
monomer
concentration
acrylate
layer
Prior art date
Application number
TW107132644A
Other languages
English (en)
Other versions
TWI791618B (zh
Inventor
徐奎
理查 艾爾斯沃斯 道爾提二世
丹尼爾 派翠克 斯威特
瑪莉 安 霍基
艾瑞克 凱爾德瑞斯
梅根 班尼特
Original Assignee
美商布魯爾科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯爾科技公司 filed Critical 美商布魯爾科技公司
Publication of TW201934686A publication Critical patent/TW201934686A/zh
Application granted granted Critical
Publication of TWI791618B publication Critical patent/TWI791618B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/12Esters of monohydric alcohols or phenols
    • C08F20/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F20/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/14Methyl esters, e.g. methyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F26/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen
    • C08F26/06Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen by a heterocyclic ring containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/06Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from hydroxycarboxylic acids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/03Use of a di- or tri-thiocarbonylthio compound, e.g. di- or tri-thioester, di- or tri-thiocarbamate, or a xanthate as chain transfer agent, e.g . Reversible Addition Fragmentation chain Transfer [RAFT] or Macromolecular Design via Interchange of Xanthates [MADIX]
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/20Applications use in electrical or conductive gadgets

Landscapes

  • Chemical & Material Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Graft Or Block Polymers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供具有在至少一個嵌段內之共聚單體非隨機分佈之新穎嵌段共聚物(「BCP」)以及在定向自組裝(「DSA」)方法中使用該等BCP之方法。非隨機(例如,產生梯度)分佈可經客製化以將所欲共聚單體性質集中於預定之BCP區域。此等BCP可使用簡單退火達成垂直定向且提供相較於先前技術BCP優異長程有序以及較低缺陷。此等BCP可被併入組成物中,相較於先前技術BCP同時提供高-χ以及快速熱退火動力學益處且同時維持類似或改良導引方法窗。

Description

用於定向自組裝之梯度嵌段共聚物 〔相關申請案〕
本申請案主張2018年2月1日申請之標題為用於定向自組裝之梯度嵌段共聚物之美國臨時專利申請案第62/624,960號之優先權,該案以全文引用的方式併入本文中。
本發明關於用於定向自組裝方法所用之嵌段共聚物材料之聚合物。
對較小微製造裝置之增加之需求,需要裝置組件之特徵尺寸繼續減小。當特徵尺寸接近22nm及小於22nm時,習知光微影技術變得愈加困難且成本愈高。為使莫耳定律(Moore's law)向前發展,非光微影技術將變得愈來愈重要。產生奈米尺度圖案之替代方法為嵌段共聚物(BCP)之定向自組裝(DSA),其可在分子層面(<20nm)可行地提供高度有序可圖案化之形態,諸如薄層及圓柱體。
目前,利用習知聚苯乙烯(「PS」)以及聚(甲基丙烯酸甲酯)嵌段共聚物(「PS-b-PMMA」)使用DSA產生線條及空間已顯示在12-20nm間距之臨界尺寸範圍內。使用化學磊晶以及形貌磊晶預圖案化方法流程二者之一系列方 法已成功地產生線條及空間(「薄層」)以及接觸孔(「圓柱體」)二者。相比之下,193nm浸没式掃描器單一圖案化之解析極限對於密集型線條及空間而言為37nm且對於接觸口而言為40nm。
典型的DSA方法流程合併若干層。BCP之DSA典型地在有機、中性「刷塗」層之上進行。此刷塗層通常為苯乙烯與甲基丙烯酸甲酯之無規共聚物(「PS-r-PMMA」),此無規共聚物已長時間固化。接著,將嵌段共聚物DSA調配物塗覆於刷塗層頂部且進行退火。退火方法使嵌段共聚物自身排列成交替組織化結構。視需要,使用底部抗反射塗層控制預圖案之反射。此預圖案通常藉由標準光微影技術形成,諸如光阻圖案化。蝕刻嵌段層亦包括於DSA層之下以促進圖案轉印方法(含矽或含金屬之硬遮罩(「HM」)諸如SiO2或TiN)。另外蝕刻轉印層諸如旋塗碳(「SOC」)也可以位於DSA堆疊中之HM層之下。
目前DSA方法流程使用BCP DSA調配物,該調配物被塗覆至約200-1,000Å之厚度且被退火。在成功的退火之後,嵌段中之一接著會被蝕刻掉而以殘留之嵌段供下方層或基板作為蝕刻嵌段。典型PS-b-PMMA BCP調配物中,在乾蝕刻條件下PMMA蝕刻比PS快。PMMA一般會全部被移除而PS仍然留在基板上。
標準PS-b-PMMA由於其低弗洛里-赫金斯(Flory-Huggins)相互作用參數(χ)(BCP中之嵌段不相容性之基本量度)而具有約13nm之固有特徵尺寸極限。希望較高χ(會造成BCP中嵌段之間較強相分離)以獲得均衡之較小特徵、較佳線邊緣粗糙度(line-edge roughness)以及較少缺陷。BCP性質,諸如χ,可藉由在任一嵌段中使用共聚單體而改變。然而,高χBCP歸因於嵌段之間的不同極性及特性而一般難以引導且定向其DSA形態。特定言之,微影應用需要薄膜BCP-DSA之垂直定向以在基板上產生適用的奈米級圖案,尤其對於形成薄層之BCP而言。大多數已知高χBCP不能如PS-b-PMMA般藉由簡單熱退火容易地形成垂 直定向之形態。然而,高χBCP歸因於嵌段之間的不同極性及特性而一般難以引導且定向其DSA形態。特定言之,微影應用需要薄膜BCP-DSA之垂直定向以在基板上產生適用的奈米級圖案,尤其對於形成薄層之BCP而言。大多數已知高χBCP不能如PS-b-PMMA般藉由簡單熱退火而容易地形成垂直定向之形態。通常使用額外的頂塗層或溶劑退火以獲得垂直定向,其將大幅增加製造成本及複雜性。此種作法使得將此等BCP併入習用微影軌跡更加困難,因為此等並非目前微影術所用典型技術。
本發明廣泛地提供形成微電子結構之方法,其中該方法包含提供堆疊,該堆疊包含具有表面之基板以及一或多種在該基板表面上之視需要選用之中間層。若存在中間層,則將組成物施用於該中間層,或如果不存在中間層,則將組成物施用於該基板表面。該組成物包含嵌段共聚物,該嵌段共聚物包含第一嵌段以及第二嵌段。第一以及第二嵌段其中至少一者包含:接面區域,其包含第一複數個單體,且位於最接近該第一以及第二嵌段中另一者的位置;末端區域,其包含第二複數個單體,且位於離該第一以及第二嵌段中另一者最遠的位置;以及中間區域,其包含第三複數個單體,且位於該接面區域以及該末端區域之間,其中:(I)該接面區域、中間區域、以及末端區域中之一者包含調整性質單體,該調整性質單體之濃度係大於該接面區域、中間區域、以及末端區域中其它二者每一者中之調整性質單體之個別濃度;(II)不同於該區域(I)之該接面區域、中間區域、以及末端區域中之另一者 包含調整性質單體,該調整性質單體之濃度係低於該區域(I)中調整性質單體之濃度;以及(III)不同於該區域(I)及(II)之該接面區域、中間區域、以及末端區域中之另一者:缺少該調整性質單體;或包含該調整性質單體,該調整性質單體之濃度係低於該區域(I)中之調整性質單體之濃度且低於該區域(II)中之調整性質單體之濃度。
最後,該方法包含使組成物自組裝入自組裝層,其中該自組裝層包含第一自組裝區域以及不同於該第一自組裝區域之第二自組裝區域。
另一個具體實例中,本發明提供微電子結構,該微電子結構包含具有表面之基板、一或多種在該基板表面上之視需要選用之中間層、以及在該一或多種視需要選用之中間層(如果存在)上、或在該基板表面上(如果不存在中間層)之自組裝組成物層。該自組裝組成物包含嵌段共聚物,該嵌段共聚物包含第一嵌段以及第二嵌段。該第一以及第二嵌段中至少一者包含:接面區域,其包含第一複數個單體,且位於最接近該第一以及第二嵌段中另一者的位置;末端區域,其包含第二複數個單體,且位於離第一以及第二嵌段中另一者最遠的位置;以及中間區域,其包含第三複數個單體,且位於該接面區域以及該末端區域之間,其中:(I)該接面區域、中間區域、以及末端區域中之一者包含調整性質單體,該調整性質單體之濃度係大於該接面區域、中間區域、以及末端區域中其它二者每一者中之調整性質單體之個別濃度;(II)不同於該區域(I)之該接面區域、中間區域、以及末端區域中之另一者 包含調整性質單體,該調整性質單體之濃度係低於該區域(I)中調整性質單體之濃度;以及(III)不同於該區域(I)及(II)之該接面區域、中間區域、以及末端區域中之另一者:缺少該調整性質單體;或包含該調整性質單體,該調整性質單體之濃度係低於該區域(I)中之調整性質單體之濃度且低於該區域(II)中之調整性質單體之濃度。
進一步具體實例中,本發明係關於嵌段共聚物,其包含第一嵌段以及第二嵌段。該第一嵌段包含選自由甲基丙烯酸甲酯、甲基丙烯酸甲氧基乙酯、甲基丙烯酸羥基乙酯、具有聚乳酸側鏈部分之丙烯酸酯、以及其組合組成之群之重複單體。第二嵌段包含:接面區域,其位於最接近該第一嵌段的位置,且包含選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑、甲基苯乙烯、以及其組合組成之群之重複單體;末端區域,其離該第一嵌段最遠的位置;以及中間區域,其位於該接面區域以及該末端區域中間,該中間區域包含選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑、甲基苯乙烯,以及苯乙烯組成之群之重複單體,其中任何選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑、以及甲基苯乙烯組成之群之重複單體係存在於該接面區域中,該接面區域中重複單體之單獨濃度係大於中間區域中相同單體之濃度。
圖1是實施例1的BCP 1在如實施例4所述進行處理後形成的圖案的掃描電子顯微鏡(SEM)影像;圖2是實施例2的BCP 2在如實施例4所述進行處理後形成的圖案的SEM影像;圖3是實施例1的BCP1在如實施例5所述進行處理後形成的圖案的SEM影像;圖4是實施例1的BCP 1在如實施例6所述處理後所實現的對準取向的SEM影像(96nm間距,4倍間距倍增);以及圖5是實施例1的BCP 1在如實施例6所述處理後所實現的對準取向的SEM影像(76nm間距,4倍間距倍增)。
本發明提供DSA微影術中作為BCP之嵌段共聚物組成物、用於其用途之方法、以及使用此等組成物之微電子結構。BCP之一個嵌段被經改質共聚物置換以產生高-χ BCP。
更詳細的說,用於本發明之組成物為DSA組成物且包含溶解或分散於溶劑系統之嵌段共聚物(典型為二嵌段或三嵌段共聚物)。一般而言,DSA組成物包含二或多種不混溶化合物(例如聚合物摻混物)或包含具有不同(並且通常相反)特徵如官能基、極性、親水性、耐蝕刻性等的至少兩種組分的自組裝化合物,其允許以合理的方式分離(實質上奈米相分離)以及兩種化合物或組分的對準(alignment),以及選擇性去除一種化合物或組分。如上所述,嵌段共聚物特別適用於DSA技術,因為它們可經合成為包含至少兩種不同的嵌段,其允許每組分在適當的條件下對準,並且在對準之後被選擇性地移除。用於本發明之適合嵌段共聚物應包含二或更多種聚合物嵌段,在適合時間(例如,當退火 時)自組裝。
本發明之嵌段共聚物
1. BCP區域
一個具體實例中,本發明中所用BCP包含第一及第二嵌段,其中該第一及第二嵌段中至少一者為聚合物或共聚物,其至少一個區域藉包含調整性質單體被改質。如本文所用者,調整性質單體為,相較於如果不存在調整性質單體之相同聚合物嵌段而改變存在該調整性質單體之聚合物嵌段之一些性質(例如,官能基、極性、親水性、耐蝕刻性、交聯、光敏性)之單體。
較佳者,包含調整性質單體之聚合物嵌段之至少一部分表現單體組成從主要是一種單體到主要是另一種單體的逐漸變化(亦即,該等單體之濃度梯度)。此單體之濃度梯度,反過來導致聚合物沿著其長度的至少一種性質的梯度。在一些具體實例中,二個嵌段會包含共聚物,其中一些或全部個別共聚物經歷該等調整性質單體之濃度梯度。在其它具體實例中,其它嵌段會是沒有此等改質之均聚物或共聚物。
流程A提供此聚合物結構之概要說明,其分別顯示其中僅有第一嵌段係根據本發明改質,其中僅有第二嵌段係根據本發明改質,以及其中二個嵌段係根據本發明改質之具體實例。(垂直虛線表示聚合物嵌段之間的斷裂)。要理解可以添加額外的嵌段,其可根據本發明改質或不改質。
參照流程A,根據本發明改質的BCP的每個嵌段較佳地包含三個區域:接面區域、中間區域以及末端區域。該接面區域係最接近另一嵌段,而該末端區域係離該另一嵌段最遠,且該中間區域係位於該接面區域及該末端區域之間。
儘管這可以視具體實例而變化,但通常根據本發明改質的嵌段的接面區域在單體基礎上以約15%至約35%的含量存在於該嵌段中,較佳在單體基礎上約20%至約30%,更佳在單體基礎上約20%至約25%。中間區域和末端區域的組合將佔特定嵌段的剩餘部分。
在存在與中間區域不同的末端區域的情況下,該嵌段的末端區域將在單體為基礎上以約15%至約35%的含量存在於該嵌段中,較佳在單體基 礎上約20%至約30%,更佳在單體基礎上約20%至約25%。中間區域和接面區域的組合將佔特定嵌段的剩餘部分。
在不同接面區域及不同末端區域二者存在於嵌段的情況下,該接面區域通常將在單體為基礎上以約15%至約35%的含量存在於該嵌段中,較佳在單體基礎上約20%至約30%,更佳在單體基礎上約20%至約25%。根據本發明改質之嵌段之該中間區域將在單體為基礎上以約30%至約70%的含量存在於該嵌段中,較佳在單體基礎上約40%至約60%,更佳在單體基礎上約50%至約60%。該嵌段之該末端區域將在單體為基礎上以約15%至約35%的含量存在於該嵌段中,較佳在單體基礎上約20%至約30%,以及更佳在單體基礎上約20%至約25%。
較佳地,嵌段之該中間區域將在單體為基礎上以約5%至約70%的含量存在於該嵌段中,較佳在單體基礎上約20%至約60%,以及更佳在單體基礎上約50%至約60%。如本文所用者,「在單體基礎上」指的是以被視為100重量%特定區域或嵌段中單體總數目計之單體。例如,如果該接面區域係在單體為基礎上以約25%的含量存在於BCP之第一嵌段中,意謂著如果第一嵌段具有50,000個單體,該接面區域將包含約12,500(50,000之25%)個單體。首先使用GPC求得分子量以確定單體數目,然後使用該分子量計算單體數目。
如上所述,可以藉由包括調整或改變該嵌段的一或多種性質的調整性質單體來完成改質的BCP的嵌段。然而,與以隨機方式在一個聚合物嵌段中包括調整性質單體的先前技術方法不同,本發明以非隨機(即,受控制)方式進行。這種作法額外使調整性質單體的分佈在改質嵌段的整個長度上不均勻。換句話說,特定的調整性質單體將以更高度集中的方式在沿著改質嵌段長度的一個或多個點處(即,在末端區域、中間區域、及/或接面區域中一者)加載。較佳地,增加的濃度發生在末端區域及/或接面區域中的一或二處。在一個 較佳具體實例中,調整性質單體的濃度在末端區域或接面區域中之一處將等於或大於在末端區域或接面區域中的另一處的相同單體,視希望改質特定性質之所在位置而定。此如流程B所示,其中X或Y表示調整性質單體,X或Y中的另一個表示不同的單體,諸如用於DSA方法的典型單體。(為求簡單起見,其餘的流程僅顯示對第二個嵌段的改質。但是,相同的改質可以應用於第一個嵌段或二個嵌段,如流程A所示。)
改質嵌段的中間區域也可包括增加濃度的調整性質單體,但在較佳具體實例中,調整性質單體在中間區域中存在的量不超過在相同中間區域中調整性質單體以外的單體含量。在另一個較佳具體實例中,調整性質單體與中間區域中的其他單體呈共聚單體以基本相似的含量存在。(參見流程B,例如,其中X和Y是中間區域中的共聚單體)。在另一個具體實例中,調整性質單體的濃度在該中間區域的長度上基本均勻地增加或減少,從而產生如流程C所示中間區域整個調整性質單體的濃度梯度。
同樣,X和Y中的一者是調整性質單體,X或Y中的另一者是不同的單體,諸如用於DSA過程的典型單體。如果X是調整性質單體,則沿著(在任一方向上)該中間區域形成降低的X濃度梯度,從而影響聚合物嵌段在不同位置的性質。如果Y是調整性質單體,則沿著(在任一方向上)該中間區域形成增加的Y濃度梯度,從而以不同方式影響聚合物嵌段在不同位置的性質。
儘管顯示三個不同的區域,但在一些具體實例中,中間區域及末端區域可包含基本上相同的單體組成。例如,在中間區域包括單體之濃度梯度的情況下,該梯度在末端區域持續著,如流程D所示。
在前述每一個流程A-D中,較佳地X及Y中的一者是用於習用BCP極性嵌段或習用BCP非極性嵌段的單體或單體混合物,視哪個嵌段被改質而定。無論具體實例為何,較佳的是,一個嵌段被設計為極性而另一個嵌段被 設計為非極性。
前述示意圖描述僅存在一種調整性質單體的情況。然而,視最終用戶的特定需要而定,也可包括二或更多種調整性質單體。還應當理解,如上所述改變BCP的改質嵌段內的單體之濃度允許某些性質沿著其最有利的BCP部分更加局部化。例如,高-χ單體可以集中在接面區域以減小特徵尺寸,而低Tg單體可以遠離接面區域(並且較佳在末端區域)集中,以便改質線邊緣粗糙度(line edge roughness)LWR以及熱退火動力學,產生具有良好性能和低缺陷率的高χ。
在一個具體實例中,根據本發明改質之嵌段之接面區域中,調整性質單體之濃度在單體為基礎上為至少約95%,較佳地在單體為基礎上為至少約99%,以及較佳地在單體為基礎上約100%。如上所述,「在單體為基礎上」意謂著如果,例如,接面區域中調整性質單體含量為約95%,則接面區域中每100個總單體約95個為調整性質單體。額外地,如果接面區域中調整性質單體之濃度據說為大於中間區域中調整性質單體之濃度,則接面區域中在單體為基礎上總調整性質單體%大於中間區域中在單體為基礎上總調整性質單體%。所以,如果接面區域具有100個總單體且95個為調整性質單體,且中間區域在總數3,000個總單體中具有250個調整性質單體,則調整性質單體之濃度在接面區域中仍然較高,即使調整性質單體的絕對數目在中間區域中較高。
在其它具體實例中,根據本發明改質之嵌段之接面區域中調整性質單體之濃度在單體為基礎上小於約2%,較佳地在單體為基礎上小於約1%,以及較佳地在單體為基礎上約0%。
在進一步具體實例中,改質嵌段之末端區域中調整性質單體之濃度在單體為基礎上至少約95%,較佳地在單體為基礎上至少約99%,以及較佳地在單體為基礎上約100%。
在其它具體實例中,改質嵌段之末端區域中調整性質單體之濃度在單體為基礎上小於約2%,較佳地在單體為基礎上小於約1%,以及較佳地在單體為基礎上約0%。
在進一步具體實例中,改質嵌段之中間區域中調整性質單體之濃度在單體為基礎上至少約95%,較佳地在單體為基礎上至少約99%以及較佳地在單體為基礎上約100%。
在其它具體實例中,改質嵌段之中間區域中之調整性質單體之濃度在單體為基礎上為小於約2%,較佳地在單體為基礎上小於約1%,以及較佳地在單體為基礎上約0%。
應當理解,給定區域的每種前述調整性質單體之濃度可以獨立地混合併與其它兩個區域中的一個或兩個的任何調整性質單體之濃度相匹配。
2. 單體選擇
a. 極性嵌段
較佳地,二種聚合物嵌段中之一者為極性嵌段且包含選自由以下組成之群之重複單體:甲基丙烯酸酯(諸如甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸甲氧基乙酯、甲基丙烯酸羥基乙酯、甲基丙烯酸羥基丙酯、甲基丙烯酸3-羥基丙酯、二(乙二醇)甲基醚甲基丙烯酸酯、以及聚(乙二醇)甲基丙烯酸酯,丙烯酸酯(諸如丙烯酸甲酯、甲基醚丙烯酸酯、丙烯酸乙酯、丙烯酸甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯,以及丙烯酸羥基丙酯),甲基丙烯酸、丙烯酸、乳酸、環氧乙烷、乙烯基酯、乙烯基醯胺,以及其組合。大多數具體實例中,極性嵌段中不為調整性質單體之任何單體典型地來自此群。
有許多適合用於極性嵌段的調整性質單體。適合的低Tg單體包含選自由以下組成之群:甲基丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚甲基丙 烯酸酯、聚(乙二醇)甲基丙烯酸酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯,以及其組合。
用於極性嵌段之合適高-χ單體包含選自由以下組成之群:聚乳酸、具有聚乳酸側鏈部分之丙烯酸酯單體,以及其組合。
b. 非極性嵌段
較佳地,二種聚合物嵌段中之一者為非極性嵌段且包含選自由以下組成之群之重複單體:苯乙烯、甲基苯乙烯、乙烯基聯苯、第三丁基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、甲氧基苯乙烯、氟苯乙烯、二氟苯乙烯、五氟苯乙烯、三氟甲基苯乙烯、二苯甲基苯乙烯、乙烯基苯并環丁烯、乙烯基萘、乙烯基菲、乙烯基噻吩、乙烯基茴香醚、乙烯基蒽、乙烯基吡啶、烷基苯乙烯(烷基:CnH2n+1,n1)、烷氧基苯乙烯、(烷氧基:CnH2n+1O,n1),烷基乙烯基苄基醚、乙烯基苄基醚單體、三甲基矽基苯乙烯、9-(4-乙烯基苄基)-9H-咔唑,以及其組合。大多數具體實例中,非極性嵌段中不為調整性質單體之任何單體典型地來自此群。
也有許多適合用於非極性嵌段之調整性質單體。例如,適合的低Tg單體包含該等選自由以下組成之群:4-烷基苯乙烯、(烷基:CnH2n+1,n1)、3-烷基苯乙烯、(烷基:CnH2n+1,其中n1)、4-烷氧基苯乙烯、(烷氧基:CnH2n+1O,其中n1)、3-烷氧基苯乙烯、(烷氧基:CnH2n+1O,其中n1)、1-(4-甲苯基氧基甲基)-4-乙烯基苯、烷基乙烯基苄基醚、乙烯基苄基醚單體,以及其組合。
當使用烷基乙烯基苄基醚時,一種較佳式為 其中n1,且較佳地為1至約6。
當使用乙烯基苄基醚單體時,較佳單體包含該等選自由以下組成之群: 其中R為選自由以下組成之群:-CH3、-CnH2n+1、-OCnH2n+1 其中n1,且較佳地為1至約6。
在非極性嵌段中,合適的高-χ單體包含,但不限於,2-甲基苯 乙烯、3-甲基苯乙烯、4-甲基苯乙烯、3-乙烯基聯苯、4-第三丁基苯乙烯、2,4-二甲基苯乙烯、3,4-二甲基苯乙烯、2,5-二甲基苯乙烯、3,5-二甲基苯乙烯、2,4,6-三甲基苯乙烯、4-甲氧基苯乙烯、4-氟苯乙烯、3-氟苯乙烯、2-氟苯乙烯、2,3-二氟苯乙烯、2,4-二氟苯乙烯、五氟苯乙烯、4-乙烯基聯苯、4-二苯甲基苯乙烯、4-乙烯基苯并環丁烯、1-乙烯基萘、2-乙烯基萘、2-乙烯基菲、9-乙烯基菲、2-乙烯基噻吩、9-乙烯基蒽、以及其組合。
在非極性嵌段中,合適的高蝕刻率單體包含,但不限於,乙烯基苯并環丁烯、1-乙烯基萘酸酯(1-vinylnapthlalate)、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲,4-三甲基矽基苯乙烯、以及其組合。
除了以上所述單體,也可以使用以下文獻敘述之調整性質單體:U.S.專利第9,123,541號以及U.S.專利申請公開案第2015/0197594號、2018/0163003號、以及2018/0254189號,每一案以全文引用的方式併入本文中。
在一個較佳具體實例中,非極性嵌段為BCP之改質嵌段。在此情況下,非極性嵌段中之主要單體為苯乙烯,但是高-χ單體諸如4-乙烯基苯并環丁烯、4-乙烯基聯苯、及/或4-甲基苯乙烯係集中於接近嵌段接面。
在一個較佳具體實例中,BCP之極性嵌段為甲基丙烯酸甲酯與甲基丙烯酸甲氧基乙酯之隨機共聚物,且BCP之非極性嵌段為經4-乙烯基聯苯改質之共聚物且4-甲基苯乙烯單體集中於接近該二嵌段之接面區域,且4-甲基苯乙烯、苯乙烯、以及1-(4-甲苯基氧基甲基)-4-乙烯基苯)集中在非極性嵌段之末端區域。在此具體實例中,產生高-χBCP可以實現快速相分離並具有長程有序。藉由用與非極性嵌段中的其他單體相比具有更高χ的單體替換接面區域附近的非極性嵌段的一些單體,可以藉由減少非極性嵌段蝕刻速率來降低橋極缺陷並且增加極性和非極性嵌段之間的蝕刻選擇性。
在其它較佳具體實例中,BCP之極性嵌段為甲基丙烯酸甲酯與具有聚乳酸側鏈之甲氧基乙基單體之隨機共聚物,且非極性嵌段包含接近接面區域或在接面區域中之(9-(4-乙烯基苄基)-9H-咔唑以及3,4-甲基苯乙烯,該接面區域過渡(較佳地呈梯度方式)至在非極性嵌段末端之苯乙烯。此具體實例產生較高χ BCP且增進對極性嵌段之蝕刻選擇性。
在具有一個改質嵌段之具體實例中,其它嵌段可在嵌段中僅包含一種單體(亦即,為均聚合物),或其可包含隨機共聚物。
3. 聚合方法
用於BCP之合適嵌段可藉由使用受控自由基聚合技術而產生,該受控自由基聚合反應技術包含,但不限於,可逆加成斷裂鏈轉移(RAFT)、以及原子轉移自由基聚合反應(ATRP)、穩定自由基調節聚合反應(SFRP)、氮氧調節自由基聚合反應(NMP),或其組合,以RAFT最佳。
典型RAFT聚合反應使用自由基起始劑、鏈轉移劑(亦即硫羰硫基化合物)、選定的單體、以及溶劑。該聚合反應係在無氧環境下之適合溫度下進行,然後在適合產生具有控制良好分子量之聚合物的時點停止。
流程E顯示一種較佳RAFT聚合方法,其使用三步驟、二部分反應而產生二嵌段共聚物。
第一,一或多種單體(單體或單體混合物A,視嵌段是均聚合物或共聚合物而定)係在自由基起始劑、鏈轉移劑(諸如硫羰硫基化合物)、以及視需要選用之溶劑存在下經由RAFT聚合反應聚合而產生在一個鏈末端具有鏈轉移劑部分之第一聚合物或共聚物(流程E中之聚合物A)。要理解的是,單體或單體混合物A可如上所述加以選擇。額外地,單體或單體混合物A之選擇將受到是否第一嵌段欲為極性或非極性以及經改質或未經改質之影響。
第一聚合物(也為第一嵌段),然後在第二反應中作為巨分子鏈轉移劑,以在自由基起始劑以及視需要選用溶劑存在下,實現第二單體或單體混合物(單體或單體混合物B1)之聚合反應,而產生嵌段共聚物(流程E中之A-B1二嵌段共聚物,其中第二嵌段之B1區域可等同於前述之接面區域)。
在第三步驟中,第三單體或單體混合物(流程E中之單體或單體混合物B2被加入而產生第二嵌段中之共聚物。在此例中,第二嵌段之B1B2區域可等同於前述之中間區域,在其中在該第二嵌段中未產生不同末端區域之具體實例受到例證。此導致形成A-b-B1-B1B2嵌段共聚物,其中B1B2區域總體跨度可為典型共聚物或在本質上可為梯度,且總體B1-B1B2嵌段本質上為梯度。應當理解的是,可在一段時間內或多重次步驟中加入第三單體或單體混合物,以期達成在中間區域及末端區域中之較佳梯度。
與單體或單體混合物A的情況一樣,應當理解的是單體或單體混合物B1以及單體或單體混合物B2也可如上所述選擇。額外地,單體B1及/或B2及/或單體混合物B1及/或B2之選擇將受到是否此第二嵌段意需為極性或非極性,以及經改質或未經改質之影響。
或者,反應順序可以倒過來,換言之,可以首先形成第二嵌段,繼而形成第一嵌段。在如先前討論之進一步具體實例中,可以調整反應使得第一及第二嵌段二者包含單體非隨機分佈及/或包含整個嵌段梯度性質。又更 佳地,一個嵌段為極性嵌段,且一個嵌段為非極性嵌段。應該理解的是,視使用者需要而定,可選擇B1或B2其中之一作為調整性質單體。額外地,可以使用此方法實現其它BCP單體序列,並且可以針對特定情況設計該等序列。
RAFT聚合反應方法之適合的自由基起始劑包含,但不限於,2,2’-偶氮雙(2-甲基丙腈)(AIBN)、4,4'-偶氮雙(4-氰基戊酸)(ACVA),以及1,1'-偶氮雙(環己腈)(ACHN)。
適合鏈轉移劑包括(但不限於)二硫代苯甲酸2-氰基-2-丙酯、二硫代苯甲酸2-苯基-2-丙酯、4-氰基-4-(苯基硫羰基硫基)戊酸、三硫碳酸2-氰基-2-丙酯十二烷酯及4-氰基-4-[(十二烷基磺醯基-硫羰基)磺醯基]戊酸。
適合的溶劑包含,但不限於,甲苯、1,4-二烷、四氫呋喃、丙二醇單甲基醚乙酸酯(PGMEA)、乙酸乙酯、丙二醇單甲基醚(PGME)、以及苯甲醚。
4. 本發明嵌段共聚物性質
本發明嵌段共聚物具有約1,000g/mol至約100,000g/mol,較佳地約5,000g/mol至約60,000g/mol,以及更佳地約10,000g/mol至約25,000g/mol之數目平均分子量。較佳地,本發明BCP之聚分散性指數(PDI)為約1.01至約1.50,且更佳地約1.04至約1.25。如本文所用者,PDI、數目平均分子量、以及重量平均分子量每一者係使用以下參數且由GPC確定:動相THF;Column-WATERS Styragel HR4,HR4E,HR 3,708x300mm;流速-1.0ml/分鐘;Detector-RI;管柱溫度40℃;使用聚苯乙烯標準。
本發明嵌段共聚物的χ值為聚苯乙烯及聚(甲基丙烯酸甲酯)嵌段共聚物χ值至少約1.2倍,且較佳地至少約1.5倍。
此外,在一些具體實例中,本發明嵌段共聚物的Tg(本文中係藉差示掃描量熱法確定)比聚苯乙烯及聚(甲基丙烯酸甲酯)嵌段共聚物之Tg至少低 約10%(亦即,小於約90%),且較佳地至少低約20%(亦即,小於約80%)。在極性嵌段中(例如,丙烯酸甲酯嵌段),嵌段較佳的Tg為約0℃至約120℃,且較佳為約30℃至約100℃。在非極性嵌段中(例如,苯乙烯、嵌段),嵌段的Tg較佳為約0℃至約100℃,且較佳為約60℃至約85℃。
嵌段共聚物中第一與第二嵌段之比率隨著所需聚合物自組裝微結構以及性質而改變。然而,典型地,第一嵌段與第二嵌段之體積比率為約10:90至約90:10。如本文所用之「體積比率」為聚合物每一嵌段之「體積」比率(當體積係以取聚合物嵌段之分子量來計算),並將其除以聚合物該嵌段密度。當所形成結構為圓柱體,體積比率典型地為約80:20至約60:40,或40:60至20:80。當所形成結構為薄層,體積比率典型地為約40:60至約60:40。
本發明組成物
將嵌段共聚物調配成包含一或多種溶解或分散於溶劑系統之聚合物、以及包含界面活性劑、酸或鹼催化劑及/或交聯劑之視需要選用之組分之組成物。較佳的組成物以組成物的總重量為100重量%計較佳地具有約0.1%至約5%,更佳約0.1%至約2%之固體含量。
在一個具體實例中,可以將一或多種嵌段共聚物與一或多種其它嵌段共聚物摻混成最後調配物中,並且與視需要選用之包含界面活性劑、酸或鹼催化劑、及/或交聯劑之組分溶解或分散於溶劑系統中。摻混BCP可以進一步降低缺陷率、改質圖案化,並允許BCP在退火過程中形成不同的結構。
使用本發明組成物之方法
在一個具體實例中,高-χ BCP係用於DSA圖案化流程中。在此方法中,將BCP塗覆到一系列底層上並退火以形成所需圖案。此圖案可以與預圖案結合使用,諸如在圖案化的光阻或硬遮罩上,或單獨使用。
BCP層可以藉由任何已知的塗覆方法形成,一種較佳的方法是 以約500至約2500rpm,較佳約1000至約1500rpm的速度旋塗約30至約90秒的時間,較佳約50至約60秒。在施加BCP層之後,較佳將其加熱至約100℃至約300℃的溫度,更佳約110℃至約250℃,並且持續約30秒至約24小時,較佳約60秒至約600秒的時間,使材料退火。或者,可以使用N2覆蓋層對BCP層進行退火,這有助於穩定性,或者該層可以在空氣中退火。第二烘烤階段可用於在微相分離後使材料交聯。以每個聚合物嵌段的分子量計,烘烤後BCP層的厚度較佳為約5nm至約80nm,更佳約10nm至約50nm。
將BCP層塗覆在一系列一或多個底層上,該底層選自包含底部抗反射塗層、中性刷塗層、硬遮罩中性層(HM NL)、硬遮罩、富碳(例如,旋塗碳),或其它層之群。在一些情況下,單層(諸如HM NL)可以執行多層的功能,諸如底部抗反射塗層、中性刷塗層及硬遮罩的功能。
1. 視需要選用硬遮罩層
硬遮罩層可以藉由任何已知的塗覆方法形成,一種較佳的方法是以約1,000至約5,000rpm,較佳約1,250至約1,750rpm的速度旋塗約30至約120秒,較佳約45至約75秒的時間。合適的硬遮罩層較佳是高矽含量材料,其係選自矽烷、矽氧烷及倍半矽氧烷。例示性硬遮罩層通常會損害溶解或分散在溶劑系統中的聚合物,以及以下視需要選用之成分:界面活性劑、酸或鹼催化劑及交聯劑。以組成物的總重量為100重量%計,較佳的組合物較佳具有約0.1%至約70%,更較佳約0.5%至約10%,甚至更較佳約1%至約2重量%的固體含量。在施加硬遮罩之後,較佳將其加熱至約100℃至約300℃的溫度,更較佳約150℃至約250℃,並且持續約30秒至約120秒的時間,較佳約45秒至約60秒,以蒸發溶劑。烘烤後的硬遮罩層厚度較佳為約5nm至約50,000nm,更較佳為約5nm至約1000nm,甚至更較佳為約10nm至約100nm。在富含氟的電漿氣氛中,硬遮罩層的蝕刻速率應至少為嵌段共聚物的0.75倍,並且在富氧電漿蝕刻氣氛中的蝕 刻速率應至少比SOC低5倍。施加BCP溶液時,硬遮罩厚度不應受溶劑影響。
可以使用一些商業硬遮罩層。其它較佳的硬遮罩層含有選自以下群的單體共聚物:苯乙基三甲氧基矽烷(PETMS)、2-(甲酯基)乙基三甲氧基矽烷(CMETMS)、四乙氧基矽烷(TEOS)、甲基三甲氧基矽烷和苯基三甲氧基矽烷。
2. 視需要選用富碳層
富碳層可以藉由任何已知的塗覆方法形成,一種較佳的方法是以約1,000至約5,000rpm,較佳約1250至約1,750rpm的速度旋塗約30至約120秒,較佳約45至75秒的時間。術語「富含碳」是指由包含大於約50重量%碳,較佳大於約70重量%碳,更較佳約75%至約80重量%碳的組合物形成的層(以組合物中的總固體量為100重量%計)。合適的富碳層係選自由旋塗碳層(SOC)、無定形碳層及碳平面化層組成之群。例示性的富碳層通常會損害溶解或分散在溶劑系統中的聚合物,以及以下視需要選用之組分:酸及/或鹼猝滅劑、催化劑、交聯劑及界面改質添加劑。較佳的組合物適合於形成厚層,並且較佳具有約0.1%至約70%,更佳約5%至約40%,甚至更佳約10%至約30重量%的固體含量(以組合物的總重量為100重量%計)。在施加富碳組合物後,較佳將其加熱至約100℃至約300℃的溫度,更佳約160℃至約250℃,並持續約30秒至約120秒,較佳約45秒至約60秒的時間,以蒸發溶劑。烘烤後的富碳層的厚度較佳為約10nm至約50,000nm,更佳為約100nm至約5000nm,甚至更佳為約500nm至約1500nm。
基板較佳為半導體基板,諸如矽、SiGe、SiO2、Si3N4、SiON、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、Ti3N4、鉿、HfO2、釕、磷化銦、珊瑚、黑色金剛石、玻璃或前述物質的混合物。視需要選用之中間層可在加工之前形成在基板上。
3. 替代施用方法
a. 形貌磊晶
儘管先前描述的BCP施用方法是較佳具體實例,但是應當理解,也可以進行多種變化。這些變化在美國專利申請第2013/0273330中有詳細描述,該專利以引用方式併入本案。例如,本發明可用於微影輔助(例如,形貌磊晶)自組裝。總之,使用基板、視需要選用之中間層(例如,富碳層)以及硬遮罩層如上所述製備疊層。按照習用方法在固化的硬遮罩層上形成成像層。用作成像層的合適光敏組合物包括可在曝露於至少約1mJ/cm2輻射時圖案化的任何組合物,例如光阻劑、抗反射成像層等。
然後,成像層可以在至少約80℃,較佳約100℃至約140℃的溫度下進行後施加烘烤(「PAB」),持續約10秒至約120秒的時間(較佳約30秒至約60秒)。成像層的厚度較佳為約10nm至約300nm,更佳為約20nm至約150nm,甚至更佳為約30nm至約100nm。
然後可以例如藉由曝露於適當波長的輻射(例如,在光學微影的情況下的光),然後再次按照習用方法使成像層的未曝光部分顯影來圖案化該成像層。例如,可以使用位於成像層上方的遮罩使成像層曝光。遮罩具有開放區域,其被設計成允許輻射(hν)穿過遮罩並接觸成像層以產生成像層的暴露部分,這些部分變得不溶於溶劑(當使用負型(negative-tone)光阻時)。遮罩的剩餘固體部分被設計成防止輻射在某些區域中接觸成像層,以產生維持溶劑可溶的成像層的未曝光部分。本領域技術人員將容易理解,開放區域和固體部分的佈置是基於要在成像層中形成的所需圖案來設計的,儘管本發明方法特別適用於大多數成像的暗場曝光(dark-field exposure),保護成像層免受輻射,形成凸起如線和柱的特徵。
曝光後,成像層較佳在約80℃至約150℃,更佳在約100℃至約 130℃的溫度下進行曝光後烘烤(「PEB」)達約30秒至約60秒時段。在曝光時,成像層曝露於輻射的部分變得不溶於有機(較佳非鹼性)溶劑顯影劑。然後使曝露的成像層與溶劑接觸以除去未曝光部分,以在成像層中形成所需的「預圖案」。或者,當使用正型光阻時,在曝光過程中,成像層的曝光部分可以在水性顯影劑(例如,鹼性顯影劑)或溶劑中變得可溶,在這種情況下,去除過程與以上所述者相反。換言之,在顯影期間去除曝露部分以形成圖案(未顯示)。
在任一具體實例中,成像層的至少約95%未曝光(或曝光,視情況而定)部分較佳會藉由顯影劑移除,更佳至少約99%,甚至更佳約100%被移除。合適的非鹼性溶劑顯影劑包括乙酸正丁酯、丙酸正丁酯、丁酸異丁酯及/或酮(例如2-庚酮)。用於正型成像層的合適鹼性顯影劑是有機或無機鹼性溶液,諸如氫氧化鉀(KOH)以及四甲基氫氧化銨(TMAH),並且較佳包含濃度為0.26N或更低的TMAH水溶液。一部分這些顯影劑以商品名PD523AD(可從Moses Lake Industries,Inc.,Moses Lake,WA獲得)、MF-319(可從Shipley,Massachusetts獲得)、MF-320(可從Shipley獲得)以及NMD3(可從TOK,日本獲得)商業化。
因此,當從堆疊移除成像層的該等選定部分時,預圖案的形成導致成像層下面的硬遮罩層的部分未被覆蓋或曝露。所得的預圖案較佳地包括在遮罩層上形成的凸起特徵(例如,線、柱、方形島或其組合)。這些特徵在化學上與成像層的曝露部分相同,並且每個特徵由相應的側壁及相應的頂部表面限定。應當理解,在替代具體實例中,可以使用任何其它合適的圖案化方法來圖案化成像層並形成凸起特徵,包括多個圖案化方法,以及浸沒式微影。如上所述,還應當理解,也可以使用正型抗蝕劑或光敏材料,以取代本文所述的負型成像層。在這種情況下,成像層的未曝光部分維持不溶,而曝光部分變得可溶並用顯影劑移除。也可以使用其它圖案化方法,包括嶄新技術,例如壓印微影、奈米壓印微影、熱壓印光微影以及沖壓圖案轉移。如上所述,這些技術使 用圖案化模具來轉移圖案而不是依賴於微影圖案化。
無論具體實例如何,一旦形成所需要的預圖案,可以將根據本發明的DSA組合物施加到圖案化的疊層,使得其流入凸起特徵之間的空間(即,直接鄰近硬遮罩),並且鄰近凸起特徵的側壁。在一個或多個具體實例中,自組裝組合物還可以覆蓋凸起特徵的頂部表面。然而,在其他具體實例中,自組裝組合物較佳不覆蓋凸起特徵的頂部。換句話說,自組裝組合物沉積在凸起特徵之間並鄰近特徵側壁,但不存在於凸起特徵的頂部表面。結果,凸起特徵的頂部表面保持敞開以藉由溶劑移除或蝕刻而容易地移除,而不需要回蝕刻步驟或自組裝層的其它改質以曝露預圖案。然後可以如上所述對DSA組合物進行自組裝或退火,以在自組裝或退火層中產生第一自組裝區域及第二自組裝區域,其中第一或第二自組裝區域中的一個與凸起的特徵側壁相鄰,並且第一或第二自組裝區域中的另一個與凸起特徵分開。
然後可以移除第一或第二自組裝區域中的任一個以產生圖案。例如,然後可以移除第一自組裝區域以在圖案化疊層上的DSA層中產生圖案,然後將此圖案向下轉移到硬遮罩及富碳中間層中。應當理解,可以移除第二自組裝區域,而不移除第一自組裝區域。無論如何,最終的圖案最終向下傳遞到基板中。圖案通常包括諸如線、空間、圓柱體及/或孔的特徵。有利地,這些特徵的平均(平均)相應特徵尺寸小於約20nm,較佳小於約15nm,更佳小於約10nm,甚至更佳約1nm至約10nm。這裡使用的術語「特徵尺寸」是指在堆疊的SEM橫截面上測量的特徵的平均(平均)寬度(因此在孔的情況下,寬度與孔直徑相同)。
b. 化學磊晶I
在另一個具體實例,化學磊晶可用於導引DSA圖案。在一種化學磊晶方法流程中,製備包括基板、視需要選用之中間層、可切換的底層以及 成像層(如上所述)之堆疊。使用先前描述的方法或任何其他習用方法在成像層中產生預圖案。因此,當從堆疊中移除成像層的該等選定部分時,預圖案的形成導致成像層下面的底層的部分未被覆蓋或曝露。與底層相鄰的成像層的其餘部分作為用於底層的表面改質的遮罩。在一個或多個具體實例中,使用光微影和顯影劑(例如鹼性顯影劑)或溶劑沖洗使成像層圖案化。或者,使用另一種合適的方法將成像層圖案化,然後與顯影劑溶液(例如鹼性顯影劑)或溶劑接觸。無論如何,底層的曝露部分與顯影劑溶液接觸(單獨地或在顯影劑沖洗期間)。然後移除成像層的其餘部分(例如,用溶劑),產生具有表面改質區域及未改質區域的底層,其中表面改質區域對應於在成像層圖案化期間未被覆蓋的底層部分。有利地,與顯影劑(特別是與鹼性顯影劑)接觸會改變底層的表面能。在一個或多個具體實例中,表面能增加並導致底層的表面改質區域失去其作為中性層的能力並在自組裝方法中引起對準對準。然而,在圖案化及顯影劑接觸期間仍由成像層覆蓋的底層的未改質區域仍保持其中性層性質。因此,表面改質區域對應於非對準區域,而未改質區域對應於底層上的對準區域。因此,活性對準區域具有在自組裝期間成為形成圖案的引導結構的能力
c. 化學磊晶II
在第二化學磊晶方法中,製備包括基底、視需要選用之中間層以及可切換的底層之堆疊。在該方法中,可切換的底層直接暴露,產生具有表面改質區域以及未改質區域的底層,其中表面改質區域對應於曝露者。有利地,曝光改變底層的表面能。在一個或多個具體實例中,表面能增加並導致底層的表面改質區域失去其作為中性層的能力並在自組裝方法中引起對準。然而,在圖案化和顯影劑接觸期間仍由成像層覆蓋的底層的未改質區域仍然保持其中性層性質。因此,表面改質區域對應於非對準區域,而未改質區域對應於底層上的對準區域。因此,活性對準區域具有在自組裝期間成為形成圖案的引 導結構的能力。
然後在表面改質的底層頂部直接形成DSA層,使得DSA層與表面改質的區域以及未改質的區域之間直接接觸。然後,如上所述使DSA層自組裝以允許組件自組裝。由於表面改質,自組裝層將僅自組裝入與底層未改質區域相鄰的DSA層該等部分中第一自組裝區域以及第二自組裝區域。換句話說,與底層的表面改質區域相鄰的DSA層部分在退火或自組裝期間不會分離或分開進入圖案中,並且是「未組裝的」或「未對準的」。應理解的是,可替代的,表面改質區域及非改質區域可以顛倒,即表面改質區域可以允許DSA層自組裝,而未改質區域可以使DSA層「未組裝」或「未對準的」。然後,可以選擇性地移除第一或第二自組裝區域中的一個,然後將得到的圖案蝕刻到底層及視需要選用之中間層中。該圖案最終向下傳遞到基板中。在不使用可切換底層的具體實例中,需要中間層,其將形成改質及未改質的面積或區域,並且該方法的其餘部分將如上所述進行。
無論施用方法如何,應當理解,在每種前述方法中,自組裝或退火導致DSA層中奈米相分離,這允許形成通常使用習用光學微影技術無法實現的奈米尺寸圖案。還應理解,儘管本方法說明在DSA層中形成至少兩個不同的退火或自組裝區域,但可以設想可以調配能夠分離成為兩個以上不同相的額外DSA材料,包括第三及第四退火或自組裝區域。藉由形成薄層的BCP可以實現約12至約40nm的L0範圍。另外,可以實現約6至約20nm的線空間特徵(藉由SEM識別)。藉由本發明形成圓柱體的嵌段共聚物也可以實現尺寸範圍為約6nm至約20nm的圓柱體結構,例如孔或柱。這些BCP的垂直取向可以藉由短時間的熱退火來實現。
開發的BCP提供聚合物嵌段之間平衡表面能以及可調χ和玻璃轉移溫度(Tg)的優點。在一個具體實例中,聚合物嵌段之間的平衡表面能使得 BCP能夠藉由簡單的熱退火實現垂直取向,而不需要溶劑退火或頂塗。對於層狀結構的BCP而言,可以調節χ值以實現低至12nm的Lo(CP自然間距),對於圓柱體結構的BCP而言,可以調節小至6nm的孔/柱尺寸。在使用Tg調節單體的具體實例中,BCP的Tg可以在10-90℃的範圍內調節,比PS-b-PMMA的Tg低至少20℃。藉由降低BCP的Tg促進增強的聚合物鏈移動性也可以改質熱退火期間BCP自組裝的動力學。
在閱讀本文的揭示內容以及以下的實施例之後,本揭示的各種具體實例的其他優點對於本領域技術人員而言將是顯而易見的。要理解的是,除非另外指出,否則本文描述的各種具體實例不一定是相互排斥的。舉例而言,在一個具體實例中描述或描繪的特徵也可以被包括在其他具體實例中,但不一定被包括在內。因此,本發明包含本文描述的具體實施例的各種組合及/或整合。
如本文所用者,用語「及/或」當用於兩個或更多個項目的列表時,意味著所列舉的項目中的任何一個可以單獨使用,或者可以使用所列舉項目中的兩個或更多個的任何組合。舉例而言,如果組合物被描述為包含或排除部分A、B及/或C,則該組合物可以包含或排除單獨A;單獨B;單獨C;A及B的組合;A及C的組合;B及C的組合;或A、B及C的組合。
本說明書亦使用數值範圍來定量與本發明各具體實例相關之某些參數。應瞭解,在提供數值範圍時,該等範圍應理解為為僅引用範圍下限值之主張極限以及僅引用範圍上限值之主張極限提供文字支持。舉例而言,約10至約100之揭示之數值範圍為引用「大於約10」之主張(無上限約束)及引用「小於約100」之主張(無下限約束)提供文字支持。
實施例
以下實施例闡述本發明之方法。然而應瞭解,提供該等實施例 是為了說明本發明,且其中任何內容均不應視為對本發明之整體範圍的限制。
實施例1 BCP 1之合成
第一步驟中,將甲基丙烯酸甲酯(「MMA,」40克,Sigma Aldrich,St Louis,MO)、甲基丙烯酸2-甲氧基乙酯(「MEMA,」20克,Sigma Aldrich,St Louis,MO)、2-氰基-2-丙基苯并二硫代酸酯(0.227克,Strem Chemicals Inc.,Newburyport,MA)、2,2’-偶氮雙(2-甲基丙腈)(0.025克,Sigma Aldrich,St Louis,MO)以及甲苯(50克,Sigma Aldrich,St Louis,MO)加入圓底燒瓶中並用氮氣吹掃30分鐘。將反應在60℃下加熱16小時,然後冷卻至室溫,用丙酮(30克,Sigma Aldrich,St Louis,MO)稀釋,並沉澱到己烷(800毫升,Alfa Aesar,Ward Hill,MA)。藉由由真空過濾收集所得固體,並在45℃下真空乾燥過夜。最後,收集11.8克所得聚合物(「P(MMA-r-MEMA)」,並藉由GPC分析,相對於具有多分散指數(「PDI」)1.12之聚苯乙烯(「PS」)標準品,分析結果為13.2kg/mol。
第二步驟中,將來自步驟1之1.5克P(MMA-r-MEMA)與1.67克4-乙烯基聯苯(「VBP,」Sino-Biochemical,Shanghai,China)、2.55克4-甲基苯乙烯(「MS,」Sigma Aldrich,St Louis,MO)、2毫克2,2’-偶氮雙(2-甲基丙腈)、以及4克甲苯在第一100毫升圓底燒瓶中混合。將溶液用氮氣吹掃30分鐘並加熱至75℃達1小時37分鐘。反應期間,用2.8克苯乙烯(「S,」Sigma Aldrich,St Louis,MO)、7.08克4-甲基苯乙烯、以及5.4克1-(4-甲苯基氧基甲基)-4-乙烯基苯(Wuxi Vsparkle International Trading Co.,Ltd,Yiking,Jiangsu,China)在第二100毫升圓底燒瓶中進行製備。將該溶液用氮氣吹掃30分鐘,然後進行無空氣轉移至含有第一混合物的100毫升圓底。然後使合併的混合物在75℃下再反應2小時,之後將反應混合物冷卻至室溫。然後將反應混合物用5克丙酮稀釋,並沉澱到環己烷(Alfa Aesar,Ward Hill,MA)與己烷(Alfa Aesar,Ward Hill,MA)的1:1(v/v)混合 物中。藉由真空過濾收集所得固體,並在45℃下真空乾燥過夜。最後,收集2.5克BCP1並藉由GPC分析,相對於PDI為1.10之PS標準品,分析結果為22.4kg/mol。
實施例2 BCP 2之合成
第一步驟中,將19.02克甲基丙烯酸甲酯、1.30克甲基丙烯酸2-羥基乙酯(「HEMA,」Sigma Aldrich,St Louis,MO)、0.161克2-氰基-2-丙基苯并二硫代酸酯、15毫克2,2’-偶氮雙(2-甲基丙腈)、以及30克乙酸乙酯(Alfa Aesar,Ward Hill,MA)加入圓底燒瓶並用氮氣吹掃30分鐘。將反應混合物在60℃加熱16小時,然後冷卻至室溫,用30克丙酮稀釋,並沉澱到450毫升己烷中。藉由真空過濾收集所得粉末,並在45℃下真空乾燥過夜。最後,收集10.8克所得聚合物(「P(MMA-r-HEMA)」)並藉由GPC分析,相對於PDI為1.10之PS標準品,分析結果為12.6kg/mol。
在第二步驟中,將1.5克來自步驟1的P(MMA-r-HEMA)與0.77克9-(4-乙烯基芐基)-9H-咔唑(「VBK,」Brewer Science,Rolla MO)、1.81克3,4-甲基苯乙烯(「MS,」TCI America,Portland,OR)、4毫克2,2'-偶氮二(2-甲基丙腈)以及4克環己酮(Sigma Aldrich,St Louis,MO)加入圓底燒瓶中並用氮氣吹掃30分鐘。將反應加熱至80℃達1小時37分鐘。在此期間,將10.34克苯乙烯加入另一個圓底燒瓶中,然後用氮氣吹掃30分鐘。在1小時37分鐘結束時,藉由無空氣轉移將該燒瓶加入第一個燒瓶中。然後將燒瓶加熱至90℃並再反應2小時,之後將其冷卻至室溫並以1:2(v:v)比例的己烷(Alfa Aesar,Ward Hill,MA)和環己烷沉澱。藉由真空過濾收集所得粉末,並在26℃下真空乾燥過夜。最後,收集3.28克粉末並藉由GPC分析,相對於PDI為1.21之PS標準品,分析結果為29.8kg/mol。
在第三步驟中,藉由將0.9克3,6-二甲基-1,4-二烷-2,5-二酮(Sigma Aldrich,St Louis,MO)加入在乾燥氮氣下冷卻的烘箱加熱的燒瓶中的此實施例的步驟2產生的3.00克BCP來完成聚乳酸(「PLA」)聚合反應。接著,加入55毫升二氯甲烷(Sigma Aldrich,St Louis,MO),攪拌溶液直至所有固體溶解。固體溶解後,加入0.6毫升5%(v/v)1,8-二氮雜雙環[5.4.0]十一碳-7-烯(Sigma Aldrich,St Louis,MO)在二氯甲烷中的溶液,並在室溫下及在乾燥氮氣攪拌反應30分鐘。藉由將溶液倒入酸化的甲醇(3毫升的1M HCl(Sigma Aldrich,St Louis,MO)中,在300毫升甲醇(Sigma Aldrich,St Louis,MO)中沉澱聚合物。藉由重力過濾收集所得聚合物,並在26℃下真空乾燥過夜。聚合產率約為2.99克。藉由GPC分析所得BCP2,相對於PDI為1.13之PS標準品,分析結果為34.5kg/mol。
實施例3 參考平台
在第一步驟中,在圓底燒瓶中製備504毫克2-氰基-2-丙基十二烷基三硫代碳酸酯(Sigma-Aldrich,St.Louis,MO)、40克甲基丙烯酸甲酯、以及28毫升甲苯之混合溶液,在氮氣氛下在室溫下攪拌15分鐘。將製備的溶液轉移到Schlenk反應燒瓶中,然後加入24.0毫克2,2'-偶氮雙(2-甲基丙腈)。藉由三次連續的冷凍-抽空-解凍循環使Schlenk反應燒瓶脫氣並真空密封。聚合反應在60℃下進行16小時。用50毫升二氯甲烷稀釋獲得之黏性反應混合物。聚合物產物在1升甲醇中沉澱並過濾收集。得到的聚合物固體藉由再溶解於50毫升二氯甲烷中並沉澱到1升甲醇中進一步純化,然後在45℃真空烘箱中乾燥24小時。經由GPC分析具有三硫代碳酸酯端基的PMMA聚合物產物,其Mn為12,500,Mw為14,200,PDI為1.14。
在第二步驟中,在圓底燒瓶中製備3.0克步驟1中製備的PMMA、4.00克苯乙烯、4.01克4-乙烯基苯並環丁烯(Sigma-Aldrich,St.Louis, MO)以及0.94克甲基苯乙烯在4克甲苯中的溶液,在氮氣氛下在室溫下攪拌15分鐘。將溶液轉移到Schlenk反應燒瓶中,並加入4.1毫克2,2'-偶氮雙(2-甲基丙腈)。藉由三次連續的冷凍-抽空-解凍循環使Schlenk反應燒瓶脫氣並真空密封。聚合反應在90℃下進行17小時。用50毫升二氯甲烷稀釋得到的反應混合物,並在0.8升甲醇中沉澱。藉由過濾收集的聚合物藉由再溶解在50毫升二氯甲烷中並沉澱到0.8升甲醇中進一步純化,然後將其在45℃真空烘箱中乾燥24小時。藉由GPC測定所得粉末的Mn為20,900,Mw為23,700,PDI為1.13,該測定使用以下參數:流動相THF;柱-WATERS Styragel HR4,HR4E,HR 3,708x300mm;流速1.0毫升/分鐘;探測器-RI;柱溫40℃;使用聚苯乙烯標準。
實施例4 自組裝測試
首先用包含30% PS及70% MMA(Tokyo Chemical Industries America,Portland,OR)之經羥基封端之P(S-r-MMA)隨機共聚物於丙二醇單甲基醚乙酸酯(「PGMEA」,Heraeus Precious Metals North America,Vandalia,OH)中之0.5重量%溶液塗覆,製備供自組裝用之矽晶圓。所得約12nm厚膜在250℃退火5分鐘,然後用PGMEA剝離以移除未反應的刷塗。然後用特定測試BCP(1.2重量%於PGMEA中)塗覆經處理之晶圓,且在180℃烘烤5分鐘以完成自組裝方法。然後使用以下參數使所得BCP膜在氧電漿中蝕刻:100W功率、60mtorr室壓力、以及30sccm O2達5秒。圖1顯示使用來自實施例1之BCP 1之SEM影像以及圖2顯示使用來自實施例2之BCP 2之SEM影像,二者皆為200 kx放大率。
測試BCP 1並與其它平台之功效作比較。表1顯示此測試之結果,其顯示利用根據本發明接面改質BCP表現出在無負面影響線邊緣粗糙度(「LER」)之下減少缺陷以及改質相關長度。這些都是使用具有插件(plugin)之 ImageJ軟體確定的,如以下所解說:Murphy JN,Harris KD,Buriak JM(2015),Automated Defect and Correlation Length Analysis of Block Copolymer Thin Film Nanopatterns.PLoS ONE 10(7):e0133088.https://doi.org/10.1371/journal.pone.0133088,其併入本文供參考。
實施例5 BCP對準
使用包含50%苯乙烯以及50% MMA之經羥基封端之P(S-r-MMA)隨機共聚物於PGMEA(Brewer Science,Rolla,MO)中之0.5重量%溶液塗覆Vinaigrette圖案化xPS晶片(得自imec,www.imec-int.com)。所得約12nm厚膜在250℃退火5分鐘,然後用PGMEA剝離以移除未反應的刷塗。然後用來自實施例1之BCP 1(1.2重量%於PGMEA中)塗覆經處理之晶片,且在180℃烘烤10分鐘以完成自組裝方法。然後使用以下參數使所得BCP膜在氧電漿中蝕刻:100W功率、60mtorr室壓力、以及30sccm O2達5秒。圖3顯示經對準在晶圓上之實施例1之接面改質BCP 1。此等結果證實接面改質可用於對準、減少缺陷數目、以及改善質相關長度。
實施例6 BCP對準
使用可交聯聚苯乙烯(於PGMEA中之1%固體,Brewer Science, Rolla,MO)塗覆具有13-nm SiN沉積之300mm矽晶圓。所得約7.5nm厚膜在315℃退火5分鐘。然後使用ASML 193i曝光工具對基板圖案化,曝光條件為18-22.5mJ,焦點為0.04nm。光阻類型為AIM5484(得自JSR之193浸沒式光阻),在120℃烘烤60秒下具有105nm厚度。修整蝕刻條件被用於針對最終引導尺寸之0.5*L0。在此蝕刻步驟中,在35nm印刷之線條經修整為15nm。然後使用包含50%苯乙烯以及50%MMA之羥基封端隨機共聚物P(S-r-MMA)於PGMEA(Brewer Science,Rolla,MO)中之0.5重量%溶液塗覆基板。所得約12-nm厚膜在250℃退火5分鐘,然後以PGMEA潤洗30秒。然後,在1,500rpm旋塗下將實施例1之BCP 1(1.2重量%於PGMEA中)塗覆在經處理晶片上,在180℃烘烤5分鐘,以完成自組裝方法。然後使用以下參數使所得BCP膜在氧電漿中蝕刻:100W功率、60mtorr室壓力、以及30sccm O2達5秒。圖4及5顯示經對準在晶圓上之實施例1之接面改質BCP 1。表2顯示各種方法參數變化以及所得對準,係由SEM指紋確定。此等結果證實接面改質可用於對準、減少缺陷數目、以及改善質相關長度。

Claims (40)

  1. 一種形成微電子結構之方法,該方法包含:提供堆疊,其包含:具有表面之基板;以及一或多種在該基板表面上之視需要選用之中間層;如果存在中間層,則將組成物施用於該中間層,或如果不存在中間層,則將組成物施用於該基板表面,該組成物包含嵌段共聚物,該嵌段共聚物包含第一嵌段以及第二嵌段,該第一以及第二嵌段其中至少一者包含:接面區域,其包含第一複數個單體,且位於最接近該第一以及第二嵌段中另一者的位置;末端區域,其包含第二複數個單體,且位於離該第一以及第二嵌段中另一者最遠的位置;以及中間區域,其包含第三複數個單體,且位於該接面區域以及該末端區域之間,其中:(I)該接面區域、中間區域、以及末端區域中之一者包含調整性質單體,該調整性質單體之濃度大於該接面區域、中間區域以及末端區域中其它二者中每一者之該調整性質單體之個別濃度;(II)不同於區域(I)之該接面區域、中間區域、以及末端區域中之另一者包含該調整性質單體,該調整性質單體之濃度小於區域(I)中調整性質單體之濃度;以及(III)不同於區域(I)及(II)之該接面區域、中間區域、以及末端區域中之另一者:缺少該調整性質單體;或包含該調整性質單體,該調整性質單體之濃度係低於區域(I)中之 該調整性質單體之濃度且低於區域(II)中之該調整性質單體之濃度;以及使該組成物自組裝入自組裝層,其中該自組裝層包含第一自組裝區域以及不同於該第一自組裝區域之第二自組裝區域。
  2. 根據申請專利範圍第1項之方法,其中區域(I)僅包含該調整性質單體。
  3. 根據申請專利範圍第1項之方法,其中區域(II)進一步包含不同於該調整性質單體之第二單體。
  4. 根據申請專利範圍第1項之方法,其中區域(III)包含不同於該調整性質單體之第二單體。
  5. 根據申請專利範圍第3項之方法,其中存在於區域(II)中之該第二單體係大於區域(I)中第二單體之濃度。
  6. 根據申請專利範圍第1項之方法,其中:(I)係該接面區域、(II)係該中間區域、以及(III)係該末端區域;或(I)係該末端區域、(II)係該中間區域、以及(III)係該接面區域。
  7. 根據申請專利範圍第6項之方法,其中該中間區域具有在該接面區域與該末端區域之間延伸之長度,且該調整性質單體之濃度在該長度上逐漸增加或減少。
  8. 根據申請專利範圍第1項之方法,其中區域(III)包含該調整性質單體,該調整性質單體之濃度係低於區域(I)中該調整性質單體之濃度且低於區域(II)中該調整性質單體之濃度。
  9. 根據申請專利範圍第1項之方法,其中該第一以及第二嵌段中另一者係均聚物。
  10. 根據申請專利範圍第1項之方法,其中該第一以及第二嵌段中 另一者包含:第二接面區域,其包含第四複數個單體,且位於最接近該第一以及第二嵌段中至少一者的位置;第二末端區域,其包含第五複數個單體,且位於離該第一以及第二嵌段中至少一者最遠的位置;以及第二中間區域,其包含第六複數個單體,且位於該第二接面區域以及該第二末端區域之間,其中:(IV)該第二接面區域、第二中間區域、以及第二末端區域中之一者包含第三單體,該第三單體之濃度係大於該第二接面區域、第二中間區域、以及第二末端區域中另外二者每一者中該第三單體之個別濃度;(V)不同於區域(IV)之該第二接面區域、第二中間區域、以及第二末端區域中另一者包含該第三單體,該第三單體之濃度係低於區域(IV)中第三單體之濃度;以及(VI)不同於區域(IV)以及(V)之該第二接面區域、第二中間區域、以及第二末端區域中另一者:缺少該第三單體;或包含該第三單體,該第三單體之濃度係低於區域(IV)中該第三單體之濃度且低於區域(V)中該第三單體之濃度。
  11. 根據申請專利範圍第10項之方法,其中:(IV)係該第二接面區域、(V)係該第二中間區域、以及(VI)係該第二末端區域;或(IV)係該第二末端區域、(V)係該第二中間區域、以及(VI)係該第二接面區域。
  12. 根據申請專利範圍第1項之方法,其中該調整性質單體係選自 由以下組成之群:甲基丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸甲酯、聚(乙二醇)丙烯酸甲酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯、聚乳酸、具有聚乳酸側鏈部分之丙烯酸酯單體、4-烷基苯乙烯、3-烷基苯乙烯、4-烷氧基苯乙烯、3-烷氧基苯乙烯、1-(4-甲苯基氧基甲基)-4-乙烯基苯、烷基乙烯基苄基醚、乙烯基苄基醚單體、2-甲基苯乙烯、3-乙烯基聯苯、4-第三丁基苯乙烯、2,4-二甲基苯乙烯、3,4-二甲基苯乙烯、2,5-二甲基苯乙烯、3,5-二甲基苯乙烯、2,4,6-三甲基苯乙烯、4-甲氧基苯乙烯、4-氟苯乙烯、3-氟苯乙烯、2-氟苯乙烯、2,3-二氟苯乙烯、2,4-二氟苯乙烯、五氟苯乙烯、4-乙烯基聯苯、4-二苯甲基苯乙烯、4-乙烯基苯并環丁烯、1-乙烯基萘、2-乙烯基萘、2-乙烯基菲、9-乙烯基菲、2-乙烯基噻吩、9-乙烯基蒽、乙烯基苯并環丁烯、1-乙烯基萘酸酯(1-vinylnapthlalate)、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲、4-三甲基矽基苯乙烯、以及其組合。
  13. 根據申請專利範圍第3項之方法,其中該第二單體係選自由以下組成之群:丙烯酸甲酯、丙烯酸酯、甲基丙烯酸、丙烯酸、乳酸、環氧乙烷、乙烯基酯、乙烯基醯胺、苯乙烯、甲基苯乙烯、乙烯基聯苯、第三丁基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、甲氧基苯乙烯、氟苯乙烯、二氟苯乙烯、五氟苯乙烯、三氟甲基苯乙烯、二苯甲基苯乙烯、乙烯基苯并環丁烯、乙烯基萘、乙烯基菲、乙烯基噻吩、乙烯基茴香醚、乙烯基蒽、乙烯基吡啶、烷基苯乙烯、烷氧基苯乙烯、烷基乙烯基苄基醚、乙烯基苄基醚單體、三甲基矽基苯乙烯、9-(4-乙烯基苄基)-9H-咔唑、以及其組合。
  14. 根據申請專利範圍第1項之方法,其中:(I)係該接面區域;(II)係該中間區域且進一步包含第二單體,該第二單體選自由甲基丙烯酸 酯、丙烯酸酯、甲基丙烯酸、丙烯酸、乳酸、環氧乙烷、乙烯基酯、乙烯基醯胺、以及其組合組成之群;(III)係該末端區域;以及該調整性質單體係選自由以下組成之群:甲基丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚甲基丙烯酸酯、聚(乙二醇)甲基丙烯酸酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯、聚乳酸、具有聚乳酸側鏈部分之丙烯酸酯單體、以及其組合。
  15. 根據申請專利範圍第1項之方法,其中:(I)係該末端區域;(II)係該中間區域且進一步包含第二單體,該第二單體係選自由以下組成之群:苯乙烯、甲基苯乙烯、乙烯基聯苯、第三丁基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、甲氧基苯乙烯、氟苯乙烯、二氟苯乙烯、五氟苯乙烯、三氟甲基苯乙烯、二苯甲基苯乙烯、乙烯基苯并環丁烯、乙烯基萘、乙烯基菲、乙烯基噻吩、乙烯基茴香醚、乙烯基蒽、乙烯基吡啶、烷基苯乙烯、烷氧基苯乙烯、烷基乙烯基苄基醚、乙烯基苄基醚單體、三甲基矽基苯乙烯、9-(4-乙烯基苄基)-9H-咔唑、以及其組合;(III)係該接面區域;以及該調整性質單體係選自由以下組成之群:4-烷基苯乙烯、3-烷基苯乙烯、4-烷氧基苯乙烯、3-烷氧基苯乙烯、1-(4-甲苯基氧基甲基)-4-乙烯基苯、烷基乙烯基苄基醚、乙烯基苄基醚單體、2-甲基苯乙烯、3-乙烯基聯苯、4-第三丁基苯乙烯、2,4-二甲基苯乙烯、3,4-二甲基苯乙烯、2,5-二甲基苯乙烯、3,5-二甲基苯乙烯、2,4,6-三甲基苯乙烯、4-甲氧基苯乙烯、4-氟苯乙烯、3-氟苯乙烯、2-氟苯乙烯、2,3-二氟苯乙 烯、2,4-二氟苯乙烯、五氟苯乙烯、4-乙烯基聯苯、4-二苯甲基苯乙烯、4-乙烯基苯并環丁烯、1-乙烯基萘、2-乙烯基萘、2-乙烯基菲、9-乙烯基菲、2-乙烯基噻吩、9-乙烯基蒽、乙烯基苯并環丁烯、1-乙烯基萘酸酯、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲、4-三甲基矽基苯乙烯、以及其組合。
  16. 根據申請專利範圍第1項之方法,其中該使包含加熱該組成物到至少約該嵌段共聚物之玻璃轉移溫度,該自組裝在該加熱期間發生。
  17. 根據申請專利範圍第1項之方法,其進一步包含移除該自組裝區域中之一者以在該自組裝層中產生圖案。
  18. 根據申請專利範圍第1項之方法,其中存在中間層,該中間層包含預圖案,其包含複數個凸起特徵,該等凸起特徵間隔開且各自由各別側壁及頂部表面界定,其中直接將該自組裝組成物塗覆於該等凸起特徵之間的空間中的該中間層頂部。
  19. 根據申請專利範圍第18項之方法,其中該複數個凸起特徵係藉由以下步驟而形成:如果存在任何其他中間層,將感光性組成物塗覆在任何其他中間層上,或如果不存在其他中間層,將感光性組成物塗覆在該基板表面上,以形成成像層;以及使該成像層圖案化以產生該預圖案,之後將該組成物塗覆至該成像層上。
  20. 根據申請專利範圍第19項之方法,其中該圖案化包含:使該成像層曝露於輻射以產生該成像層之曝露部分及未曝露部分;以及使該成像層與顯影劑接觸以移除該等曝露部分或未曝露部分中之一者。
  21. 根據申請專利範圍第1項之方法,其中存在至少一個中間層,該中間層係選自由硬質遮罩層及中性層組成之群,且其中該中間層包含具有表 面改質區域及未改質區域之表面,該第一及第二自組裝區域係鄰近該未改質區域。
  22. 根據申請專利範圍第21項之方法,其中在將該組成物塗覆至該中間層之前,該表面改質區域及未改質區域係藉由以下步驟形成:在該中間層上形成成像層;使該成像層圖案化以產生預圖案,其中該圖案化包含選擇性移除該成像層之部分以曝露該中間層之部分;使中間層之該未覆蓋部分與顯影劑或溶劑接觸以產生該表面改質區域;以及自該中間層移除該成像層之其餘部分以產生該未改質區域。
  23. 根據申請專利範圍第21項之方法,其中在將該組成物塗覆至該中間層之前,該表面改質區域及未改質區域係藉由選擇性使該中間層曝露於輻射而形成。
  24. 一種微電子結構,其包含:具有表面之基板;一或多種在該基板表面上之視需要選用之中間層;以及自組裝組成物層,如果存在該一或多種視需要選用之中間層時,該自組裝組成物層係在該一或多種視需要選用之中間層上,或如果不存在該中間層時,該自組裝組成物層係在該基板表面上,該自組裝組成物包含嵌段共聚物,該嵌段共聚物包含第一嵌段以及第二嵌段,該第一以及第二嵌段中至少一者包含:接面區域,其包含第一複數個單體,且位於最接近該第一以及第二嵌段中另一者的位置;末端區域,其包含第二複數個單體,且位於離該第一以及第二嵌段中另一者最遠的位置;以及 中間區域,其包含第三複數個單體,且位於該接面區域以及該末端區域之間,其中:(I)該接面區域、中間區域、以及末端區域中之一者包含調整性質單體,該調整性質單體之濃度係大於該接面區域、中間區域以及末端區域中其它二者中每一者之該調整性質單體之個別濃度;(II)不同於區域(I)之該接面區域、中間區域、以及末端區域中之另一者包含該調整性質單體,該調整性質單體之濃度係低於區域(I)中調整性質單體之濃度;以及(III)不同於區域(I)及(II)之該接面區域、中間區域、以及末端區域中之另一者:缺少該調整性質單體;或包含該調整性質單體,該調整性質單體之濃度係低於區域(I)中之該調整性質單體之濃度且低於區域(II)中之該調整性質單體之濃度。
  25. 根據申請專利範圍第24項之結構,其中區域(I)僅包含該調整性質單體。
  26. 根據申請專利範圍第24項之結構,其中區域(II)進一步包含不同於該調整性質單體之第二單體。
  27. 根據申請專利範圍第24項之結構,其中區域(III)包含不同於該調整性質單體之第二單體。
  28. 根據申請專利範圍第24項之結構,其中:(I)係該接面區域、(II)係該中間區域、且(III)係該末端區域;或(I)係該末端區域、(II)係該中間區域、且(III)係該接面區域。
  29. 根據申請專利範圍第28項之結構,其中該中間區域具有在接面區域與末端區域之間延伸之長度,且調整性質單體之濃度在該長度上逐漸增加 或減少。
  30. 根據申請專利範圍第24項之結構,其中該第一以及第二嵌段中之另一者係均聚物。
  31. 根據申請專利範圍第24項之結構,其中該第一以及第二嵌段中之另一者包含:第二接面區域,其包含第四複數個單體,且位於最接近該第一以及第二嵌段其中至少一者的位置;第二末端區域,其包含第五複數個單體,且位於離該第一以及第二嵌段其中至少一者最遠的位置;以及第二中間區域,其包含第六複數個單體,且位於該第二接面區域以及該第二末端區域之間,其中:(IV)該第二接面區域、第二中間區域、以及第二末端區域中一者包含第三單體,該第三單體之濃度大於該第二接面區域、第二中間區域、以及第二末端區域其中另外二者每一者中該第三單體之個別濃度;(V)不同於區域(IV)之該第二接面區域、第二中間區域、以及第二末端區域其中另一者包含該第三單體,該第三單體之濃度係低於區域(IV)中第三單體之濃度;以及(VI)不同於區域(IV)以及(V)之該第二接面區域、第二中間區域、以及第二末端區域其中另一者,其:缺少該第三單體;或包含該第三單體,該第三單體之濃度係低於區域(IV)中該第三單體之濃度且低於區域(V)中該第三單體之濃度。
  32. 根據申請專利範圍第24項之結構,其中該調整性質單體係選自由以下之組成之群:甲基丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚甲基丙烯酸 酯、聚(乙二醇)甲基丙烯酸酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯、聚乳酸、具有聚乳酸側鏈部分之丙烯酸酯單體、4-烷基苯乙烯、3-烷基苯乙烯、4-烷氧基苯乙烯、3-烷氧基苯乙烯、1-(4-甲苯基氧基甲基)-4-乙烯基苯、烷基乙烯基苄基醚、乙烯基苄基醚單體、2-甲基苯乙烯、3-乙烯基聯苯、4-第三丁基苯乙烯、2,4-二甲基苯乙烯、3,4-二甲基苯乙烯、2,5-二甲基苯乙烯、3,5-二甲基苯乙烯、2,4,6-三甲基苯乙烯、4-甲氧基苯乙烯、4-氟苯乙烯、3-氟苯乙烯、2-氟苯乙烯、2,3-二氟苯乙烯、2,4-二氟苯乙烯、五氟苯乙烯、4-乙烯基聯苯、4-二苯甲基苯乙烯、4-乙烯基苯并環丁烯、1-乙烯基萘、2-乙烯基萘、2-乙烯基菲、9-乙烯基菲、2-乙烯基噻吩、9-乙烯基蒽、4-乙烯基苯并環丁烯、1-乙烯基萘酸酯、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲、4-三甲基矽基苯乙烯、以及其組合。
  33. 根據申請專利範圍第26項之結構,其中該第二單體係選自由以下組成之群:甲基丙烯酸酯、丙烯酸酯、甲基丙烯酸、丙烯酸、乳酸、環氧乙烷、乙烯基酯、乙烯基醯胺、苯乙烯、甲基苯乙烯、乙烯基聯苯、第三丁基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、甲氧基苯乙烯、氟苯乙烯、二氟苯乙烯、五氟苯乙烯、三氟甲基苯乙烯、二苯甲基苯乙烯、乙烯基苯并環丁烯、乙烯基萘、乙烯基菲、乙烯基噻吩、乙烯基茴香醚、乙烯基蒽、乙烯基吡啶、烷基苯乙烯、烷氧基苯乙烯、烷基乙烯基苄基醚、乙烯基苄基醚單體、三甲基矽基苯乙烯、9-(4-乙烯基苄基)-9H-咔唑、以及其組合。
  34. 根據申請專利範圍第24項之結構,其中:(I)係該接面區域;(II)係該中間區域且進一步包含第二單體,該第二單體選自由以下組成之群:甲基丙烯酸酯、丙烯酸酯、甲基丙烯酸、丙烯酸、乳酸、環氧乙烷、乙烯 基酯、乙烯基醯胺、以及其組合;(III)係該末端區域;以及該調整性質單體係選自由以下組成之群:甲基丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚甲基丙烯酸酯、聚(乙二醇)甲基丙烯酸酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸2-甲氧基乙酯、二(乙二醇)甲基醚丙烯酸酯、聚(乙二醇)丙烯酸酯、聚乳酸、具有聚乳酸側鏈部分之丙烯酸酯單體、以及其組合。
  35. 根據申請專利範圍第24項之結構,其中:(I)係該末端區域;(II)係該中間區域且進一步包含第二單體,該第二單體選自由以下組成之群:苯乙烯、甲基苯乙烯、乙烯基聯苯、第三丁基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、甲氧基苯乙烯、氟苯乙烯、二氟苯乙烯、五氟苯乙烯、三氟甲基苯乙烯、二苯甲基苯乙烯、乙烯基苯并環丁烯、乙烯基萘、乙烯基菲、乙烯基噻吩、乙烯基茴香醚、乙烯基蒽、乙烯基吡啶、烷基苯乙烯、烷氧基苯乙烯、烷基乙烯基苄基醚、乙烯基苄基醚單體、三甲基矽基苯乙烯、9-(4-乙烯基苄基)-9H-咔唑、以及其組合;(III)係該接面區域;以及該調整性質單體係選自由以下組成之群:4-烷基苯乙烯、3-烷基苯乙烯、4-烷氧基苯乙烯、3-烷氧基苯乙烯、1-(4-甲苯基氧基甲基)-4-乙烯基苯、烷基乙烯基苄基醚、乙烯基苄基醚單體、2-甲基苯乙烯、3-乙烯基聯苯、4-第三丁基苯乙烯、2,4-二甲基苯乙烯、3,4-二甲基苯乙烯、2,5-二甲基苯乙烯、3,5-二甲基苯乙烯、2,4,6-三甲基苯乙烯、4-甲氧基苯乙烯、4-氟苯乙烯、3-氟苯乙烯、2-氟苯乙烯、2,3-二氟苯乙烯、2,4-二氟苯乙烯、五氟苯乙烯、4-乙烯基聯苯、4-二苯甲基苯乙 烯、4-乙烯基苯并環丁烯、1-乙烯基萘、2-乙烯基萘、2-乙烯基菲、9-乙烯基菲、2-乙烯基噻吩、9-乙烯基蒽、乙烯基苯并環丁烯、1-乙烯基萘酸酯、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲、4-三甲基矽基苯乙烯、以及其組合。
  36. 一種嵌段共聚物,其包含:第一嵌段,其包含重複單體,該重複單體選自由以下組成之群:甲基丙烯酸甲酯、甲基丙烯酸甲氧基乙酯、甲基丙烯酸羥基乙酯、具有聚乳酸側鏈部分之丙烯酸酯、以及其組合;第二嵌段,其包含:接面區域,其位於最接近第一嵌段之位置,且包含選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑、甲基苯乙烯、以及其組合組成之群之重複單體;末端區域,其位於離第一嵌段最遠之位置;以及中間區域,其位於該接面區域以及該末端區域之間,該中間區域包含選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑、甲基苯乙烯、以及苯乙烯組成之群之重複單體,其中任何選自由乙烯基聯苯、9-(4-乙烯基苄基)-9H-咔唑以及甲基苯乙烯組成之群之該重複單體係存在於該接面區域中,該接面區域中該重複單體之單獨濃度係大於中間區域中相同單體之濃度。
  37. 根據申請專利範圍第36項之嵌段共聚物,該中間區域進一步包含選自由甲基苯乙烯、1-(4-甲苯基氧基甲基)-4-乙烯基苯、以及其組合組成之群之重複單體。
  38. 根據申請專利範圍第37項之嵌段共聚物,其中:該第一嵌段包含甲基丙烯酸甲酯以及甲基丙烯酸甲氧基乙酯之重複單體; 該接面區域包含乙烯基聯苯之重複單體;以及該中間區域包含乙烯基聯苯、苯乙烯、甲基苯乙烯、以及1-(4-甲苯基氧基甲基)-4-乙烯基苯之重複單體。
  39. 根據申請專利範圍第37項之嵌段共聚物,其中:該第一嵌段包含甲基丙烯酸甲酯、甲基丙烯酸羥基乙酯、以及具有聚乳酸側鏈部分之丙烯酸酯之重複單體;該接面區域包含9-(4-乙烯基苄基)-9H-咔唑及甲基苯乙烯之重複單體;以及該中間區域包含苯乙烯、9-(4-乙烯基苄基)-9H-咔唑、以及甲基苯乙烯之重複單體。
  40. 一種用於定向自組裝方法之組成物,該組成物包含分散或溶解於溶劑系統中之根據申請專利範圍第36項之嵌段共聚物。
TW107132644A 2018-02-01 2018-09-17 微電子結構及製造形成這種微電子結構的方法 TWI791618B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862624960P 2018-02-01 2018-02-01
US62/624,960 2018-02-01
US16/133,051 US10961383B2 (en) 2018-02-01 2018-09-17 Gradient block copolymers for directed self-assembly
US16/133,051 2018-09-17

Publications (2)

Publication Number Publication Date
TW201934686A true TW201934686A (zh) 2019-09-01
TWI791618B TWI791618B (zh) 2023-02-11

Family

ID=67393184

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107132644A TWI791618B (zh) 2018-02-01 2018-09-17 微電子結構及製造形成這種微電子結構的方法

Country Status (3)

Country Link
US (1) US10961383B2 (zh)
TW (1) TWI791618B (zh)
WO (1) WO2019152078A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102299644B1 (ko) * 2019-10-04 2021-09-08 한국과학기술원 농도 구배를 가지는 블록을 포함한 이중 블록 공중합체 및 이를 이용한 미세 패턴 형성 방법
WO2023099534A1 (en) * 2021-12-02 2023-06-08 Merck Patent Gmbh Neutral layer and hydrophobic pinning mat materials for use in dsa with improved substrate compatibility

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7056455B2 (en) * 2001-04-06 2006-06-06 Carnegie Mellon University Process for the preparation of nanostructured materials
KR101959953B1 (ko) * 2009-02-04 2019-03-19 다우 실리콘즈 코포레이션 비-무작위적 공중합체의 제조 방법
PL2697267T3 (pl) * 2011-04-11 2016-04-29 Allnex Netherlands Bv Sposób wytwarzania wodnych dyspersji polimerów winylowych
US9249013B2 (en) * 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
US9382444B2 (en) * 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
CN106104754B (zh) 2014-01-16 2020-07-28 布鲁尔科技公司 用于直接自组装的高chi嵌段共聚物
US9556353B2 (en) * 2014-10-29 2017-01-31 International Business Machines Corporation Orientation control materials for block copolymers used in directed self-assembly applications
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
US9768059B1 (en) * 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
TW201831544A (zh) 2016-12-14 2018-09-01 美商布魯爾科技公司 用於定向自組裝的高chi嵌段共聚物
US10734239B2 (en) 2017-03-01 2020-08-04 Brewer Science, Inc. High-chi block copolymers with tunable glass transition temperatures for directed self-assembly

Also Published As

Publication number Publication date
US10961383B2 (en) 2021-03-30
WO2019152078A1 (en) 2019-08-08
TWI791618B (zh) 2023-02-11
US20190233636A1 (en) 2019-08-01

Similar Documents

Publication Publication Date Title
TWI690540B (zh) 用於直接自組裝的高chi嵌段共聚物
JP6454324B2 (ja) 誘導自己組織化用ブロックコポリマーに用いる高エッチング耐性ポリマーブロック
JP6077547B2 (ja) 誘導自己組織化ブロックコポリマーのための中性層の組成物及びそれの方法
TWI599582B (zh) 新穎嵌段共聚物之組合物及自我組裝方法
EP3523823B1 (en) Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
TWI754661B (zh) 用於自組裝應用之聚合物組合物
US10734239B2 (en) High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
US11078337B2 (en) High-χ block copolymers for directed self-assembly
TWI791618B (zh) 微電子結構及製造形成這種微電子結構的方法
TW202140580A (zh) 用於嵌段共聚物之自組裝之新穎組合物及方法