TW201929113A - Semiconductor manufacturing apparatus and method for manufacturing semiconductor device - Google Patents

Semiconductor manufacturing apparatus and method for manufacturing semiconductor device Download PDF

Info

Publication number
TW201929113A
TW201929113A TW107130824A TW107130824A TW201929113A TW 201929113 A TW201929113 A TW 201929113A TW 107130824 A TW107130824 A TW 107130824A TW 107130824 A TW107130824 A TW 107130824A TW 201929113 A TW201929113 A TW 201929113A
Authority
TW
Taiwan
Prior art keywords
wafer
lighting device
field
lighting
aforementioned
Prior art date
Application number
TW107130824A
Other languages
Chinese (zh)
Other versions
TWI678746B (en
Inventor
小橋英晴
Original Assignee
日商捷進科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商捷進科技有限公司 filed Critical 日商捷進科技有限公司
Publication of TW201929113A publication Critical patent/TW201929113A/en
Application granted granted Critical
Publication of TWI678746B publication Critical patent/TWI678746B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/52Mounting semiconductor bodies in containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

Provided is a technology capable of improving recognition accuracy of a crack. A semiconductor manufacturing apparatus comprises: an imaging device imaging a square die; a lighting device obliquely illuminating the die with respect to an optical system axis of the imaging device; and a control device controlling the imaging device and the lighting device. The control device (a) suppresses lighting from the center of each side of four sides of the die toward the center of the die, (b) is illuminated from the vicinity of the four corners of the die to a direction toward the center of the die, and images the die in the imaging device.

Description

半導體製造裝置以及半導體裝置的製造方法Semiconductor manufacturing device and method for manufacturing semiconductor device

本揭示有關半導體製造裝置,例如可以適用具備辨識晶元的攝影機之晶粒接合器。For the semiconductor manufacturing device of the present disclosure, for example, a die bonder including a camera for identifying a wafer can be applied.

在半導體裝置的製造工序的一部分中,是有把半導體晶片(以下,簡單稱為晶元。)搭載到配線基板或引線框架等(以下,簡單稱為基板。)並組裝封裝之工序,在組裝封裝之工序的一部分中,是有從半導體晶圓(以下,簡單稱為晶圓。)分割晶元之工序(切割工序)、以及把分割過的晶元搭載到基板的上之結合工序。在結合工序使用的半導體製造裝置為晶粒接合器。A part of the manufacturing process of a semiconductor device includes a step of mounting a semiconductor wafer (hereinafter, simply referred to as a wafer) on a wiring board, a lead frame, etc. (hereinafter, simply referred to as a substrate) and assembling a package. A part of the packaging process includes a step of dividing a wafer from a semiconductor wafer (hereinafter simply referred to as a wafer) (dicing step), and a bonding step of mounting the divided wafer on a substrate. The semiconductor manufacturing apparatus used in the bonding process is a die bonder.

晶粒接合器,係把焊料、鍍金屬、樹脂作為接合材料,把晶元結合(搭載後接著)到基板或是已經被結合的晶元之上之裝置。把晶元結合到例如基板的表面之晶粒接合器中,反覆進行:使用稱為筒夾之吸附噴嘴,從晶圓吸附並拾取晶元,搬運到基板上,予以壓緊力,並且,加熱接合材,經此,進行結合之動作(作業)。筒夾乃是具有吸附孔,吸引空氣,並吸附保持晶元之保持具,具有與晶元同樣程度的大小。The die bonder is a device that uses solder, metal plating, and resin as the bonding material to bond (attach after mounting) the wafer to the substrate or the wafer that has been bonded. The wafer is bonded to, for example, a die bonder on the surface of the substrate, and it is repeated: using an adsorption nozzle called a collet, the wafer is adsorbed and picked up from the wafer, transferred to the substrate, pressed, and heated. The bonding material undergoes the operation (operation) of joining. The collet is a holder having an adsorption hole that attracts air and adsorbs and holds the wafer, and has the same size as the wafer.

切割工序中,經由切割時的切削阻抗等,在晶元產生從切斷面沿伸到內部的裂紋。In the cutting process, cracks that extend from the cut surface to the inside of the wafer are generated through the cutting resistance and the like during cutting.

在一般檢查細微的傷的情況下,暗視野方式為佳。在晶圓表面接近鏡面,進行暗視野方式所致之檢查方面,從斜方向照到光的照明方式也就是傾斜照明為佳。

[先前技術文獻]
[專利文獻]
In the case of general inspection for a slight injury, the dark field method is preferable. As for the wafer surface approaching the mirror surface and performing the inspection by the dark field method, the oblique illumination method, which is the illumination method from the oblique direction to the light, is preferable.

[Prior technical literature]
[Patent Literature]

[專利文獻1]日本特開2017-117916號專利公報[Patent Document 1] Japanese Patent Laid-Open No. 2017-117916

[發明欲解決之課題][Questions to be Solved by the Invention]

在暗視野方式的檢查下,追求成為背景的晶圓或晶元表面不使照明的光反射,但是,其角度對每個晶圓或晶元而言為相異,並沒有哪個角度不反射之可以斷言的角度。
本揭示的課題是提供可以提升裂紋的辨識精度之技術。
其他的課題及新穎的特徵,載明在本說明書之記述及附圖中。

[解決課題之手段]
In the dark field inspection, the surface of the wafer or wafer that is pursuing the background does not reflect the light of the illumination. However, the angle is different for each wafer or wafer, and there is no angle that does not reflect it. Can be asserted.
The subject of the present disclosure is to provide a technique that can improve the accuracy of crack identification.
Other problems and novel features are described in the description and drawings of this specification.

[Means for solving problems]

若簡單說明本揭示中代表性的概要,係如下述。
亦即,半導體製造裝置,具備:拍攝具有第一邊、與前述第一邊連接之第二邊、與前述第一邊對向之第三邊、以及與前述第二邊對向之第四邊的晶元之攝像裝置;從相對於前述攝像裝置的光學系統軸而傾斜處對前述晶元照明之照明裝置;以及控制前述攝像裝置及前述照明裝置之控制裝置。前述控制裝置,係(a)抑制來自從前述第一邊的中央朝向前述晶元的中心之第一方向、從前述第二邊的中央朝向前述晶元的中心之第二方向、從前述第三邊的中央朝向前述晶元的中心之第三方向、以及從前述第四邊的中央朝向前述晶元的中心之第四方向的照明;(b)進行來自從包含用前述第一邊與前述第四邊所形成的角之第一角落部朝向前述晶元的中心之第五方向、從包含用前述第二邊與前述第一邊所形成的角之第二角落部朝向前述晶元的中心之第六方向、從包含用前述第三邊與前述第二邊所形成的角之第三角落部朝向前述晶元的中心之第七方向、以及從包含用前述第四邊與前述第三邊所形成的角之第四角落部朝向前述晶元的中心之第八方向的照明;用前述攝像裝置拍攝前述晶元。

[發明效果]
A brief description of the representative outline in this disclosure is as follows.
That is, the semiconductor manufacturing apparatus includes a photographing device having a first side, a second side connected to the first side, a third side facing the first side, and a fourth side facing the second side. An imaging device for the wafer; an illumination device for illuminating the wafer from an inclined position relative to the optical system axis of the imaging device; and a control device for controlling the imaging device and the illumination device. The control device (a) suppresses the second direction from the center of the first side toward the center of the wafer, the second direction from the center of the second side toward the center of the wafer, and the third The center of the side faces the third direction of the center of the wafer, and the fourth direction of the side from the center of the fourth side toward the center of the unit; (b) performing the illumination from the first side and the first side. The first corner portion of the corner formed by the four sides faces the fifth direction of the center of the wafer, and the second corner portion including the corner formed by the second side and the first side faces the center of the wafer. A sixth direction, a seventh direction from a third corner portion including an angle formed by the third side and the second side toward the center of the wafer, and a seventh direction including the fourth side and the third side. The fourth corner of the formed corner is illuminated in the eighth direction toward the center of the wafer; the wafer is captured by the imaging device.

[Inventive effect]

根據上述半導體製造裝置,可以提升裂紋的辨識精度。According to the semiconductor manufacturing apparatus described above, the accuracy of crack identification can be improved.

首先,有關本案發明者所檢討的技術,使用圖7~10說明之。圖7為說明傾斜照明的射入角之示意圖。圖8為表示遮光照明的晶圓或是晶元所致之反射光之示意圖。圖9為說明傾斜照明的射入角所致之晶元的明暗之示意圖。圖10為放大了晶圓表面之示意圖。First, the technology reviewed by the inventor of the present case will be described with reference to FIGS. 7 to 10. FIG. 7 is a schematic diagram illustrating an incident angle of oblique illumination. FIG. 8 is a schematic diagram showing reflected light caused by a light-shielded wafer or a wafer. FIG. 9 is a schematic diagram illustrating the brightness and darkness of a wafer caused by the angle of incidence of oblique illumination. FIG. 10 is an enlarged view of a wafer surface.

在設計攝影機所致之影像下的裂紋檢查功能的情況下,其照明構成是有「拍攝背景明亮而待觀察物昏暗」的明視野方式、以及「拍攝背景昏暗,而待觀察物明亮」的暗視野方式。In the case of designing a crack inspection function in an image caused by a camera, its lighting composition is a bright field method of "shooting the background is bright and the object to be observed is dim", and the darkness of the "shooting background is dark and the object to be observed is the dark" Way of vision.

在一般檢查細微的傷的情況下,暗視野方式為佳。在晶圓表面接近鏡面,進行暗視野方式所致之檢查方面,從斜方向照到光的照明方式也就是傾斜照明為佳。問題乃是其射入角(θ)的決定。如圖7表示,在檢查晶圓或晶元的裂紋的情況下,傾斜照明的射入角(θ)越盡可能接近攝影機的光學系統的軸(射入角(θ)盡可能接近0),裂紋越容易發光。但是,如圖8表示,在晶圓表面或晶元表面照到光的話,可惜會有在複數個角度反射光的現象。而且,如圖9的箭頭表示,使照明的射入角從小變化到大的話,晶元會一下子明亮一下子昏暗。此乃是,在晶圓表面或是可以透過光的表面層的膜內的反射面不完全為平面,具有複數個細微的反射面的緣故。該反射角係在晶圓不為恆定,因晶圓的表面加工的狀態(品種的不同,膜厚的不同,批量的不同)等而變化。In the case of general inspection for a slight injury, the dark field method is preferable. As for the wafer surface approaching the mirror surface and performing the inspection by the dark field method, the oblique illumination method, which is the illumination method from the oblique direction to the light, is preferable. The problem is the decision of its angle of incidence (θ). As shown in FIG. 7, when inspecting a crack of a wafer or a wafer, the incident angle (θ) of the oblique illumination is as close as possible to the axis of the optical system of the camera (the incident angle (θ) is as close to 0 as possible). The cracks are more likely to glow. However, as shown in FIG. 8, if light is irradiated on the wafer surface or the wafer surface, it is a pity that light is reflected at a plurality of angles. Furthermore, as shown by the arrow in FIG. 9, if the incident angle of the illumination is changed from small to large, the wafer will be bright and dim all at once. This is because the reflection surface in the film on the wafer surface or the surface layer that can transmit light is not completely flat, and has a plurality of fine reflection surfaces. This reflection angle is not constant on the wafer, and varies depending on the state of the surface processing of the wafer (different types, different film thicknesses, and different batches).

在暗視野方式的檢查下,追求成為背景的晶圓表面不使照明的光反射,但是,其角度對每個晶圓而言為相異,並沒有哪個角度不反射之可以斷言的角度。Under the dark field inspection, the surface of the wafer that is pursuing the background does not reflect the light of illumination, but its angle is different for each wafer, and there is no angle that can be asserted without reflecting.

利用該現象,可以安定地得到暗視野,尚且,即便是不過是決定讓射入光接近透鏡光軸的角度,其角度也不為恆定的緣故,可惜其每次調整是有必要的。By using this phenomenon, a dark field of view can be obtained stably. Even if it is only an angle at which the incident light is decided to approach the optical axis of the lens, the angle is not constant. Unfortunately, each adjustment is necessary.

晶圓表面的圖案加工係XY方向的方形轉寫多,如圖10表示,從上觀看晶元,直行方向的照射(來自X軸方向及Y軸方向的照射)係容易反射光。The pattern processing on the surface of the wafer has many square transfers in the XY direction. As shown in FIG. 10, when the wafer is viewed from above, the irradiation in the straight direction (the irradiation from the X-axis direction and the Y-axis direction) is easy to reflect light.

因此,在實施方式中,如圖10表示,從斜方向(不與X軸方向及Y軸方向平行的方向)照射照明光。經此,難以引起照明光的反射且安定,可以讓晶元的表面暗視野化,可以充分確保潔白反射的裂紋的可檢查區域。Therefore, in the embodiment, as shown in FIG. 10, the illumination light is irradiated from an oblique direction (a direction that is not parallel to the X-axis direction and the Y-axis direction). As a result, it is difficult to cause reflection and stabilization of the illumination light, so that the surface of the wafer can be viewed in a dark field, and the inspectable area of the white reflection crack can be sufficiently ensured.

以下,有關實施例及變形例,使用圖面說明之。但是,在以下的說明中,對同一構成要件賦予同一元件符號,省略重複的說明。尚且,圖面係為了能更明確說明,與實際的樣態相比,有關各部分的寬度、厚度、形狀等是有示意性表示的情況,但到底是其中一例,並非是限定本發明的解釋。

[實施例]
Hereinafter, examples and modifications will be described using drawings. However, in the following description, the same constituent elements are assigned the same reference numerals, and redundant descriptions are omitted. In addition, the drawings are intended to make it clearer that the width, thickness, and shape of each part are shown schematically compared to the actual state, but this is just one example, and is not intended to limit the explanation of the present invention. .

[Example]

圖1為表示有關實施例的晶粒接合器的概略之俯視圖。圖2為說明從圖1中箭頭A方向觀看時,拾取頭及結合頭的動作之圖。FIG. 1 is a schematic plan view showing a die bonder according to the embodiment. FIG. 2 is a diagram illustrating the actions of the pickup head and the bonding head when viewed from the direction of arrow A in FIG. 1.

晶粒接合器10,係大致區分,具有:供給部1,其係供給晶元D,該晶元安裝到印刷了一個或是複數個成為最終1封裝的產品區域(以下,稱為封裝區域P。)之基板S;拾取部2;中間載臺部3;結合部4;搬運部5;基板供給部6;基板搬出部7;以及控制部8,其係監視並控制各部的動作。Y軸方向為晶粒接合器10的前後方向,X軸方向為左右方向。晶元供給部1配置在晶粒接合器10的面前側,結合部4配置在裡側。The die bonder 10 is roughly divided and has a supply unit 1 for supplying a wafer D, which is mounted on a product area where one or a plurality of packages are printed (hereinafter, referred to as a package area P). The substrate S; the pick-up section 2; the intermediate stage section 3; the joint section 4; the transport section 5; the substrate supply section 6; the substrate carry-out section 7; and the control section 8 which monitors and controls the operations of each section. The Y-axis direction is the front-back direction of the die bonder 10, and the X-axis direction is the left-right direction. The wafer supply unit 1 is arranged on the front side of the die bonder 10, and the bonding unit 4 is arranged on the back side.

首先,晶元供給部1供給晶元D,該晶元安裝到基板S的封裝區域P。晶元供給部1,具有:保持晶圓11之晶圓保持臺12;以及從晶圓11上頂晶元D之虛線表示的上頂單元13。晶元供給部1藉由未圖示驅動手段往XY方向移動,使要拾取的晶元D移動到上頂單元13的位置。First, the wafer supply unit 1 supplies a wafer D, which is mounted on a package region P of a substrate S. The wafer supply unit 1 includes: a wafer holding table 12 that holds the wafer 11; and a top unit 13 indicated by a broken line that tops the wafer D from the wafer 11. The wafer supply unit 1 moves to the XY direction by a driving means (not shown), so that the wafer D to be picked up moves to the position of the top unit 13.

拾取部2,具有:拾取晶元D之拾取頭21;使拾取頭21往Y方向移動之拾取頭的Y驅動部23;以及使筒夾22升降、旋轉及X方向移動之未圖示的各驅動部。拾取頭21,具有把已被上頂的晶元D吸附保持在末端之筒夾22(也參閱圖2),從晶元供給部1拾取晶元D,載置到中間載臺31。拾取頭21,具有使筒夾22升降、旋轉及X方向移動之未圖示的各驅動部。The picking section 2 includes a picking head 21 for picking up the wafer D, a Y driving section 23 of the picking head for moving the picking head 21 in the Y direction, and unillustrated parts for lifting, rotating, and moving the collet 22 Drive section. The pick-up head 21 includes a collet 22 (see also FIG. 2) that holds and holds the wafer D that has been lifted to the top end, picks up the wafer D from the wafer supply unit 1, and places the wafer D on the intermediate stage 31. The pick-up head 21 includes drive units (not shown) for raising, lowering, rotating, and moving the collet 22 in the X direction.

中間載臺部3,具有:暫時性載置晶元D之中間載臺31;以及用於辨識中間載臺31上的晶元D之載臺辨識攝影機32。The intermediate stage unit 3 includes an intermediate stage 31 on which the wafer D is temporarily placed, and a stage identification camera 32 for identifying the wafer D on the intermediate stage 31.

結合部4,係從中間載臺31拾取晶元D,結合到搬運中的基板S的封裝區域P上,或是以層疊到已經被結合到基板S的封裝區域P之上的晶元之上之形態做結合。結合部4,具有:結合頭41,其係具備筒夾42(也參閱圖2),該筒夾與拾取頭21同樣,把晶元D吸附保持在末端;Y驅動部43,其係使結合頭41往Y方向移動;基板辨識攝影機44,其係拍攝基板S的封裝區域P的位置辨識標記(未圖示),辨識結合位置。
藉由這樣的構成,結合頭41,係根據載臺辨識攝影機32的拍攝資料,補正拾取位置及姿勢,從中間載臺31拾取晶元D,根據基板辨識攝影機44的拍攝資料,在基板結合晶元D。
The bonding portion 4 picks up the wafer D from the intermediate stage 31 and is bonded to the package area P of the substrate S in the process of being transferred, or is stacked on the wafer that has been bonded to the package area P of the substrate S. Form. The coupling part 4 includes a coupling head 41 which is provided with a collet 42 (see also FIG. 2), which is similar to the pick-up head 21 in that the wafer D is adsorbed and held at the end, and a Y driving part 43 is used for coupling. The head 41 moves in the Y direction; the substrate recognition camera 44 captures a position recognition mark (not shown) of the package area P of the substrate S, and recognizes the combined position.
With this configuration, the combination head 41 recognizes the shooting data of the camera 32 based on the stage, corrects the pickup position and posture, picks up the wafer D from the intermediate stage 31, and combines the wafer with the shooting data of the camera 44 on the substrate. Yuan D.

搬運部5,具有:抓住基板S並搬運之基板搬運爪51、以及基板S所移動的搬運線道52。基板S,係以用沿搬運線道52設置之未圖示的滾珠螺桿驅動設在搬運線道52的基板搬運爪51的未圖示螺帽的方式,進行移動。
藉由這樣的構成,基板S,係從基板供給部6沿搬運線道52一直移動到結合位置,在結合後,一直移動到基板搬出部7,把基板S交接到基板搬出部7。
The conveyance unit 5 includes a substrate conveyance claw 51 that grasps and conveys the substrate S, and a conveyance path 52 that the substrate S moves. The substrate S is moved by driving a non-illustrated nut of a substrate transfer claw 51 provided on the transfer line 52 with a ball screw (not shown) provided along the transfer line 52.
With this configuration, the substrate S is moved from the substrate supply section 6 along the conveying path 52 to the bonding position, and after the bonding, it is moved to the substrate carrying-out section 7 and the substrate S is transferred to the substrate carrying-out section 7.

控制部8,具備:儲存監視晶粒接合器10的各部的動作並控制的程式(軟體)之記憶體;以及執行儲存在記憶體的程式之中央處理裝置(CPU)。The control unit 8 includes a memory that stores a program (software) that monitors and controls the operation of each unit of the die bonder 10, and a central processing unit (CPU) that executes the program stored in the memory.

接著,有關晶元供給部1的構成,使用圖3及圖4說明之。圖3為表示晶元供給部的外觀立體圖之圖。圖4為表示晶元供給部的主重要部分之概略剖視圖。Next, the structure of the wafer supply unit 1 will be described with reference to FIGS. 3 and 4. FIG. 3 is a diagram showing an external perspective view of a wafer supply unit. Fig. 4 is a schematic cross-sectional view showing a main part of a wafer supply unit.

晶元供給部1,具備:往水平方向(XY方向)移動之晶圓保持臺12;以及往上下方向移動之上頂單元13。晶圓保持臺12,具有:保持晶圓環14之擴張環15;把被保持在晶圓環14且接著了複數個晶元D之切割用膠帶16予以水平定位之支撐環17。上頂單元13配置在支撐環17的內側。The wafer supply unit 1 includes a wafer holding table 12 that moves in a horizontal direction (XY direction), and a top unit 13 that moves in a vertical direction. The wafer holding table 12 includes: an expansion ring 15 that holds the wafer ring 14; and a support ring 17 that horizontally positions the dicing tape 16 that is held on the wafer ring 14 and is followed by a plurality of wafers D. The top unit 13 is disposed inside the support ring 17.

晶元供給部1,係在晶元D的上頂時,使保持著晶圓環14之擴張環15下降。其結果,保持在晶圓環14的切割用膠帶16伸展並擴大晶元D的間隔,利用上頂單元13從晶元D下方把晶元D上頂,使晶元D的拾取性提升。尚且,隨著薄型化,把晶元接著在基板之接著劑,係從液狀成為膜片狀,在晶圓11與切割用膠帶16之間貼附被稱為晶固膜(DAF)18之膜片狀的接著材料。在具有晶固膜18之晶圓11,相對於晶圓11與晶固膜18進行切割。從而,在剝離工序,把晶圓11與晶固膜18從切割用膠帶16剝離。尚且,以下,忽略晶固膜18的存在來進行說明。The wafer supply unit 1 lowers the expansion ring 15 holding the wafer ring 14 when it is on the top of the wafer D. As a result, the dicing tape 16 held on the wafer ring 14 is extended to widen the interval between the wafers D, and the wafer D is lifted up from below the wafer D by the upper unit 13 to improve the pickup property of the wafer D. In addition, as the thickness of the wafer is reduced, the adhesive on the substrate is changed from a liquid state to a film shape, and a wafer called a crystal-solid film (DAF) 18 is attached between the wafer 11 and the dicing tape 16. Membrane-like adhesive material. The wafer 11 having the crystal-solid film 18 is diced with respect to the wafer 11 and the crystal-solid film 18. Therefore, in the peeling step, the wafer 11 and the die-bonding film 18 are peeled from the dicing tape 16. In the following description, the existence of the crystal-solid film 18 is ignored.

晶粒接合器10,具有:辨識晶圓11上的晶元D的姿勢之晶圓辨識攝影機24、辨識載置在中間載臺31的晶元D的姿勢之載臺辨識攝影機32、以及辨識結合臺BS上的安裝位置之基板辨識攝影機44。一定要補正辨識攝影機之間的姿勢偏差的是,參與到結合頭41所致之拾取之載臺辨識攝影機32、以及參與到對結合頭41所致之安裝位置的結合之基板辨識攝影機44。在本實施例中,晶圓辨識攝影機24、載臺辨識攝影機32及基板辨識攝影機44一起使用後述的照明裝置來檢測晶元D的裂紋。The die bonder 10 includes a wafer recognition camera 24 that recognizes the attitude of the wafer D on the wafer 11, a stage recognition camera 32 that recognizes the attitude of the wafer D placed on the intermediate stage 31, and a recognition combination The substrate recognition camera 44 at the mounting position on the table BS. It is necessary to correct the posture deviation between the recognition cameras, the stage recognition camera 32 participating in the pickup by the bonding head 41, and the substrate recognition camera 44 participating in the bonding to the mounting position by the bonding head 41. In this embodiment, the wafer identification camera 24, the stage identification camera 32, and the substrate identification camera 44 are used together to detect cracks in the wafer D using an illumination device described later.

有關控制部8,使用圖5說明之。圖5為表示控制系統的概略構成之方塊圖。控制系統80,具備:控制部8、驅動部86、訊號部87、以及光學系統88。控制部8,係大致區分,具有:主要用CPU(Central Processor Unit)構成之控制演算裝置81、記憶裝置82、輸出入裝置83、匯流排84、以及電源部85。記憶裝置82,具有:用記憶著處理程式等的RAM所構成之主記憶裝置82a、用記憶著在控制上為必要的控制資料或影像資料等的HDD所構成之輔助記憶裝置82b。輸出入裝置83,具有:顯示裝置狀態或資訊等之監視器83a、輸入操作人員的指示之觸控面板83b、操作監視器之滑鼠83c、以及取入來自光學系統88的影像資料之影像取入裝置83d。而且,輸出入裝置83,具有:控制晶元供給部1的XY床臺(未圖示)或結合頭床臺的ZY驅動軸等的驅動部86之馬達控制裝置83e;以及從種種的感測器訊號或照明裝置等的切換器等的訊號部87取入訊號或是進行控制之I/O訊號控制裝置83f。在光學系統88,包含:晶圓辨識攝影機24、載臺辨識攝影機32、基板辨識攝影機44。控制演算裝置81透過匯流排84取入並計算必要的資料,把資訊送到拾取頭21等的控制、或監視器83a等。The control unit 8 will be described using FIG. 5. Fig. 5 is a block diagram showing a schematic configuration of a control system. The control system 80 includes a control unit 8, a driving unit 86, a signal unit 87, and an optical system 88. The control unit 8 is roughly divided and includes a control calculation device 81 mainly composed of a CPU (Central Processor Unit), a memory device 82, an input / output device 83, a bus bar 84, and a power supply unit 85. The memory device 82 includes a main memory device 82a composed of a RAM that stores a processing program and the like, and an auxiliary memory device 82b composed of an HDD that stores control data, video data, and the like necessary for control. The input / output device 83 includes a monitor 83a for displaying device status or information, a touch panel 83b for inputting an operator's instruction, a mouse 83c for operating the monitor, and an image acquisition device for acquiring image data from the optical system 88.入 装置 83d. In addition, the input / output device 83 includes a motor control device 83e that controls a driving unit 86 such as an XY bed (not shown) of the wafer supply unit 1 or a ZY drive shaft combined with the head bed; and various sensors The signal unit 87, such as a controller signal or a switcher such as a lighting device, takes in a signal or controls an I / O signal control device 83f. The optical system 88 includes a wafer identification camera 24, a stage identification camera 32, and a substrate identification camera 44. The control calculation device 81 fetches and calculates necessary data through the bus bar 84, and sends the information to the control of the pickup head 21 and the like, or the monitor 83a and the like.

控制部8透過影像取入裝置83d把用晶圓辨識攝影機24、載臺辨識攝影機32及基板辨識攝影機44所拍攝到的影像資料保存在記憶裝置82。根據已保存的影像資料,利用已程式化的軟體,使用控制演算裝置81,進行晶元D及基板S的封裝區域P的定位,以及晶元D及基板S的表面檢查。根據控制演算裝置81所算出的晶元D及基板S的封裝區域P的位置,利用軟體,透過馬達控制裝置83e,讓驅動部86動作。經由該處理,進行晶圓上的晶元的定位,使拾取部2及結合部4的驅動部動作,把晶元D結合到基板S的封裝區域P上。所使用的晶圓辨識攝影機24、載臺辨識攝影機32及基板辨識攝影機44為灰階、彩色等,把光強度予以數值化。The control unit 8 stores the image data captured by the wafer identification camera 24, the stage identification camera 32, and the substrate identification camera 44 in the memory device 82 through the image taking device 83d. Based on the saved image data, using the programmed software and using the control calculation device 81, the positioning of the package area P of the wafer D and the substrate S, and the surface inspection of the wafer D and the substrate S are performed. Based on the positions of the wafer D and the package area P of the substrate S calculated by the control calculation device 81, the drive unit 86 is operated through the motor control device 83e using software. Through this process, the positioning of the wafer on the wafer is performed, the driving unit of the pickup unit 2 and the bonding unit 4 is operated, and the wafer D is bonded to the package region P of the substrate S. The wafer identification camera 24, the stage identification camera 32, and the substrate identification camera 44 used are gray scale, color, and the like, and the light intensity is quantified.

圖6為說明圖1的晶粒接合器中的晶粒結合工序之流程圖。
在實施例的晶粒結合工序中,首先,控制部8,把保持著晶圓11的晶圓環14從晶圓卡匣取出後載置到晶圓保持臺12,把晶圓保持臺12搬運到要進行晶元D的拾取之基準位置(晶圓裝載(工序P1))。接著,控制部8,從藉由晶圓辨識攝影機24所取得的影像,進行微調整,使得晶圓11的配置位置與其基準位置正確且一致。
FIG. 6 is a flowchart illustrating a die bonding process in the die bonder of FIG. 1. FIG.
In the die bonding step of the embodiment, first, the control unit 8 removes the wafer ring 14 holding the wafer 11 from the wafer cassette, places the wafer ring 14 on the wafer holding table 12, and transfers the wafer holding table 12 To the reference position where wafer D is to be picked up (wafer loading (step P1)). Next, the control unit 8 performs fine adjustment from the image obtained by the wafer recognition camera 24 so that the arrangement position of the wafer 11 is correct and consistent with its reference position.

接著,控制部8,使載置了晶圓11的晶圓保持臺12以特定間距做間距移動,藉由保持成水平的方式,把最初要拾取的晶元D配置到拾取位置(晶元搬運(工序P2))。晶圓11,係事先利用晶圓針測機等的檢查裝置,對每個晶元檢查,產生每個晶元為良、不良的圖資料,記憶到控制部8的記憶裝置82。成為拾取對象的晶元D是否為合格品、還是不合格品的判定係利用圖資料來進行。控制部8,係在晶元D為不合格品的情況下,使載置了晶圓11的晶圓保持臺12以特定間距做間距移動,把接著要拾取的晶元D配置到拾取位置,忽略過不合格品的晶元D。Next, the control unit 8 moves the wafer holding table 12 on which the wafer 11 is placed at a predetermined pitch, and arranges the wafer D to be picked up to the picking position (wafer transport) by holding it horizontally. (Step P2)). The wafer 11 is inspected in advance using an inspection device such as a wafer pin tester for each wafer, and map data of each wafer is good or bad is stored in the memory device 82 of the control unit 8. The determination of whether or not the wafer D to be picked up is a qualified product or a defective product is performed using map data. The control unit 8 moves the wafer holding table 12 on which the wafer 11 is placed at a predetermined pitch when the wafer D is a defective product, and arranges the wafer D to be picked up to a picking position. The wafer D of the defective product has been ignored.

控制部8,係藉由晶圓辨識攝影機24拍攝拾取對象的晶元D的主面(上表面),從已取得的影像算出從拾取對象的晶元D的上述拾取位置起算的位置偏移量。控制部8,係以該位置偏移量為準,使載置了晶圓11的晶圓保持臺12移動,把拾取對象的晶元D正確配置在拾取位置(晶元定位(工序P3))。The control unit 8 captures the principal surface (upper surface) of the wafer D to be picked up by the wafer recognition camera 24, and calculates a position shift amount from the picked-up position of the wafer D to be picked up from the acquired image. . The control unit 8 moves the wafer holding table 12 on which the wafer 11 is placed based on the position shift amount, and correctly arranges the wafer D to be picked up at the pickup position (wafer positioning (step P3)). .

接著,控制部8,係從藉由晶圓辨識攝影機24所取得的影像,進行晶元D的表面檢查(工序P4)。有關晶元的表面檢查(外觀檢查)的詳細,後述之。在此,控制部8,係以表面檢查判定是否有問題,在判定出在晶元D的表面沒有問題的情況下前進到下個工序(後述的工序P9),在判定出有問題的情況下,用目視確認表面影像,或是更進一步進行高靈敏度的檢查或改變照明條件等的檢查,有問題的情況下做忽略處理,沒有問題的情況下進行下個工序的處理。忽略處理,係忽略過晶元D的工序P9以後,使載置了晶圓11的晶圓保持臺12以特定間距做間距移動,把接著要拾取的晶元D配置到拾取位置。Next, the control unit 8 performs a surface inspection of the wafer D from the image acquired by the wafer recognition camera 24 (step P4). The details of the surface inspection (appearance inspection) of the wafer will be described later. Here, the control unit 8 uses surface inspection to determine whether there is a problem. When it is determined that there is no problem on the surface of the wafer D, the control unit 8 proceeds to the next step (step P9 to be described later). , Visually confirm the surface image, or carry out further high-sensitivity inspection or inspection of changing lighting conditions, etc., if there is a problem, ignore it, and if there is no problem, proceed to the next process. Ignoring the processing means that after the step P9 of the wafer D has been ignored, the wafer holding table 12 on which the wafer 11 is placed is moved at a predetermined pitch, and the wafer D to be picked up is then arranged at the picking position.

控制部8,係用基板供給部6載置到基板S搬運線道52(基板裝載(工序P5))。控制部8,係使抓住基板S並搬運之基板搬運爪51移動到結合位置(基板搬運(工序P6))。The control unit 8 is mounted on the substrate S conveyance path 52 by the substrate supply unit 6 (substrate loading (step P5)). The control part 8 moves the board | substrate conveyance claw 51 which grasps and conveys the board | substrate S to a coupling position (board | substrate conveyance (step P6)).

用基板辨識攝影機44拍攝基板並進行定位(基板定位(工序P7))。The substrate is imaged by the substrate recognition camera 44 and positioned (substrate positioning (step P7)).

接著,控制部8,係從藉由基板辨識攝影機44所取得的影像,進行基板S的封裝區域P的表面檢查(工序P8)。有關基板表面檢查的詳細,後述之。在此,控制部8,係以表面檢查判定是否有問題,在判定出在基板S的封裝區域P的表面沒有問題的情況下前進到下個工序(後述的工序P9),在判定出有問題的情況下,用目視確認表面影像,或是更進一步進行高靈敏度的檢查或改變照明條件等的檢查,有問題的情況下做忽略處理,沒有問題的情況下進行下個工序的處理。忽略處理,係忽略過對基板S的封裝區域P的符合標籤的工序P10以後,在基板開工資訊進行不良登錄。Next, the control unit 8 performs a surface inspection of the package region P of the substrate S from the image acquired by the substrate recognition camera 44 (step P8). The details of the substrate surface inspection will be described later. Here, the control unit 8 determines whether there is a problem by surface inspection. When it is determined that there is no problem on the surface of the package area P of the substrate S, the control unit 8 proceeds to the next step (step P9 described later) and determines that there is a problem. In the case of visual inspection, visually confirm the surface image, or carry out further high-sensitivity inspection or inspection of changing lighting conditions, etc. If there is a problem, ignore the processing, and if there is no problem, proceed to the next process. Ignoring the processing is to ignore the registration of the substrate start information after the step P10 of the package area P of the substrate S, which is in compliance with the label.

控制部8,係在藉由晶元供給部1把拾取對象的晶元D正確配置到拾取位置後,藉由包含筒夾22的拾取頭21把晶元D從切割用膠帶16拾取出(晶元裝卸(工序P9)),載置到中間載臺31(工序P10)。控制部8,係把載置到中間載臺31的晶元的姿勢偏差(旋轉偏差)的檢測,用載臺辨識攝影機32進行拍攝(工序P11)。控制部8,係在有姿勢偏差的情況下,藉由設在中間載臺31的迴旋驅動裝置(未圖示),使中間載臺31迴旋在與具有安裝位置的安裝面平行的面,補正姿勢偏差。The control unit 8 picks up the wafer D from the dicing tape 16 after the wafer D is correctly placed at the pickup position by the wafer supply unit 1. (Unpacking (step P9)), and placing it on the intermediate stage 31 (step P10). The control unit 8 detects the posture deviation (rotation deviation) of the wafer mounted on the intermediate stage 31, and performs imaging using the stage recognition camera 32 (step P11). The control unit 8 corrects the intermediate stage 31 on a plane parallel to a mounting surface having a mounting position by a swivel driving device (not shown) provided on the intermediate stage 31 when there is a posture deviation. Posture deviation.

控制部8,係從藉由載臺辨識攝影機32所取得的影像,進行晶元D的表面檢查(工序P12)。有關晶元的表面檢查(外觀檢查)的詳細,後述之。在此,控制部8,係以表面檢查判定是否有問題,在判定出在晶元D的表面沒有問題的情況下前進到下個工序(後述的工序P13),在判定出有問題的情況下,用目視確認表面影像,或是更進一步進行高靈敏度的檢查或改變照明條件等的檢查,有問題的情況下,把該晶元載置到未圖示不合格品托盤等做忽略處理,沒有問題的情況進行下個工序的處理。忽略處理,係忽略過晶元D的工序P13以後,使載置了晶圓11的晶圓保持臺12以特定間距做間距移動,把接著要拾取的晶元D配置到拾取位置。The control unit 8 performs a surface inspection of the wafer D from the image acquired by the stage recognition camera 32 (step P12). The details of the surface inspection (appearance inspection) of the wafer will be described later. Here, the control unit 8 determines whether there is a problem by surface inspection. When it is determined that there is no problem on the surface of the wafer D, the control unit 8 proceeds to the next step (step P13 described later), and when it is determined that there is a problem. , Visually confirm the surface image, or carry out further high-sensitivity inspection or inspection to change the lighting conditions, etc., if there is a problem, place the wafer on the non-defective product tray (not shown) and ignore it. In case of problems, proceed to the next step. Ignoring the processing means that after the step P13 of the wafer D has been ignored, the wafer holding table 12 on which the wafer 11 is placed is moved at a predetermined pitch, and the wafer D to be picked up is arranged at the picking position.

控制部8,係藉由包含筒夾42的結合頭41,從中間載臺31拾取晶元D,晶粒結合到基板S的封裝區域P或是已經被結合到基板S的封裝區域P之晶元(晶固(工序P13))。The control unit 8 picks up the wafer D from the intermediate stage 31 through the bonding head 41 including the collet 42, and the crystal is bonded to the package region P of the substrate S or the crystal that has been bonded to the package region P of the substrate S. Element (crystal solid (step P13)).

控制部8,係在結合了晶元D後,檢查該結合位置是否正確(晶元與基板的相對位置檢查(工序P14))。此時,與後述的晶元的對位同樣,求出晶元的中心及標籤的中心,檢查相對位置是否正確。The control unit 8 checks whether the bonding position is correct after bonding the wafer D (the relative position of the wafer and the substrate is checked (step P14)). At this time, the center of the crystal element and the center of the label are obtained in the same manner as the positioning of the crystal element described later, and it is checked whether the relative position is correct.

接著,控制部8,係從藉由基板辨識攝影機44所取得的影像,進行晶元D及基板S的表面檢查(工序P15)。有關晶元D及基板S的表面檢查的詳細,後述之。在此,控制部8,係以表面檢查判定是否有問題,在判定出在結合過的晶元D的表面沒有問題的情況下前進到下個工序(後述的工序P9),在判定出有問題的情況下,用目視確認表面影像,或是更進一步進行高靈敏度的檢查或改變照明條件等的檢查,有問題的情況下做忽略處理,沒有問題的情況下進行下個工序的處理。在忽略處理下,在基板開工資訊進行不良登錄。Next, the control unit 8 checks the surface of the wafer D and the substrate S from the image acquired by the substrate recognition camera 44 (step P15). Details of the surface inspection of the wafer D and the substrate S will be described later. Here, the control unit 8 determines whether there is a problem by surface inspection, and when it is determined that there is no problem on the surface of the bonded wafer D, it proceeds to the next step (step P9 described later) and determines that there is a problem. In the case of visual inspection, visually confirm the surface image, or carry out further high-sensitivity inspection or inspection of changing lighting conditions, etc. If there is a problem, ignore the processing, and if there is no problem, proceed to the next process. Under the ignore process, a bad registration is made in the board start information.

以後,依照同樣的順序,把晶元D1個1個接合到基板S的封裝區域P。1個基板的結合完畢的話,用基板搬運爪51使基板S移動到基板搬出部7(基板搬運(工序P16)),把基板S遞交到基板搬出部7(基板卸載(工序P17))。Thereafter, the wafer D is bonded to the package region P of the substrate S one by one in the same procedure. When the bonding of one substrate is completed, the substrate S is moved to the substrate carrying-out portion 7 by the substrate carrying claw 51 (substrate carrying (step P16)), and the substrate S is delivered to the substrate carrying-out portion 7 (substrate unloading (step P17)).

以後,依照同樣的順序,把晶元D1個1個從切割用膠帶16剝下來(工序P9)。除了不合格品,全部的晶元D的拾取完畢的話,把保持這些晶元D成晶圓11的外形下的切割用膠帶16及晶圓環14等,卸載到晶圓卡匣(工序P18)。Thereafter, the wafer D is peeled from the dicing tape 16 one by one in the same procedure (step P9). After picking up all the wafers D except for the defective products, the dicing tape 16 and wafer ring 14 holding the wafers D into the shape of the wafer 11 are unloaded into the wafer cassette (step P18) .

接著,有關表面檢查的照明,使用圖11、12,說明之。圖11為表示晶元裂紋檢查用照明裝置的配置之俯視圖。圖12為表示晶元裂紋檢查用照明裝置及晶元辨識用照明裝置的配置之配置圖。Next, the illumination of the surface inspection will be described using FIGS. 11 and 12. FIG. 11 is a plan view showing the arrangement of a lighting device for wafer crack inspection. FIG. 12 is a layout diagram showing the arrangement of a lighting device for wafer crack inspection and a lighting device for wafer identification.

如圖11表示,用於檢查晶元D的裂紋之晶元裂紋檢查用照明裝置CL1~CL4係配置成從晶元D的角落附近到晶元D的中心附近射入照明。在把晶元D的四邊沿X軸方向或是Y軸方向配置的情況下,把晶元裂紋檢查用照明裝置CL1~CL4的水平方向的照明的射入方向與X軸方向所圍成的角度分別決定為α1、α2、α3、α4的話,為0度<α1、α2、α3、α4<90度,α1≒α2≒α3≒α4≒45度者為佳。在圖11中,把晶元裂紋檢查用照明裝置配置在四處,但是,也可以配置在一處、二處或三處。垂直方向的照明的射入角度(θ)為5~85度者為佳。As shown in FIG. 11, the wafer crack inspection lighting devices CL1 to CL4 for inspecting cracks of the wafer D are arranged to emit light from the vicinity of the corner of the wafer D to the vicinity of the center of the wafer D. When the four sides of the wafer D are arranged along the X-axis direction or the Y-axis direction, the angle between the incident direction of the horizontal illumination of the wafer crack inspection lighting devices CL1 to CL4 and the X-axis direction If it is determined as α1, α2, α3, and α4, 0 degrees <α1, α2, α3, α4 <90 degrees, and α1 ≒ α2 ≒ α3 ≒ α4 ≒ 45 degrees are preferred. Although the illumination devices for wafer crack inspection are arranged in four places in FIG. 11, they may be arranged in one place, two places, or three places. The angle of incidence (θ) of the illumination in the vertical direction is preferably 5 to 85 degrees.

晶元D,係俯視下,具有:延伸存在於X方向的第一邊DS1及第三邊DS3、以及延伸存在於Y方向之第二邊DS2及第四邊DS4。第一邊DS1與第三邊DS3為對向,第二邊DS2與第四邊DS4為對向。第一邊DS1與第四邊DS4係形成角,把包含該角的特定領域稱為第一角落部DA1。第二邊DS2與第一邊DS1係形成角,把包含該角的特定領域稱為第二角落部DA2。第三邊DS3與第二邊DS2係形成角,把包含該角的特定領域稱為第三角落部DA3。第四邊DS4與第三邊DS3係形成角,把包含該角的特定領域稱為第四角落部DA4。在圖11中晶元D為正方形的緣故,來自照明裝置CL1~CL4的射入光通過晶元D的角,但是,為長方形的話是不會通過該角。但是,第一角落部DA1、第二角落部DA2、第三角落部DA3及第四角落部DA4為特定的大小的領域,來自照明裝置CL1~CL4的射入光通過第一角落部DA1、第二角落部DA2、第三角落部DA3及第四角落部DA4。The wafer D includes, in a plan view, a first side DS1 and a third side DS3 extending in the X direction, and a second side DS2 and a fourth side DS4 extending in the Y direction. The first side DS1 is opposite to the third side DS3, and the second side DS2 is opposite to the fourth side DS4. The first side DS1 and the fourth side DS4 form an angle, and a specific area including the corner is referred to as a first corner portion DA1. The second side DS2 forms an angle with the first side DS1, and a specific area including the corner is referred to as a second corner portion DA2. The third side DS3 and the second side DS2 form an angle, and a specific area including the corner is referred to as a third corner portion DA3. The fourth side DS4 and the third side DS3 form an angle, and a specific area including the corner is referred to as a fourth corner portion DA4. In FIG. 11, because the wafer D is a square, the incident light from the lighting devices CL1 to CL4 passes through the corner of the wafer D, but if it is rectangular, it does not pass through the corner. However, the first corner portion DA1, the second corner portion DA2, the third corner portion DA3, and the fourth corner portion DA4 are areas of a specific size, and incident light from the lighting devices CL1 to CL4 passes through the first corner portion DA1, the first The second corner portion DA2, the third corner portion DA3, and the fourth corner portion DA4.

如圖12表示,為了進行晶元D的定位或是位置檢查,辨識晶元D的晶元辨識用照明裝置RL1~RL4配置在分別與晶元D的四邊對向的位置。來自與沿X軸方向邊對向配置的晶元辨識用照明裝置RL1、RL3的水平方向的照明的射入方向為Y軸方向,來自與沿Y軸方向的邊對向配置的晶元辨識用照明裝置RL2、RL4的水平方向的照明的射入方向為X軸方向。As shown in FIG. 12, in order to perform the positioning or position inspection of the wafer D, the wafer identification lighting devices RL1 to RL4 that recognize the wafer D are arranged at positions facing the four sides of the wafer D, respectively. The horizontal direction of the illumination from the wafer identification illumination devices RL1 and RL3 arranged opposite to the side along the X-axis direction is the Y-axis direction, and from the wafer identification arranged opposite to the side along the Y-axis direction. The incident direction of the illumination in the horizontal direction of the lighting devices RL2 and RL4 is the X-axis direction.

不為完全鏡面反射的晶圓表面,係根據光的射入方向,可惜會變成明視野,但是,晶圓表面加工係對準在X軸方向及Y軸方向者為多,對晶圓的加工方向,把光的射入方向聚焦在不與X軸方向及Y軸方向平行或是垂直的領域的話,無論把垂直方向的射入角決定在哪個角度,在晶圓表面都不會使光反射到攝影機的光軸方向。經此,不相依於晶圓的表面狀態,可以安定地確保暗視野。The surface of the wafer that is not completely specularly reflected is unfortunately a bright field of view depending on the incident direction of the light. However, there are many wafer surface processing systems that are aligned in the X-axis and Y-axis directions. Direction, if the incident direction of the light is focused in an area that is not parallel or perpendicular to the X-axis direction and the Y-axis direction, no matter which angle the vertical incidence angle is determined at, the light will not be reflected on the wafer surface Direction to the optical axis of the camera. As a result, a dark field of view can be securely secured regardless of the surface state of the wafer.

<變形例>
以下,有關代表性的變形例,例示有幾個例子。有關以下的變形例的說明,對於與在上述的實施例說明過的為具有同樣的構成及功能之部分,使用與上述的實施例同樣的元件符號。接著,有關相關部分的說明,在技術上沒有矛盾的範圍內,可以適宜援用上述的實施例中的說明。而且,上述的實施例的一部分,及複數個變形例的全部或是一部分,係在技術上沒有矛盾的範圍內,可以適宜、複合地適用。
< Modifications >
In the following, a few examples of representative modifications are exemplified. Regarding the description of the following modification examples, the parts having the same configuration and function as those described in the above-mentioned embodiment are denoted by the same reference numerals as those in the above-mentioned embodiment. Next, the descriptions of the relevant parts can be appropriately referred to the descriptions in the above embodiments to the extent that there is no technical contradiction. In addition, a part of the above-mentioned embodiment and all or a part of the plurality of modified examples are within a range where there is no technical contradiction, and can be suitably and compositely applied.

(變形例1)
圖13為表示有關變形例1的照明裝置之示意立體圖。圖14為表示使圖13的照明裝置旋轉的手段之示意立體圖。圖15為表示用圖13的照明裝置進行位置辨識的情況的配置之示意俯視圖。圖16為表示用圖3的照明裝置進行晶元裂紋檢查的情況的配置之示意俯視圖。
(Modification 1)
FIG. 13 is a schematic perspective view showing a lighting device according to Modification 1. FIG. FIG. 14 is a schematic perspective view showing a means for rotating the lighting device of FIG. 13. FIG. 15 is a schematic plan view showing an arrangement when the position recognition is performed by the lighting device of FIG. 13. FIG. 16 is a schematic plan view showing the arrangement of a wafer crack inspection using the lighting device of FIG. 3.

實施例的情況下,有別於晶元辨識用照明裝置配置了晶元裂紋檢查用照明裝置,如圖13表示,在變形例1中,在進行晶元D的定位或是位置檢查(以下,總稱為位置辨識。)的情況下,把條形部型式的傾斜照明裝置(斜光條照明裝置)配置成與晶元D的四邊對向,進行晶元D的裂紋的檢查的情況下,使斜光條照明裝置旋轉而配置在晶元D的四個角落。In the case of the embodiment, the illumination device for wafer crack inspection is arranged differently from the illumination device for wafer identification. As shown in FIG. 13, in modification 1, the wafer D is positioned or inspected (hereinafter, In the case of position identification.), The oblique illumination device (inclined light bar illumination device) of the strip type is arranged to face the four sides of the wafer D, and when the crack of the wafer D is inspected, oblique light The strip lighting device is rotated and arranged at four corners of the wafer D.

如圖14表示,經由控制部8所控制的照明裝置的驅動部,具備:安裝了斜光條照明裝置BLD1~BLD4之旋轉環91、支撐旋轉環91之固定環92、以及支撐固定環92之支柱93、94。旋轉環91係利用被馬達95驅動的皮帶96,旋轉固定環92外側。經此,斜光條照明裝置BLD1~BLD4可以旋轉在水平方向。As shown in FIG. 14, the driving unit of the lighting device controlled by the control unit 8 includes a rotation ring 91 to which the oblique strip lighting devices BLD1 to BLD4 are mounted, a fixing ring 92 supporting the rotation ring 91, and a pillar supporting the fixing ring 92. 93, 94. The rotation ring 91 rotates the outside of the fixed ring 92 using a belt 96 driven by a motor 95. After this, the oblique light bar lighting devices BLD1 to BLD4 can be rotated in the horizontal direction.

如圖15表示,在進行晶元D的位置辨識的情況下,來自斜光條照明裝置BLD1、BLD3的照射光係沿著Y軸方向朝向晶元D的中心,來自斜光條照明裝置BLD2、BLD4的照射光係沿著X軸方向朝向晶元D的中心。As shown in FIG. 15, in the case of identifying the position of the wafer D, the illumination light from the oblique light bar lighting devices BLD1 and BLD3 is directed toward the center of the wafer D along the Y-axis direction. The irradiation light is directed toward the center of the wafer D along the X-axis direction.

如圖16表示,在進行晶元D的裂紋的檢查的情況下,來自斜光條照明裝置BLD1、BLD2、BLD3、BLD4的照射光係從自X軸方向往Y軸方向旋轉了45度的方向,朝向晶元D的中心。As shown in FIG. 16, when the crack of the wafer D is inspected, the irradiation light from the oblique light bar lighting devices BLD1, BLD2, BLD3, and BLD4 is rotated 45 degrees from the X-axis direction to the Y-axis direction. Towards the center of wafer D.

在進行晶元D的裂紋的檢查的情況下,把斜光條照明裝置的配置配置在從晶圓的加工方向旋轉了45度的位置,但是,不限定於45度,只要是照射光不沿著X軸方向及Y軸方向的方向行進的角度即可。In the case of inspecting the crack of the wafer D, the arrangement of the oblique light bar lighting device is arranged at a position rotated 45 degrees from the processing direction of the wafer. The angle of travel in the X-axis direction and the Y-axis direction may be sufficient.

(變形例2)
關於有關變形例2的照明裝置,使用圖17~19,說明之。
(Modification 2)
A lighting device according to Modification 2 will be described with reference to FIGS. 17 to 19.

圖17為表示有關變形例2的照明裝置之示意立體圖。圖18為表示控制圖17的照明裝置的點燈、熄燈的手段之示意立體圖。圖19為說明圖17的照明裝置的點燈、熄燈位置之示意俯視圖FIG. 17 is a schematic perspective view showing a lighting device according to a second modification. FIG. 18 is a schematic perspective view showing a means for controlling lighting and turning off of the lighting device of FIG. 17. FIG. 19 is a schematic plan view illustrating the lighting and turning-off positions of the lighting device of FIG. 17.

在實施例及變形例1的情況下,使用了斜光條照明裝置,但是,在變形例2中,如圖17表示,使用環型式的傾斜照明裝置(斜光環照明裝置)RLD,對斜線部的領域R1~R4進行點燈及熄燈,進行位置辨識及裂紋的檢查。斜光環照明裝置在進行裂紋檢查時,對晶圓的加工方向,把來自X軸方向及Y軸方向的照射予以熄燈。In the case of the embodiment and the modification 1, the oblique light bar lighting device is used, but in the modification 2, as shown in FIG. 17, a ring-type oblique lighting device (oblique light ring lighting device) RLD is used to The areas R1 to R4 are turned on and off, and the positions are identified and cracks are checked. The oblique halo lighting device turns off the irradiation from the X-axis direction and the Y-axis direction to the processing direction of the wafer during the crack inspection.

如圖18表示,被控制部8控制的照明裝置的控制部,具備:控制斜光環照明裝置RLD的領域R1~R4的點燈/熄燈之第一電源控制隔間97_1、連接斜光環照明裝置RLD的領域R1~R4與第一電源控制隔間97_1之電源纜線98_1、控制斜光環照明裝置RLD的領域R5~R8的點燈/熄燈之第二電源控制隔間97_2、連接斜光環照明裝置RLD的領域R5~R8與第二電源控制隔間97_2之電源纜線98_2。As shown in FIG. 18, the control unit of the lighting device controlled by the control unit 8 includes a first power control compartment 97_1 that controls lighting / off of the areas R1 to R4 of the oblique halo lighting device RLD, and is connected to the oblique halo lighting device RLD. The power supply cable 98_1 of the area R1 to R4 and the first power control compartment 97_1, the second power control compartment 97_2 that controls the lighting / off of the oblique halo lighting device RLD, and the oblique halo lighting device RLD The power cables 98_2 of the areas R5 to R8 and the second power control compartment 97_2.

如圖19表示,在進行晶元D的位置辨識的情況下,對斜光環照明裝置RLD之全部的領域R1~R8點燈,照射光朝向晶元D。藉此,存在從斜光環照明裝置RLD沿X軸方向及Y軸方向朝向晶元D的中心的照射光。As shown in FIG. 19, when the position of the wafer D is identified, all the areas R1 to R8 of the oblique halo lighting device RLD are turned on, and the irradiation light is directed toward the wafer D. Thereby, there is irradiation light from the oblique halo lighting device RLD toward the center of the wafer D in the X-axis direction and the Y-axis direction.

在進行晶元D的裂紋的檢查的情況下,把斜光環照明裝置RLD的領域R1~R4予以熄燈,把領域R5~R8予以點燈,來自領域R5~R8的的照射光朝向晶元D。領域R1~R4乃是與X軸方向或是Y軸方向交叉的領域,分別是斜光環照明裝置RLD整體的1/8的大小的領域。領域R5~R8乃是與X軸方向及Y軸方向的中間方向交叉的領域,分別是斜光環照明裝置RLD整體的1/8的大小的領域。藉此,來自斜光環照明裝置RLD的照射光係從自X軸方向往Y軸方向旋轉45度後的領域朝向晶元D的中心,不存在從斜光環照明裝置RLD沿X軸方向及Y軸方向朝向晶元D的中心照射光。In the case of inspecting the crack of the wafer D, the areas R1 to R4 of the oblique halo lighting device RLD are turned off, the areas R5 to R8 are turned on, and the irradiation light from the areas R5 to R8 is directed to the wafer D. The areas R1 to R4 are areas that intersect the X-axis direction or the Y-axis direction, and are respectively 1/8 of the size of the entire oblique halo lighting device RLD. The areas R5 to R8 are areas that intersect the middle direction of the X-axis direction and the Y-axis direction, and are areas of the size of 1/8 of the entire oblique halo lighting device RLD. As a result, the illumination light from the oblique halo lighting device RLD is rotated from the X-axis direction to the Y-axis direction by 45 degrees toward the center of the wafer D, and there is no X-axis and Y-axis from the oblique halo lighting device RLD. The direction irradiates light toward the center of the wafer D.

在本變形例中,領域R1~R4雖分別表示出為斜光環照明裝置RLD整體的1/8的大小的領域,但是,並非是限定在1/8者,在例如所結合的晶元小的情況下,把領域R1~R4設定成比1/8還大,把領域R5~R8設定成比1/8還小,用更狹小領域來照射也是可以的。In the present modification, the fields R1 to R4 are respectively shown as a field having a size of 1/8 of the entire oblique halo lighting device RLD. However, the fields R1 to R4 are not limited to 1/8. In the case, the areas R1 to R4 are set to be larger than 1/8, and the areas R5 to R8 are set to be smaller than 1/8. It is also possible to irradiate with a narrower area.

裂紋的外觀檢查,係在進行晶元位置辨識的場所也就是晶元供給部、中間載臺及結合臺中至少1處進行,在全部的地方進行者為更佳。在晶元供給部進行的話,可以早期檢查裂紋。在中間載臺進行的話,對於在晶元供給部無法檢測到的裂紋或是在拾取工序以後所產生出的裂紋(比起結合工序,在之前沒有顯著化的裂紋),是可以在結合前檢測的。而且,在結合臺進行的話,對於在晶元供給部及中間載臺無法檢測到的裂紋(比起結合工序,在之前沒有顯著化的裂紋)或是在結合工序以後產生出的裂紋,是可以在層疊下個晶元的結合前,或是在基板排出前檢測的。The appearance inspection of the crack is performed at least one of the wafer supply location, the intermediate stage, and the bonding stage where the wafer position is identified, and it is more preferable to perform the inspection at all places. If it is performed in the wafer supply section, cracks can be checked early. If it is performed on the intermediate stage, cracks that cannot be detected in the wafer supply unit or cracks that occur after the picking process (compared to the bonding process, which have not been noticeable before) can be detected before bonding. of. In addition, when performed at the bonding stage, cracks that cannot be detected in the wafer supply section and the intermediate stage (compared to the bonding process, which have not been noticeable before) or cracks that occur after the bonding process are possible. It is detected before the bonding of the next wafer is stacked, or before the substrate is ejected.

以上,把本案發明者創作出的發明,根據實施例及變形例進行了具體的說明,但是,本發明並不限定於上述實施例及變形例,能夠進行種種改變這一點,是在此無需贅言的。The inventions invented by the inventors have been specifically described above based on the embodiments and modifications, but the invention is not limited to the above embodiments and modifications, and various changes can be made. It is unnecessary to repeat them here. of.

例如,在變形例1中說明了使斜光條照明裝置旋轉,但並非被限定於此,也可以旋轉晶元。例如,也可以旋轉載置了晶元的中間載臺來改變照射方向。
而且,在實施例中,在晶元位置辨識之後進行晶元外觀檢查辨識,但是,也可以在晶元外觀檢查辨識之後進行晶元位置辨識。
而且,在實施例中,在晶圓的背面貼附有DAF,但是,也可以不用DAF。
而且,在實施例中,分別具備1個拾取頭及結合頭,但是,也可以分別具備2個以上。而且,在實施例中具備中間載臺,但是,也可以不用中間載臺。該情況下,拾取頭與結合頭可以兼用。
而且,在實施例中,以晶元的表面為上做結合,但是,使晶元反轉拾取後晶元的表背面,以晶元的背面為上做結合也是可以的。該情況下,不用設置中間載臺。該裝置是所謂的倒裝晶片結合機。
而且,在實施例中具備結合頭,但是,也可以不用結合頭。該情況下,已被拾取的晶元載置到容器等。該裝置稱為拾取裝置。
For example, although the oblique light bar illuminating device was rotated in the modification 1, it is not limited to this, and a wafer may be rotated. For example, the intermediate stage on which the wafer is placed may be rotated to change the irradiation direction.
Moreover, in the embodiment, the wafer appearance inspection identification is performed after the wafer location identification, but the wafer location identification may be performed after the wafer appearance inspection identification.
Furthermore, in the embodiment, the DAF is attached to the back surface of the wafer. However, the DAF may not be used.
In the embodiment, one pickup head and one coupling head are provided, but two or more pickup heads may be provided. Furthermore, although the intermediate stage is provided in the embodiment, the intermediate stage may be omitted. In this case, the pickup head and the bonding head can be used in combination.
Moreover, in the embodiment, the surface of the crystal element is used as the upper surface for bonding. However, it is also possible to make the surface of the crystal element after the surface of the crystal element is reversed and picked up, and the surface of the crystal element is used as the upper surface for bonding. In this case, it is not necessary to provide an intermediate stage. This device is a so-called flip-chip bonding machine.
In addition, although the coupling head is provided in the embodiment, the coupling head may not be used. In this case, the wafer that has been picked up is placed in a container or the like. This device is called a pickup device.

10‧‧‧晶粒接合器10‧‧‧ Die Bonder

1‧‧‧晶元供給部 1‧‧‧Epistar Supply Department

13‧‧‧上頂單元 13‧‧‧Top unit

2‧‧‧拾取部 2‧‧‧Pick up department

24‧‧‧晶圓辨識攝影機 24‧‧‧ Wafer Identification Camera

3‧‧‧對準部 3‧‧‧ alignment

31‧‧‧中間載臺 31‧‧‧ intermediate stage

32‧‧‧載臺辨識攝影機 32‧‧‧ Carrier Identification Camera

4‧‧‧結合部 4‧‧‧ junction

41‧‧‧結合頭 41‧‧‧Combination head

42‧‧‧筒夾 42‧‧‧ Collets

44‧‧‧基板辨識攝影機 44‧‧‧ substrate identification camera

5‧‧‧搬運部 5‧‧‧Transportation Department

51‧‧‧基板搬運爪 51‧‧‧ substrate handling claw

8‧‧‧控制部 8‧‧‧Control Department

9‧‧‧基板 9‧‧‧ substrate

BS‧‧‧結合臺 BS‧‧‧Combination station

D‧‧‧晶元 D‧‧‧Epistar

P‧‧‧封裝區域 P‧‧‧Packing area

CL1、CL2、CL3、CL4‧‧‧裂紋檢測用照明裝置 CL1, CL2, CL3, CL4 ‧‧‧ crack detection lighting device

RL1、RL2、RL3、RL4‧‧‧晶元辨識用照明裝置 RL1, RL2, RL3, RL4 ‧‧‧Epistar identification device

BLD1、BLD2、BLD3、BLD4‧‧‧斜光條照明裝置 BLD1, BLD2, BLD3, BLD4‧‧‧ oblique light bar lighting device

RLD‧‧‧斜光環照明裝置 RLD‧‧‧ oblique halo lighting device

[圖1]為表示晶粒接合器的構成例之概略俯視圖[Fig. 1] A schematic plan view showing a configuration example of a die bonder.

[圖2]為說明從圖1中箭頭A方向觀看時的概略構成之圖 [FIG. 2] A diagram illustrating a schematic configuration when viewed from the direction of an arrow A in FIG. 1. [FIG.

[圖3]為表示圖1的晶元供給部的構成之外觀立體圖 [Fig. 3] Fig. 3 is an external perspective view showing a structure of a wafer supply unit of Fig. 1.

[圖4]為表示圖2的晶元供給部的主重要部分之概略剖視圖 4 is a schematic cross-sectional view showing a main part of a wafer supply unit of FIG. 2

[圖5]為表示圖1的晶粒接合器的控制系統的概略構成之方塊圖 5 is a block diagram showing a schematic configuration of a control system of the die bonder of FIG. 1

[圖6]為說明圖1的晶粒接合器中的晶粒結合工序之流程圖 [FIG. 6] A flowchart illustrating a die bonding process in the die bonder of FIG. 1. [FIG.

[圖7]為說明傾斜照明的射入角之示意圖 [Fig. 7] Schematic diagram explaining the angle of incidence of oblique illumination

[圖8]為表示圖8は傾斜照明的晶圓或是晶元所致之反射光之示意圖 [Fig. 8] Schematic diagram showing the reflected light caused by the obliquely illuminated wafer or wafer in Fig. 8

[圖9]圖9為說明傾斜照明的射入角所致之晶元的明暗之示意圖 [Fig. 9] Fig. 9 is a schematic diagram illustrating the brightness and darkness of a wafer caused by the angle of incidence of oblique illumination.

[圖10]為放大了晶圓表面之示意圖 [Fig. 10] An enlarged view of a wafer surface

[圖11]為表示晶元裂紋檢查用照明裝置的配置之俯視圖 [FIG. 11] A plan view showing the arrangement of a lighting device for wafer crack inspection.

[圖12]為表示晶元裂紋檢查用照明裝置及晶元辨識用照明裝置的配置之配置圖 [Fig. 12] A layout diagram showing the arrangement of a lighting device for wafer crack inspection and a lighting device for wafer identification.

[圖13]為表示有關變形例1的照明裝置之示意立體圖 13 is a schematic perspective view showing a lighting device according to Modification 1.

[圖14]為表示使圖13的照明裝置旋轉的手段之示意立體圖 14 is a schematic perspective view showing a means for rotating the lighting device of FIG. 13

[圖15]為表示用圖13的照明裝置進行位置辨識的情況的配置之示意俯視圖 FIG. 15 is a schematic plan view showing a configuration in a case where position recognition is performed using the lighting device of FIG. 13

[圖16]為表示用圖13的照明裝置進行晶元裂紋檢查的情況的配置之示意俯視圖 16 is a schematic plan view showing the arrangement of a wafer crack inspection using the lighting device of FIG. 13

[圖17]為表示有關變形例2的照明裝置之示意立體圖 17 is a schematic perspective view showing a lighting device according to a modification 2.

[圖18]為表示控制圖17的照明裝置的點燈、熄燈的手段之示意立體圖 FIG. 18 is a schematic perspective view showing a means for controlling lighting and turning off of the lighting device of FIG. 17

[圖19]為說明圖17的照明裝置的點燈、熄燈位置之示意俯視圖 [FIG. 19] A schematic plan view illustrating the lighting and turning-off positions of the lighting device of FIG. 17. [FIG.

Claims (13)

一種半導體製造裝置,具備: 攝像裝置,其係拍攝具有第一邊、連接到前述第一邊的第二邊、與前述第一邊對向之第三邊、以及與前述第二邊對向之第四邊的晶元; 照明裝置,其係從相對於前述攝像裝置的光學系統軸而傾斜處對前述晶元照明;以及 控制裝置,其係控制前述攝像裝置及前述照明裝置; 前述控制裝置,係 抑制來自從前述第一邊的中央朝向前述晶元的中心之第一方向、從前述第二邊的中央朝向前述晶元的中心之第二方向、從前述第三邊的中央朝向前述晶元的中心之第三方向、以及從前述第四邊的中央朝向前述晶元的中心之第四方向的照明; 進行來自從包含用前述第一邊與前述第四邊所形成的角之第一角落部朝向前述晶元的中心之第五方向、從包含用前述第二邊與前述第一邊所形成的角之第二角落部朝向前述晶元的中心之第六方向、從包含用前述第三邊與前述第二邊所形成的角之第三角落部朝向前述晶元的中心之第七方向、以及從包含用前述第四邊與前述第三邊所形成的角之第四角落部朝向前述晶元的中心之第八方向的照明; 用前述攝像裝置拍攝前述晶元。A semiconductor manufacturing apparatus including: An imaging device for photographing a wafer having a first side, a second side connected to the first side, a third side opposite to the first side, and a fourth side opposite to the second side; An illuminating device that illuminates the wafer from a position inclined with respect to the optical system axis of the imaging device; and A control device for controlling the aforementioned imaging device and the aforementioned lighting device; The aforementioned control device is Suppressing from a first direction from the center of the first side to the center of the wafer, a second direction from the center of the second side to the center of the wafer, The third direction of the center and the fourth direction of illumination from the center of the fourth side toward the center of the wafer; A fifth direction from a first corner portion including an angle formed by the first side and the fourth side toward the center of the wafer is performed, and an angle formed from the second side including the first side and the first side is included. A second corner portion facing a sixth direction of the center of the wafer, a third corner portion including an angle formed by the third side and the second side toward a seventh direction of the center of the wafer, and Including illumination in an eighth direction of a fourth corner portion of the corner formed by the fourth side and the third side toward the center of the wafer; The wafer is captured by the imaging device. 如請求項1的半導體製造裝置,其中, 前述控制裝置,係 在辨識前述晶元的位置的情況下,用前述照明裝置從前述第一方向、前述第二方向、前述第三方向、以及前述第四方向進行照明,用前述攝像裝置拍攝前述晶元; 在檢查前述晶元的裂紋的情況下,用前述照明裝置抑制來自前述第一方向、前述第二方向、前述第三方向、以及前述第四方向的照明,從前述第五方向、前述第六方向、前述第七方向、以及前述第八方向進行照明,用前述攝像裝置拍攝前述晶元。The semiconductor manufacturing apparatus according to claim 1, wherein: The aforementioned control device is When the position of the wafer is identified, the illumination device is used to illuminate the wafer from the first direction, the second direction, the third direction, and the fourth direction, and the wafer is captured by the imaging device; When inspecting cracks in the wafer, the illumination device is used to suppress illumination from the first direction, the second direction, the third direction, and the fourth direction from the fifth direction to the sixth direction. The seventh direction and the eighth direction are illuminated, and the wafer is captured by the imaging device. 如請求項2的半導體製造裝置,其中, 前述照明裝置,具備: 配置在與前述第一邊對向的位置之第一晶元辨識用照明裝置; 配置在與前述第二邊對向的位置之第二晶元辨識用照明裝置; 配置在與前述第三邊對向的位置之第三晶元辨識用照明裝置; 配置在與前述第四邊對向的位置之第四晶元辨識用照明裝置; 配置在與前述第一角落部對向的位置之第一晶元裂紋檢查用照明裝置; 配置在與前述第二角落部對向的位置之第二晶元裂紋檢查用照明裝置; 配置在與前述第三角落部對向的位置之第三晶元裂紋檢查用照明裝置;以及 配置在與前述第四角落部對向的位置之第四晶元裂紋檢查用照明裝置; 前述控制裝置,係 在辨識前述晶元的位置的情況下,用前述第一晶元辨識用照明裝置從前述第一方向進行照明,用前述第二晶元辨識用照明裝置從前述第二方向進行照明,用前述第三晶元辨識用照明裝置從前述第三方向進行照明,用前述第四晶元辨識用照明裝置從前述第四方向進行照明,並用前述攝像裝置拍攝前述晶元; 在檢查前述晶元的裂紋的情況下,用前述第一晶元裂紋檢查用照明裝置從前述第五方向進行照明,用前述第二晶元裂紋檢查用照明裝置從前述第六方向進行照明,用前述第三晶元裂紋檢查用照明裝置從前述第七方向進行照明,用前述第四晶元裂紋檢查用照明裝置從前述第八方向進行照明,並用前述攝像裝置拍攝前述晶元。The semiconductor manufacturing apparatus according to claim 2, wherein: The lighting device includes: A first crystal element identification lighting device arranged at a position opposite to the first side; A second wafer identification lighting device arranged at a position opposite to the second side; A third crystal element identification lighting device arranged at a position opposite to the third side; A fourth crystal element identification lighting device arranged at a position opposite to the fourth side; A first wafer crack inspection lighting device arranged at a position opposite to the first corner portion; A second wafer crack inspection lighting device arranged at a position opposite to the second corner portion; A third wafer crack inspection lighting device arranged at a position facing the third corner portion; and A fourth wafer crack inspection lighting device arranged at a position opposite to the fourth corner portion; The aforementioned control device is When the position of the crystal element is identified, the first crystal element identification lighting device is used to illuminate from the first direction, the second crystal element identification lighting device is used to illuminate from the second direction, and the first The illumination device for three-crystal element recognition illuminates from the third direction, the illumination device for four-crystal element recognition illuminates from the fourth direction, and the wafer is photographed with the imaging device; When inspecting the crack of the wafer, the first wafer crack inspection lighting device is used to illuminate from the fifth direction, and the second wafer crack inspection lighting device is used to illuminate from the sixth direction. The third wafer crack inspection lighting device illuminates from the seventh direction, the fourth wafer crack inspection lighting device illuminates from the eighth direction, and the wafer is captured by the imaging device. 如請求項2的半導體製造裝置,其中, 前述照明裝置,具備: 第一斜光條照明裝置; 第二斜光條照明裝置; 與第一斜光條照明裝置對向而配置之第三斜光條照明裝置;以及 與第二斜光條照明裝置對向而配置之第四斜光條照明裝置; 前述控制裝置,係 在辨識前述晶元的位置的情況下, 用前述第一斜光條照明裝置從前述第一方向進行照明,用前述第二斜光條照明裝置從前述第二方向進行照明,用前述第三斜光條照明裝置從前述第三方向進行照明,用前述第四斜光條照明裝置從前述第四方向進行照明,並用前述攝像裝置拍攝前述晶元; 在檢查前述晶元的裂紋的情況下, 用前述第一斜光條照明裝置從前述第五方向進行照明,用前述第二斜光條照明裝置從前述第六方向進行照明,用前述第三斜光條照明裝置從前述第七方向進行照明,用前述第四斜光條照明裝置從前述第八方向進行照明,並用前述攝像裝置拍攝前述晶元。The semiconductor manufacturing apparatus according to claim 2, wherein: The lighting device includes: A first oblique light bar lighting device; A second oblique light bar lighting device; A third oblique light bar lighting device arranged opposite to the first oblique light bar lighting device; and A fourth oblique light bar lighting device arranged opposite to the second oblique light bar lighting device; The aforementioned control device is In the case of identifying the position of the aforementioned wafer, The first oblique light bar lighting device is used to illuminate from the first direction, the second oblique light bar lighting device is used to illuminate from the second direction, the third oblique light bar lighting device is used to illuminate from the third direction, and the aforementioned A fourth oblique light bar illuminating device illuminates from the fourth direction, and photographs the wafer with the imaging device; In the case of checking the cracks of the aforementioned wafer, The first oblique light bar lighting device is used to illuminate from the fifth direction, the second oblique light bar lighting device is used to illuminate from the sixth direction, and the third oblique light bar illuminator is used to illuminate from the seventh direction. The fourth oblique light bar illuminating device illuminates from the eighth direction, and photographs the wafer with the imaging device. 如請求項2的半導體製造裝置,其中, 前述照明裝置,乃是具有第一領域、第二領域、第三領域、第四領域、第五領域、第六領域、第七領域、以及第八領域之斜光環照明裝置; 前述控制裝置,係 在辨識前述晶元的位置的情況下, 對前述第一領域、前述第二領域、前述第三領域、前述第四領域、前述第五領域、前述第六領域、前述第七領域、以及前述第八領域進行點燈, 在前述第一領域從前述第一方向進行照明,在前述第二領域從前述第二方向進行照明,在前述第三領域從前述第三方向進行照明,在前述第四領域從前述第四方向進行照明,在前述第五領域從前述第五方向進行照明,在前述第六領域從前述第六方向進行照明,在前述第七領域從前述第七方向進行照明,在前述第八領域從前述第八方向進行照明,並用前述攝像裝置拍攝前述晶元; 在檢查前述晶元的裂紋的情況下, 對前述第一領域、前述第二領域、前述第三領域、以及前述第四領域進行熄燈,對前述第五領域、前述第六領域、前述第七領域、以及前述第八領域進行點燈, 在前述第六領域從前述第六方向進行照明,在前述第七領域從前述第七方向進行照明,在前述第八領域從前述第八方向進行照明,並用前述攝像裝置拍攝前述晶元。The semiconductor manufacturing apparatus according to claim 2, wherein: The aforementioned lighting device is an oblique halo lighting device having the first field, the second field, the third field, the fourth field, the fifth field, the sixth field, the seventh field, and the eighth field; The aforementioned control device is In the case of identifying the position of the aforementioned wafer, Lighting the first field, the second field, the third field, the fourth field, the fifth field, the sixth field, the seventh field, and the eighth field, Lighting in the first area from the first direction, lighting in the second area from the second direction, lighting in the third area from the third direction, and lighting in the fourth area from the fourth direction. Lighting is performed from the fifth direction in the fifth area, from the sixth direction in the sixth area, from the seventh direction in the seventh area, and from the eighth area in the eighth area. Illuminate in the direction, and shoot the wafer with the camera; In the case of checking the cracks of the aforementioned wafer, Turn off the first field, the second field, the third field, and the fourth field, and turn on the fifth field, the sixth field, the seventh field, and the eighth field, Lighting is performed from the sixth direction in the sixth field, lighting is performed from the seventh direction in the seventh field, lighting is performed from the eighth direction in the eighth field, and the wafer is captured by the imaging device. 如請求項1的半導體製造裝置,其中,更進一步, 具備晶元供給部,該晶元供給部具有晶圓環支撐器,該晶圓環支撐器保持貼附了前述晶元的切割用膠帶; 前述控制裝置係使用前述攝像裝置及前述照明裝置拍攝貼附在前述切割用膠帶之晶元。The semiconductor manufacturing apparatus according to claim 1, further comprising: A wafer supply unit is provided, the wafer supply unit includes a wafer ring supporter, and the wafer ring supporter holds a dicing tape to which the wafer is attached; The control device is configured to use the imaging device and the illumination device to photograph a wafer attached to the cutting tape. 如請求項1的半導體製造裝置,其中,更進一步, 具備結合頭,該結合頭把前述晶元結合到基板或是已經結合的晶元上; 前述控制裝置係使用前述攝像裝置及前述照明裝置,拍攝已被結合到前述基板或是晶元上之晶元。The semiconductor manufacturing apparatus according to claim 1, further comprising: With a bonding head, the bonding head couples the aforementioned crystal element to a substrate or an already bonded crystal element; The aforementioned control device uses the aforementioned imaging device and the aforementioned illumination device to photograph a wafer that has been bonded to the substrate or the wafer. 如請求項1的半導體製造裝置,其中,更進一步, 具備: 拾取頭,其係拾取前述晶元;以及 中間載臺,其係載置前述已拾取的晶元; 前述控制裝置係使用前述攝像裝置及前述照明裝置拍攝已被載置到前述中間載臺上之晶元。The semiconductor manufacturing apparatus according to claim 1, further comprising: have: A pick-up head that picks up the aforementioned wafer; and An intermediate stage on which the previously picked wafers are placed; The control device uses the imaging device and the illumination device to photograph a wafer that has been mounted on the intermediate stage. 一種半導體裝置的製造方法,具備以下的工序: (a)準備請求項1至5中任1項的半導體製造裝置之工序; (b)把保持貼附了晶元的切割用膠帶之晶圓環支撐器予以搬入之工序; (c)搬入基板之工序; (d)拾取前述晶元之工序; (e)把前述已拾取的晶元結合到前述基板或是已經被結合到前述基板的晶元上之工序。A method for manufacturing a semiconductor device includes the following steps: (a) a process for preparing a semiconductor manufacturing apparatus according to any one of claims 1 to 5; (b) the process of carrying in the wafer ring holder holding the dicing tape attached with the wafer; (c) the process of moving into the substrate; (d) a process of picking up the aforementioned wafer; (e) A step of bonding the picked up wafer to the substrate or the wafer to which the wafer has been bonded. 如請求項9的半導體裝置的製造方法,其中, 前述(d)工序係把前述已被拾取的晶元載置到中間載臺; 前述(e)工序係拾取已被載置到前述中間載臺之晶元。The method for manufacturing a semiconductor device according to claim 9, wherein: The step (d) is to place the wafers that have been picked up on an intermediate stage; The step (e) is to pick up a wafer that has been placed on the intermediate stage. 如請求項9的半導體裝置的製造方法,其中,更進一步, 具備:(g)在前述(d)工序之前,使用前述攝像裝置及前述照明裝置,檢查前述晶元的外觀之工序。The method for manufacturing a semiconductor device according to claim 9, further comprising: (G) A step of inspecting the appearance of the wafer using the imaging device and the lighting device before the step (d). 如請求項9的半導體裝置的製造方法,其中,更進一步, 具備:(h)在前述(e)工序之後,使用前述攝像裝置及前述照明裝置檢查前述晶元的外觀之工序。The method for manufacturing a semiconductor device according to claim 9, further comprising: (H) A step of inspecting the appearance of the wafer using the imaging device and the illumination device after the step (e). 如請求項10的半導體裝置的製造方法,其中,更進一步, 具備:(i)在前述(d)工序之後且在前述(e)工序之前,使用前述攝像裝置及前述照明裝置檢查前述晶元的外觀之工序。The method for manufacturing a semiconductor device according to claim 10, further, (I) A step of, after the step (d) and before the step (e), using the imaging device and the illumination device to inspect the appearance of the wafer.
TW107130824A 2017-09-19 2018-09-03 Semiconductor manufacturing device and method for manufacturing semiconductor device TWI678746B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-178969 2017-09-19
JP2017178969A JP7010633B2 (en) 2017-09-19 2017-09-19 Semiconductor manufacturing equipment and methods for manufacturing semiconductor equipment

Publications (2)

Publication Number Publication Date
TW201929113A true TW201929113A (en) 2019-07-16
TWI678746B TWI678746B (en) 2019-12-01

Family

ID=65770976

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130824A TWI678746B (en) 2017-09-19 2018-09-03 Semiconductor manufacturing device and method for manufacturing semiconductor device

Country Status (4)

Country Link
JP (1) JP7010633B2 (en)
KR (1) KR102130386B1 (en)
CN (1) CN109524320B (en)
TW (1) TWI678746B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752558B (en) * 2019-09-19 2022-01-11 日商捷進科技有限公司 Die bonding device and manufacturing method of semiconductor device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7151642B2 (en) * 2019-06-28 2022-10-12 住友電気工業株式会社 Surface emitting laser, its manufacturing method and its inspection method
JP7437987B2 (en) 2020-03-23 2024-02-26 ファスフォードテクノロジ株式会社 Die bonding equipment and semiconductor device manufacturing method
CN112992692B (en) * 2021-05-19 2021-07-20 佛山市联动科技股份有限公司 Method and system for full-automatic cutting of lead

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5538003A (en) * 1978-09-08 1980-03-17 Hitachi Ltd Rectilinear pattern detecting device
JP2003185593A (en) * 2001-12-21 2003-07-03 Nec Electronics Corp Visual examination device for wafer
DE112005001294T5 (en) * 2004-06-04 2007-04-26 Tokyo Seimitsu Co., Ltd. Semiconductor surface inspection device and lighting method
TWI412736B (en) * 2009-12-04 2013-10-21 Delta Electronics Inc A apparatus and method for inspecting inner defect of substrate
US8766192B2 (en) * 2010-11-01 2014-07-01 Asm Assembly Automation Ltd Method for inspecting a photovoltaic substrate
JP5924267B2 (en) * 2010-12-14 2016-05-25 株式会社ニコン Inspection method, inspection apparatus, exposure management method, exposure system, and semiconductor device manufacturing method
JP5277266B2 (en) * 2011-02-18 2013-08-28 株式会社日立ハイテクインスツルメンツ Die bonder and semiconductor manufacturing method
JP2013197226A (en) * 2012-03-19 2013-09-30 Hitachi High-Tech Instruments Co Ltd Die bonding method and die bonder
JP2014060249A (en) * 2012-09-18 2014-04-03 Hitachi High-Tech Instruments Co Ltd Die bonder and die position recognition method
KR101431917B1 (en) * 2012-12-27 2014-08-27 삼성전기주식회사 examination apparatus of package
TWI570823B (en) * 2013-08-14 2017-02-11 新川股份有限公司 Semiconductor manufacturing apparatus and manufacturing method for semiconductor apparatus
WO2016088721A1 (en) * 2014-12-05 2016-06-09 株式会社 アルバック 822 substrate monitoring device and substrate monitoring method
JP6685126B2 (en) * 2015-12-24 2020-04-22 ファスフォードテクノロジ株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
JP6669523B2 (en) * 2016-02-15 2020-03-18 ファスフォードテクノロジ株式会社 Die bonder and method of manufacturing semiconductor device
JP6683500B2 (en) * 2016-02-24 2020-04-22 株式会社ディスコ Inspection equipment and laser processing equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752558B (en) * 2019-09-19 2022-01-11 日商捷進科技有限公司 Die bonding device and manufacturing method of semiconductor device

Also Published As

Publication number Publication date
KR20190032195A (en) 2019-03-27
CN109524320B (en) 2023-03-24
TWI678746B (en) 2019-12-01
JP2019054203A (en) 2019-04-04
KR102130386B1 (en) 2020-07-06
CN109524320A (en) 2019-03-26
JP7010633B2 (en) 2022-01-26

Similar Documents

Publication Publication Date Title
TWI678746B (en) Semiconductor manufacturing device and method for manufacturing semiconductor device
TWI624887B (en) Semiconductor manufacturing device and method for manufacturing semiconductor device
KR102100889B1 (en) Die bonding device and method of manufacturing semiconductor device
JP7029900B2 (en) Manufacturing method of die bonding equipment and semiconductor equipment
JP7102271B2 (en) Semiconductor manufacturing equipment and manufacturing method of semiconductor equipment
JP7225337B2 (en) Semiconductor manufacturing equipment and semiconductor device manufacturing method
TWI765517B (en) Die bonding device and method of manufacturing semiconductor device
KR102304880B1 (en) Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
TWI823297B (en) Die bonding device and method for manufacturing semiconductor device
TWI786739B (en) Die bonding device and method for manufacturing semiconductor device
TW202213547A (en) Die bonding apparatus and manufacturing method for semiconductor device