TW201926422A - 微影圖案化的方法 - Google Patents

微影圖案化的方法 Download PDF

Info

Publication number
TW201926422A
TW201926422A TW107143120A TW107143120A TW201926422A TW 201926422 A TW201926422 A TW 201926422A TW 107143120 A TW107143120 A TW 107143120A TW 107143120 A TW107143120 A TW 107143120A TW 201926422 A TW201926422 A TW 201926422A
Authority
TW
Taiwan
Prior art keywords
target layer
ions
layer
ion
implanting
Prior art date
Application number
TW107143120A
Other languages
English (en)
Other versions
TWI709165B (zh
Inventor
楊承翰
吳宗翰
張致瑋
林欣玫
謝翊群
張晞硯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926422A publication Critical patent/TW201926422A/zh
Application granted granted Critical
Publication of TWI709165B publication Critical patent/TWI709165B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種微影圖案化的方法包含在基板上方沉積目標層;透過將離子植入目標層中以減少入射至目標層上的光之反射,進而產生離子植入目標層;在離子植入目標層上方塗佈光阻層;使用光微影製程將光阻層暴露於光,其中目標層在光微影製程期間減少在離子植入目標層與光阻層之間的界面的光之反射;顯影光阻層以形成光阻圖案;以光阻圖案作為蝕刻遮罩蝕刻離子植入目標層;至少使用蝕刻的離子植入目標層作為製程遮罩以加工基板;並去除蝕刻的離子植入目標層。

Description

以離子植入的微影圖案化方法形成抗反射塗層
半導體積體電路(Integrated circuit,IC)產業已經歷了指數型成長。積體電路材料與設計的先進技術已製造了許多代的積體電路,其中每一代都具有比上一代小且複雜的電路。在積體電路的發展過程中,功能密度(即每一晶片區域之互連裝置的數量)普遍增加,而幾何尺寸(即可使用製造加工產生的最小組件(或線段))減小。這種尺度縮小的製程通常透過提高生產效率和降低相關成本以提供益處。這樣的尺度縮小也增加了加工及製造積體電路的複雜性。
例如,反射率的調控一直是微影製程的挑戰。在典型的微影製程中,將光阻膜塗佈於晶圓的表面上,隨後暴露及顯影以形成光阻圖案。接著,將光阻圖案用於蝕刻晶圓以形成積體電路的特徵。當將光阻膜暴露於輻射時,控制任何光阻下層的輻射反射是重要的。否則,反射可能會對光阻圖案的解析度和臨界尺寸(Critical dimension,CD)產生負面的影響。當晶片具有大的深寬比的表面型態時,例如複雜的鰭片場效電晶體結構或其他三維微結構,反射的控制特別麻煩。一種方法是在光阻層下方塗佈抗反射塗層(Anti-reflective coating, ARC),並在暴露期間以抗反射塗層吸收輻射。本揭露的標的是有關於形成該抗反射塗層的方法。
100‧‧‧方法
102、104、106、108、110、112、114、116、118、120、122、124、126、128‧‧‧操作
200‧‧‧結構
202‧‧‧基板
208‧‧‧目標層(抗反射塗層)
208A‧‧‧底部(子層、層)
208B、208C、208D‧‧‧頂部(離子植入層、子層、層)
214‧‧‧光阻層(光阻、光阻圖案)
214B‧‧‧頂部(離子植入光阻層、離子植入層、光阻部分、頂部抗反射塗層、抗反射塗層)
216‧‧‧輻射
250‧‧‧第一種類(第一離子種類、離子、離子種類)
260‧‧‧第二種類(第二離子種類、離子、離子種類)
270‧‧‧第三種類(第三離子種類、離子、離子種類)
280‧‧‧種類(離子、離子種類)
252、262、272‧‧‧退火製程
D1、D3、D5、D7‧‧‧厚度
D2、D4、D6‧‧‧深度
Z‧‧‧方向
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露之態樣。應注意,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰之目的,可任意增加或減小各個特徵之尺寸。
第1A圖、第1B圖及第1C圖繪示根據本揭露之各種方面的微影圖案化的方法的流程圖。
第2A圖、第2B圖、第2C圖、第2D圖、第2E圖、第2F圖、第2G圖、第2H圖、第2I圖、第2J圖、第2K圖、第2L圖、第2M圖、第2N-1圖、第2N-2圖、第2O-1圖及第2O-2圖繪示根據本揭露之一些實施例與第1圖的方法形成半導體結構的剖面圖。
以下揭露內容提供許多不同實施例或實例,以便實施所提供標的之不同特徵。下文描述組件及排列之特定實例以簡化本揭露。當然,此等僅為實例且並不意欲為限制性。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。另外,本揭露可在各 個實例中重複元件符號及/或字母。此重複係出於簡便性及清晰的目的且本身並不指示所論述之各個實施例及/或配置之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所示出之一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中元件之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向)且由此可類似解讀本文所使用之空間相對性描述詞。此外,本文使用的「約」或「近似」等,除非另有說明,否則該術語旨在涵蓋所述數量的±10%範圍內的數字。例如,術語「約5nm」包含4.5nm至5.5nm的尺寸範圍。
本揭露一般與半導體裝置的製造方法有關,且更具體地涉及透過微影圖案化中的離子植入以形成抗反射塗層(ARC)。根據本揭露的一些方面,透過在基板上方沉積材料層;將兩種或多種種類的離子植入至材料層中;且退火材料層,使得離子擴散至材料層中的適當深度而形成離子植入材料層,以形成抗反射塗層。離子植入材料層沿其深度方向提供不同的折射率。透過選擇離子種類的類型及離子植入與退火的條件,離子植入材料層可提供在光微影製程期間所使用的高吸收率與低反射率的特定輻射波長。所揭露之透過離子植入形成抗反射塗層的方法優於透過多次沉積形成抗反射塗層的方法,例如透過化學氣相沉積、物理氣相沉積或其他方法。一個優點是 所揭露的方法可產生具有與多次沉積方法不同且微調的折射率之更多層,並使用較少的製程時間。此外,所揭露的方法可產生具有梯度折射率的抗反射塗層,以獲得比透過多次沉積方法形成的一些抗反射塗層低的反射率。與使用非均質梯度微結構,如奈米多孔隙膜形成的抗反射塗層相比,所揭露的方法可較佳地控制抗反射塗層中的折射率,且離子植入的抗反射塗層通常提供比奈米多孔隙膜佳的抗蝕刻性,這是因為在本揭露的一些實施例中,離子植入的抗反射塗層包含如氮化物或金屬的無機材料。較佳的抗蝕刻性有助於獲得蝕刻圖案的較佳的臨界尺寸。
第1A圖至第1C圖繪示了根據本揭露之各種方面的圖案化基板(例如半導體晶圓或遮罩基板)的方法100之流程圖。第1A圖與第1B圖繪示了部分的方法100的兩個替代實施例。可於方法100之前、期間及之後提供附加操作,並且對於方法100的其他實施例,可替換、刪除或移動所描述的一些操作。方法100為一示例,且除了在請求項中明確記載的內容之外,本揭露不限於此。以下結合第2A圖至第2O-2圖描述方法100,其中透過使用方法100的一些實施例製造結構200。結構200可為在積體電路的加工期間製造的中間裝置或其一部分,結構200可包含靜態隨機存取記憶體及/或其他邏輯電路;如電阻器、電容器與電感器的被動元件;以及如p型場效電晶體(P-channel field-effect transistor,PFET)、n型場效電晶體(N-channel field-effect transistor,NFET)、鰭片場效電晶體(Fin field-effect transistor,FinFET)、其他三維(3D)場效電 晶體、金屬氧化物半導體場效電晶體(Metal-oxide-semiconductor field-effect transistor,MOSFET)、互補式金屬氧化物半導體(Complementary metal-oxide-semiconductor,CMOS)電晶體、雙極性電晶體、高電壓電晶體、高頻率電晶體與其他記憶體的主動元件及其組合。在一些實施例中,結構200還可為用於製造積體電路的遮罩或光罩。
在操作102中,方法100(第1A圖)接收基板202(第2A圖)。如第2A圖所示,基板202包含一層或多層材料或組合物,並將使用本揭露的微影圖案化方法進行加工。在一實施例中,基板202為半導體基板(例如晶圓)。在另一實施例中,基板202包含晶體結構的矽。在一些替代實施例中,基板202包含如鍺的元素半導體或如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦的化合物半導體或如矽化鍺、砷化鎵磷、砷化鋁銦、砷化鋁鎵、砷化銦鎵、磷化銦鎵、及/或銦鎵砷磷的合金半導體或其組合等。基板202可包含絕緣體上矽晶(Silicon on insulator,SOI)基板、可伸張/伸縮以提高性能、可包含磊晶區域、可包含絕緣區域、可包含摻雜區域、可包含一個或多個半導體裝置或其部分、可包含導電及/或非導電層、及/或可包含其他合適的特徵及層。基板202的頂面可為平面或可包含具有大的深寬比的各種結構,例如半導體鰭片及/或閘極結構。在另一實施例中,基板202為遮罩基板(有時稱為遮罩空白),其可使用積體電路圖案圖案化以形成遮罩或光罩。舉例來說,基板202可包含在透明層上方用於製造透射 式遮罩的輻射吸收層,或者包含在反射層上方用於製造反射式遮罩的輻射吸收層。在任一種情況下,可使用本揭露的方法100圖案化輻射吸收層。
方法100(第1A圖)透過在基板202上方沉積目標層208接續進行操作104(第2B圖)。根據本揭露的各種方面,使用離子植入和退火來加工目標層208以形成抗反射塗層。在一實施例中,目標層208包含無機材料,且可使用化學氣相沉積、物理氣相沉積或其他合適的方法來沉積。在一實施例中,目標層208包含單晶矽或多晶矽。在另一實施例中,目標層208包含氧化物如二氧化矽,或金屬氧化物。舉例來說,金屬氧化物可包含二氧化鈦。在另一個實施例中,目標層208包含氮化物如氮化矽(Si3N4),或金屬氮化物。舉例來說,金屬氮化物可包含氮化鈦。在另一實施例中,目標層208包含如鈦的金屬膜。在一實施例中,目標層208被沉積至厚度(沿著方向Z,基板202頂面的法線)大於約5000埃,例如約5001埃至約4微米。
方法100(第1A圖)透過將第一種類250的離子植入至目標層208中以接續進行操作106(第2C圖)。如第2C圖所示,在目標層208的頂部208B植入第一種類250的離子。在一些實施例中,頂部208B的厚度為D1,其範圍為約2500埃至約3500埃,例如約3180埃。厚度D1的上限應設計為小於目標層208的厚度。此外,在離子於目標層208中擴散之後,深度D2(如第2D圖所示)應等於或小於目標層208的厚度。厚度D1的下限應根據頂部208B中將包含多少離子植入層與每個層的厚度來設計。如後續將描述的,方法100在頂部208B的內部產生其他 的離子植入層。如果D1太小,則將這些層添加至層208B中可能為不可行的。目標層208的底部208A未植入離子250。第一離子種類250可選自但不限於由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧與氫組成之群組。在本實施例中,目標層208將在後續操作中植入其他種類的離子。其他的種類也可選自由由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧與氫組成之群組。在本實施例中,第一離子種類250為植入至目標層208中的離子種類中最小的(即具有最小的原子質量)。此外,在本實施例中,在所有植入的離子種類中,第一離子種類250植入至目標層208最深的部分。以這種方式,目標層208的至少一部分(208B)基本上僅包含第一種類250,而此部分中的其他離子種類可忽略不計。這使得此部分的折射率更容易微調。
在一個實施例中,第一離子種類250為硼(B),且目標層208包含矽。為了進一步實施該實施例,操作106以約30千電子伏特至約100千電子伏特範圍內的第一能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分範圍內的第一離子劑量執行硼離子的植入。可基於目標層208的材料、離子種類250、期望深度D1及離子植入層208B的期望反射率與折射率來選擇能量劑量與離子劑量的範圍。能量劑量的範圍影響離子植入的深度,因此影響深度D1。若能量劑量太高或太低,將無法達到期望深度D1。離子劑量的範圍影響雜質(Impurity)摻雜的量,進而影響離子植入層208B的反射率與折射率。若離子劑量太高或太低,則無法達到離子植入層208B的期望光學性質。在一實施例中,將硼離子植入至目標層208中直至深 度D1為約2500埃至約3500埃,例如約3180埃。在一實施例中,操作106中的能量劑量在約30千電子伏特至約100千電子伏特的範圍內變化(例如具有均勻的分佈),使得離子250接近均勻地分佈在層208B中。舉例來說,在較高的能量劑量下,離子傾向於較深地行進至目標層208中;在較低的能量劑量下,離子傾向於較淺地行進至目標層208中。此外,離子分佈通常為高斯分佈。因此,透過改變能量劑量,可在整個厚度D1上以接近均勻的雜質濃度植入層208B。此外,在各種實施例中,能量劑量可逐漸增加、逐漸減小、在最小值與最大值之間振盪、或使用其他手段。
在操作108中,方法100(第1A圖)對結構200執行退火製程252,其中,結構200包含植入第一離子種類250的目標層208(第2D圖)。退火製程252使離子250更深地擴散至目標層208中而達到深度D2,其中D2大於D1。在一實施例中,第一離子種類250為硼(B),且目標層208包含矽。為了進一步實施該實施例,操作108在約950℃至約1025℃的溫度範圍內,且持續在約10秒至約30秒的時間範圍內執行退火製程252。因此,深度D2在約3000埃至約5000埃的範圍內。在退火製程252之後,包含離子種類250的頂部208B的厚度從D1增加至D2。退火溫度與退火時間會影響離子的擴散長度。選擇所揭露的退火溫度和退火時間以從初始植入深度D1(退火之前)達到期望深度D2(退火之後)。並且,選擇退火溫度使得裝置200能夠承受退火製程而不損壞。
在操作110中,方法100(第1A圖)將第二種類260的離子植入目標層208中(第2E圖)。如第2E圖所示,在目標層208的頂部208C植入第二種類260的離子。頂部208C的厚度為D3,其小於D1或D2。例如,在一些實施例中,厚度D3可在約1200埃至約1500埃的範圍內,例如約1342埃。厚度D3的上限應設計為小於厚度D2。此外,在離子260於目標層208中擴散之後,深度D4(如第2F圖所示)應小於厚度D2,以便產生離子植入層的堆疊。厚度D3的下限應根據頂部208C中將包含多少離子植入層與每個層的厚度來設計。如後續將描述的,方法100可在頂部208C的內部產生其他的離子植入層。若D3太小,則將這些層添加至層208C中可能為不可行的。第二種類260可選自但不限於由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧與氫組成之群組。在本實施例中,選擇比離子種類250大的第二種類260(即具有更大的原子質量),以便在堆疊的離子植入層中產生期望的抗反射性。並且,在操作110中使用比在操作106中大的離子種類允許兩個操作使用相同或類似的植入能量來產生離子植入層208C與層208B的堆疊,其中層208C比層208B淺。這簡化了製造過程,例如,在方法100的各種操作中可保持相同的離子植入能量。在一實施例中,第二離子種類260為磷(P)。為了進一步實施該實施例,操作110以約30千電子伏特至約100千電子伏特的範圍內的能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分的範圍內的離子劑量執行磷離子的植入。可基於目標層208的材料、 離子種類260、期望深度D3及離子植入層208C的期望反射率與折射率來選擇能量劑量與離子劑量的範圍。能量劑量的範圍影響離子植入的深度,因此影響深度D3。若能量劑量太高或太低,則無法達到期望深度D3。離子劑量的範圍影響雜質摻雜的量,進而影響離子植入層208C的反射率與折射率。若離子劑量太高或太低,則無法達到離子植入層208C的期望光學性質。在一個實施例中,操作110中的能量劑量在約30千電子伏特至約100千電子伏特的範圍內變化(例如具有均勻的分佈),使得離子260接近均勻地分佈在層208C中。舉例來說,在較高的能量劑量下,離子傾向於較深地行進至目標層208中;在較低的能量劑量下,離子傾向於較淺地行進至目標層208中。此外,離子分佈通常是高斯分佈。因此,透過改變能量劑量,可在整個厚度D3上以接近均勻的雜質濃度植入層208C。此外,在各種實施例中,能量劑量可逐漸增加、逐漸減小、在最小值與最大值之間振盪,或者使用其他手段。
在操作112中,方法100(第1A圖)對結構200執行退火製程262,其中,結構200包含植入第一離子種類250與第二離子種類260的目標層208(第2F圖)。退火製程262使離子260更深地擴散至目標層208中達到深度D4,該深度D4大於D3但小於D2。在退火製程262期間,第一離子種類250也可進一步擴散至目標層208中。在一些實施例中,在設計D1和D2的深度時考慮這種進一步的擴散(參見先前對操作106與108的描述)。舉例來說,在操作106和108期間,可分配深度預算以適 應這種進一步的擴散(以及後續熱製程中的任何進一步擴散)。在一實施例中,第二離子種類260為磷(P)。為了進一步實施該實施例,操作112在約950℃至約1025℃的溫度範圍內,且持續在約10秒至約30秒的時間範圍內執行退火製程262。因此,深度D4在約1342埃至約3000埃的範圍內。在退火製程262之後,包含離子種類260的頂部208C的厚度從D3增加至D4。上述退火溫度和退火時間會影響離子的擴散長度。選擇所揭露的退火溫度和退火時間以從初始植入深度D3(退火之前)達到期望深度D4(退火之後)。並且,選擇退火溫度使得裝置200能承受退火製程而不損壞。
在操作114中,方法100(第1A圖)將第三種類270的離子植入至目標層208中(第2G圖)。如第2G圖所示,在目標層208的頂部208D植入第三種類270的離子。頂部208D的厚度D5小於D3或D4。舉例來說,在一些實施例中,厚度D5可在約600挨至約750埃的範圍內,例如約692埃。厚度D5的上限應設計為小於厚度D4。此外,在離子270於目標層208中擴散之後,深度D6(如第2H圖所示)應小於厚度D4,以便產生離子植入層的堆疊。厚度D5的下限應根據頂部208D中將包含多少離子植入層和每個層的厚度來設計。若D5太小,則將這些層添加到層208D中可能為不可行的。若層208D是堆疊的離子植入層的最頂部,則可根據層208的期望光學性質(抗反射率)來設計其厚度。例如在各種實施例中,堆疊層208D/208C/208B/208A應提供梯度反射率和折射率。第三種類270可選自但不限於由硼、磷、砷、鍺、氟、矽、鋁、氮、 碳、氬、氧與氫組成之群組。在本實施例中,選擇比第二離子種類260大的第三離子種類270(即具有更大的原子質量),以便在堆疊的離子植入層中產生期望的抗反射率。並且,在操作114中使用比在操作110中大的離子種類允許兩個操作使用相同或類似的植入能量來產生離子植入層208D和208C的堆疊,其中層208D比層208C淺。這簡化了製造過程,例如,在方法100中的各種操作中可保持相同的離子植入能量。在一實施例中,第三離子種類270為砷(As)。為了進一步實施該實施例,操作114以約30千電子伏特至約100千電子伏特的範圍內的能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分的範圍內的離子劑量執行砷離子的植入。可基於目標層208的材料、離子種類270、期望深度D5及離子植入層208D的期望反射率和折射率來選擇能量劑量與離子劑量的範圍。能量劑量的範圍影響離子植入的深度,因此影響深度D5。若能量劑量太高或太低,則無法達到期望深度D5。離子劑量的範圍影響雜質摻雜的量,近而影響離子植入層208D的反射率和折射率。若離子劑量太高或太低,則無法達到離子植入層208D的期望光學性質。在一實施例中,操作114中的能量劑量在約30千電子伏特至約100電子伏特的範圍內變化(例如具有均勻的分佈),使得離子270接近均勻地分佈在層208D中。舉例來說,在較高的能量劑量下,離子傾向於較深地行進至目標層208中;在較低的能量劑量下,離子傾向於較淺地行進至目標層208中。此外,離子分佈通常是高斯分佈。因此,透過改變能量劑量,可在整個厚度D5上以接近均勻的雜質濃度植入層208D。 此外,在各種實施例中,能量劑量可以逐漸增加、逐漸減小、在最小值和最大值之間振盪、或者使用其他手段。
在操作116中,方法100(第1A圖)對結構200執行退火製程272,其中,結構200包含植入第一離子種類250、第二離子種類260與第三離子種類270的目標層208(第2H圖)。退火製程272使離子270更深地擴散至目標層208中達到深度D6,深度D6大於D5但小於D4。在退火製程272期間,第一離子種類250和第二離子種類260也可進一步擴散至目標層208中。在一實施例中,第三離子種類270為砷(As)。為了進一步實施該實施例,操作112在約950℃至約1025℃的溫度範圍內,且持續在約10秒至約30秒的時間範圍內執行退火製程272。因此,深度D6在約692埃至約2000埃的範圍內。在退火製程272之後,包含離子種類270的頂部208D的厚度從D5增加至D6。上述退火溫度和退火時間會影響離子的擴散長度。選擇所揭露的退火溫度和退火時間以從初始植入深度D5(退火之前)達到期望深度D6(退火之後)。並且,選擇退火溫度使得裝置200能承受退火製程而不損壞。
在另一實施例中,方法100可將其他的離子種類(例如第四離子種類、第五離子種類及第六離子種類等)植入至目標層208中。在另一實施例中,每一其他的離子種類比任何先前植入的離子種類重(或具有較大的原子質量),並且植入至比任何先前離子種類小的深度。在操作中使用比在先前操作中更重的離子種類允許兩個操作使用相同或類似的植入能量來產生離子植入層的堆疊,其中後面植入的層比先前植入的層 淺。這簡化了製造過程,例如,可在方法100的各種操作中保持相同的離子植入能量。
在一實施例中,如第1B圖所示,在將所有三個(或多個)離子物種類250、260和270植入目標層208之後,方法100可執行一個退火製程(而不是分開的退火製程252、262和272)。這節省了製造時間。然而,在每次離子植入之後執行分開的退火製程可提供離子植入層的折射率之良好的可調性。
透過進行上述的離子植入(例如操作106、110和114),方法100在目標層208中形成多個離子植入層(或子層)。如第2H圖所示,目標層208目前包含子層208A、208B、208C和208D。子層208A包含目標層208的原始材料且具有可忽略的植入離子250、260和270。子層208B包含植入離子種類250的目標層208的原始材料,具有可忽略的植入離子260和270。子層208C包含植入離子種類250和260的目標層208的原始材料,且具有可忽略的植入離子270。子層208D包含植入離子種類250、260和270的目標層208的原始材料。
透過進行上述的退火製程(例如分開的操作108、112和116或單個集體退火製程),每個子層208B、208C和208D中包含沿方向Z以梯度模式分佈的離子。例如,每個子層在其頂部可具有比在其底部更多的離子(或更高的離子密度)。退火製程有效地導致不均勻地離子植入子層208B、208C和208D。在每個子層內,折射率沿深度方向Z逐漸變化。
可調整子層208A、208B、208C和208D以提供適當的折射率和厚度,以降低對於特定輻射波長的目標層 208的上表面(其將塗佈光阻)的反射率。其中,特定輻射波長如365奈米(I線)、248奈米(氟化氪準分子雷射)、193奈米(氟化氬準分子雷射)或13.8奈米(極紫外光)。影響每個子層的折射率與厚度的因素包含離子種類、離子植入能量劑量、離子劑量、退火溫度和退火持續時間。此外,目標層208的材料、光阻的材料(例如將參考第2I圖所描述的光阻214)和輻射波長(例如將參考第2K圖所描述的輻射216)為需要考慮的其他因素。可在電腦程式中對各種因素進行建模,且模擬方法100以確定離子種類的工作範圍、離子植入能量劑量、離子劑量、退火溫度和退火持續時間。就此而言,上述揭露的特定離子種類、能量劑量範圍、離子劑量範圍、退火溫度範圍和退火持續時間範圍(例如在操作106至操作116中)為示例,且除了在請求項中明確記載的內容之外,本揭露不限於此。在另一實施例中,子層208A、208B、208C和208D均可為光吸收的。
透過進行上述的離子植入和退火製程,方法100有效地將目標層208轉變為具有多層抗反射塗層與非均勻抗反射塗層兩者特性的抗反射塗層(ARC)。與透過多次沉積形成多層抗反射塗層的方法相比,所揭露的方法100執行一個沉積製程(例如操作104),進而節省了生產時間。與一些有機非均勻抗反射塗層相比,所揭露的抗反射塗層(離子植入目標層208)在隨後的微影製程中提供較佳的抗蝕刻性。離子植入目標層208在本揭露中也視為抗反射塗層208。
在操作118中,方法100(第1C圖)在抗反射塗層208上形成光阻層214。如第2I圖所示,在一實施例中,透過在抗反射塗層208上方旋轉塗佈光阻材料,隨後進行軟烤製程及/或硬烤製程來形成光阻層214。在一實施例中,光阻層214為深紫外光阻,例如氟化氪(KrF)光阻或氟化氬(ArF)光阻。在另一實施例中,光阻層214為I線光阻、極紫外光阻,電子束(e-beam)光阻或離子光阻。根據欲施加在光阻層214上的輻射波長來選擇光阻層214的類型。在本實施例中,光阻層214是正光阻。正光阻通常不溶於顯影劑,但在輻射時變為可溶。一種例示性正光阻為化學放大光阻(Chemically amplified resist,CAR),其包含受酸性不穩定基團保護的主鏈聚合物且還包含光酸產生劑(Photo-acid generators,PAG)。光酸產生劑可在輻射時產生酸,且酸可催化酸性不穩定基團由主鏈聚合物裂解,增加聚合物對正光阻的溶解度。在一替代實施例中,光阻層214是負光阻。負光阻通常可溶於顯影劑但在輻射時變為不可溶,例如,透過交聯較小的聚合物片段以在輻射時形成較大的聚合物。
在操作120中,方法100(第1C圖)將另一種類280的離子植入光阻層214,以降低光阻層214的上表面的反射率(第2J圖)。如第2J圖所示,在光阻層214的頂部214B植入離子280。離子植入光阻層214B可稱為頂部抗反射塗層(Top anti-reflective coating,TARC),而抗反射塗層208稱為底部抗反射塗層(Bottom anti-reflective coating,BARC)。在一實施例中,離子種類280可選自但不限於由硼、磷、砷、 鍺、氟、矽、鋁、氮、碳、氬、氧和氫組成之群組。在一實施例中,離子種類280為鋁。為了進一步實施該實施例,操作120以約30千電子伏特至約100千電子伏特的範圍的能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分的範圍的離子劑量執行離子的植入。可基於光阻層214的材料、離子種類270、離子植入層214B的期望厚度D7、及離子植入的期望反射率與折射率來選擇能量劑量和離子劑量的範圍。能量劑量的範圍影響離子植入的深度,因此影響深度D7。若能量劑量太高或太低,將無法達到期望深度D7。離子劑量的範圍影響雜質摻雜的量,雜質摻雜量又影響離子植入層214B的反射率和折射率。若離子劑量太高或太低,則無法達到離子植入層214B的期望光學性質。在一實施例中,操作120中的能量劑量在約30千電子伏特至約100千電子伏特的範圍內變化(例如具有均勻的分佈),使得離子280接近均勻地分佈在光阻部分214B中。在一個實施例中,頂部抗反射塗層214B的厚度D7在約1埃至約1000埃的範圍內。所選擇的厚度是影響層214B如何減少反射的因素之一。設計離子種類、離子植入能量劑量及離子劑量,使得抗反射塗層214B的折射率和厚度造成在214/214B界面和214B/環境界面反射的光之間的破壞性干涉。在一實施例中,方法100不執行操作120(即操作120對於方法100為可選擇的)。
在操作122中,方法100(第1C圖)圖案化光阻層214(其可包含或不包含抗反射塗層214B)。此包含多個步驟,例如暴露、暴露後烘烤及顯影。如第2K圖所示,其中所示的 為光阻層214透過遮罩218暴露於輻射216。在一實施例中,輻射216是深紫外光輻射,例如氟化氪準分子輻射(248nm)或氟化氬準分子輻射(193nm)。或者,輻射216可為I線(365nm)、極紫外光輻射(例如13.8nm)、電子束、X射線、離子束或其他合適的輻射。輻射216使光阻層214中的光酸產生劑產生酸。暴露可在空氣中、液體中(浸潤式微影)或真空中(例如用於極紫外光微影和電子束微影)進行。在所示的實施例中,輻射216以遮罩218,例如透射式遮罩或反射式遮罩來圖案化,其可包含解析度增強技術,例如相位移及/或光學鄰近校正(Optical proximity correction,OPC)。在另一實施例中,輻射216直接以預定圖案,如積體電路佈局來調製,而不使用遮罩(即此為無遮罩微影)。當設計如上述的操作104至116時,輻射216的波長是要考慮的因素。抗反射塗層208被調整以吸收穿過光阻層214的輻射216(例如抗反射塗層的各個子層之間的界面的破壞性干涉),進而減少了輻射216從基板202上任何複雜的表面形貌之反射。這改善了光阻圖案214的臨界尺寸均勻性(參見第2L圖)。
如第2L圖所示,在經歷一次或多次暴露後烘烤(Post-exposure baking,PEB)製程和顯影劑中的顯影製程之後,去除部分暴露的光阻層214,產生圖案化的光阻層214(或光阻圖案214)。光阻圖案214具有各種開口220。在一些實施例中,顯影劑包含水基顯影劑,例如用於正色調顯影(Positive tone development,PTD)的四甲基氫氧化銨(TMAH)。在其他實施例中,顯影劑可包含用於負色調顯影(Negative tone development,NTD)的有機溶劑或有機溶劑的混合物,例如甲基α-戊基酮(MAK)或包含甲基α-戊基酮的混合物。施加顯影劑包含例如透過旋轉塗佈製程將顯影劑噴塗在暴露的光阻層214上。顯影製程可進一步包含顯影後烘烤(Post-develop baking,PDB)製程。
在操作124中,方法100(第1C圖)通過開口220蝕刻抗反射塗層208。如第2M圖所示,光阻圖案214用作蝕刻遮罩以保護抗反射塗層208的其餘部分免於受蝕刻製程影響。在一個實施例中,蝕刻製程為乾式蝕刻製程。舉例來說,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如四氟化碳、六氟化硫、二氟乙烯、三氟甲烷及/或六氟乙烷)、含氯氣體(例如氯氣、三氯甲烷、四氯化碳及/或三氯化硼)、含溴氣體(例如溴化氫及/或三溴甲烷)、含碘氣體、其他合適的氣體及/或電漿及/或其組合。
在操作126中,如第2N-1圖與第2N-2圖所示,方法100(第1C圖)以圖案化的抗反射塗層208或者光阻圖案214和圖案化的抗反射塗層208兩者加工基板202以作為製程遮罩。操作126可執行許多製程。在一實施例中,操作126包含對基板202的離子植入。舉例來說,如第2N-1圖所示,離子植入可用於形成在基板202中的淡摻雜源/汲極(Lightly doped source/drain,LDD)或重摻雜源/汲極(Heavily doped source/drain,HDD)。在此實施例中,圖案化的抗反射層208遮蔽了基板202不接收離子植入的區域。在另一實施例中,操作126包含蝕刻製程。舉例來說,例如第2N-2途中所示,操作 126可通過開口220蝕刻基板202。在此實施例中,圖案化的抗反射塗層208遮蔽了基板202不被蝕刻的區域。
在操作128中,方法100(第1C圖)從結構200移除光阻圖案214與圖案化的抗反射塗層208,如第2O-1圖與第2O-2圖所示,其中第2O-1圖與第2O-2圖分別為第2N-1圖與第2N-2圖的延續。可透過光阻剝離或灰化來去除光阻圖案214。可透過乾式蝕刻、濕式蝕刻或其他合適的方法去除圖案化的抗反射塗層208。可對結構200執行進一步的步驟,舉例來說,方法100可在結構200上形成積體電路,或可在結構200上形成遮罩或光罩。
儘管不在此限,但本揭露的一個或多個實施例為半導體裝置或結構及其形成提供了許多益處。所揭露的透過離子植入形成抗反射塗層的方法比那些多次沉積方法有效,因為離子植入製程通常比化學氣相沉積或物理氣相沉積技術快。並且,所揭露的方法可產生具有與那些多次沉積方法不同且微調的折射率之更多層。此外,所揭露的方法可產生具有梯度折射率的抗反射塗層,以獲得比透過多次沉積方法形成的一些抗反射塗層低的反射率。此外,根據本揭露的離子植入抗反射塗層通常提供比如奈米多孔膜的一些非均勻有機膜好的抗蝕刻性。
在一個示例性方面,本揭露與一種用於微影圖案化的方法有關。此方法包含在基板上方沉積目標層;透過將離子植入至目標層中以減少入射至目標層上的光之反射,進而產生離子植入目標層;在離子植入目標層上塗佈光阻層;使用光微影製程將光阻層暴露於光,其中目標層在光微影製程期間減 少在離子植入目標層與光阻層之間的界面的光之反射;顯影光阻層以形成光阻圖案;以光阻圖案作為蝕刻遮罩蝕刻離子植入目標層;至少使用蝕刻的離子植入目標層作為製程遮罩加工基板;並去除蝕刻的離子植入目標層。
在此方法的一實施例中,基板的加工包含蝕刻基板。在另一實施例中,在暴露光阻層之前,此方法還包含將離子植入至光阻層中以在光阻層的頂部形成抗反射層。在一實施例中,離子選自由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧和氫組成之群組。
在一實施例中,將離子植入至目標層中包含將第一種類的離子植入至目標層中,且在植入第一種類的離子之後,將第二種類的離子植入至目標層中,其中第一種類的離子的原子質量小於第二種類。在另一實施例中,以約相同的植入能量劑量與離子劑量執行第一種類的離子的植入與第二物質的離子的植入。
在一實施例中,在塗佈光阻層之前,此方法還包含將離子植入目標層退火。在一些實施例中,基板包含矽晶片或遮罩基板。在實施例中,目標層包含矽、氧化矽、氮化矽與包含金屬的膜其中一者。
在另一示例性方面,本揭露與一種用於微影圖案化的方法有關。此方法包含在基板上沉積目標層,目標層包含無機材料;透過將第一種類的離子植入至目標層中並將第二種類的離子植入至具有第一種類的目標層中以改變目標層的反射率,其中第一種類具有比第二種類小的原子質量,進而產生 離子植入目標層;在離子植入目標層上塗佈光阻層;使用光微影製程暴露光阻層;顯影光阻層以形成光阻圖案;以光阻圖案作為蝕刻遮罩蝕刻離子植入目標層,得到圖案化的離子植入目標層;至少使用圖案化的離子植入目標層作為製程遮罩加工基板。
在一實施例中,此方法還包含在暴露光阻層之前將第三種類的離子植入至具有第一種類和第二種類的目標層中,其中第二種類具有比第三種類小的原子質量。在另一實施例中,第一種類是硼、第二種是磷、且第三種是砷。
在另一實施例中,此方法還包含在植入第一種類的離子之後及在暴露光阻層之前,對目標層進行退火,並在植入第二種類的離子之後及在暴露光阻層之前,對目標層進行退火。
在實施例中,目標層包含矽、氧化矽、氮化矽與具有金屬的膜其中一者,且第一種類和第二種類選自由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧和氫組成之群組。在一實施例中,此方法還包含在加工基板之後去除圖案化的離子植入目標層。
在另一示例性方面,本揭露與一種用於微影圖案化的方法有關。此方法包含在基板上沉積目標層,其中,目標層包含無機材料;以約30千電子伏特至約100千電子伏特範圍內的第一能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分範圍內的第一離子劑量將硼離子植入目標層;在植入硼離子之後,以約30千電子伏特至約100千電子伏特範圍內的 第二能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分範圍內的第二離子劑量將磷離子植入目標層;在植入磷離子後,以約30千電子伏特至約100千電子伏特範圍內的第二能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分範圍內的第二離子劑量將砷離子植入目標層;在植入砷離子之後,在目標層上塗佈光阻層;並使用光微影製程暴露光阻層。
在一實施例中,此方法還包含在植入硼離子之後及在植入磷離子之前,在約950℃至約1025℃的溫度範圍內,且持續約10秒至約30秒的時間範圍內,對目標層進行退火。在另一實施例中,此方法包含在植入磷離子之後及在植入砷離子之前,在約950℃至約1025℃的溫度範圍內,且持續約10秒至約30秒的時間範圍內,對目標層進行退火。在另一實施例中,此方法包含在植入砷離子之後及在塗佈光阻層之前,在約950℃至約1025℃的溫度範圍內,且持續約10秒至約30秒的時間範圍內,對目標層進行退火。
上文概述了若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。

Claims (20)

  1. 一種微影圖案化的方法,包含:沉積一目標層於一基板上方;透過將複數個離子植入至該目標層中以減少入射至該目標層上的一光之反射,進而產生一離子植入目標層;塗佈一光阻層於該離子植入目標層上方;使用一光微影製程將該光阻層暴露於該光,其中該目標層在該光微影製程期間減少在該離子植入目標層與該光阻層之間的界面的該光之反射;顯影該光阻層以形成一光阻圖案;以該光阻圖案作為一蝕刻遮罩蝕刻該離子植入目標層;至少使用蝕刻的該離子植入目標層作為一製程遮罩以加工該基板;以及去除蝕刻的該離子植入目標層。
  2. 如請求項1所述的微影圖案化的方法,其中該基板的加工包含蝕刻該基板。
  3. 如請求項1所述的微影圖案化的方法,其中在暴露該光阻層之前,更包含:將該些離子植入至該光阻層中以在該光阻層的一頂部形成一抗反射層。
  4. 如請求項1所述的微影圖案化的方法,其中該些離子選自由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧和氫所組成之群組。
  5. 如請求項1所述的微影圖案化的方法,其中將該些離子植入至該目標層中包含:植入一第一種類的複數個離子至該目標層中;以及在植入該第一種類的該些離子之後,植入一第二種類的複數個離子至該目標層中,其中該第一種類具有比該第二種類小的原子質量。
  6. 如請求項5所述的微影圖案化的方法,其中該第一種類的該些離子的植入與該第二種類的該些離子的植入是施以大致相同的植入能量劑量與離子劑量。
  7. 如請求項1所述的微影圖案化的方法,其中在塗佈該光阻層之前,更包含:退火該離子植入目標層。
  8. 如請求項1所述的微影圖案化的方法,其中該基板包含一矽晶圓或一遮罩基板。
  9. 如請求項1所述的微影圖案化的方法,其中該目標層包含矽、氧化矽、氮化矽與包含金屬的膜其中一者。
  10. 一種微影圖案化的方法,包含:沉積一目標層於一基板上方,其中該目標層包含一無機材料;透過將一第一種類的複數個離子植入至該目標層中且將一第二種類的複數個離子植入至具有該第一種類的該目標層中,以改變該目標層的反射率,其中該第一種類具有比該第二種類小的原子質量,進而產生一離子植入目標層;塗佈一光阻層於該離子植入目標層上方;使用一光微影製程暴露該光阻層;顯影該光阻層以形成一光阻圖案;以該光阻圖案作為一蝕刻遮罩蝕刻該離子植入目標層,進而得到一圖案化的離子植入目標層;以及至少使用該圖案化的離子植入目標層作為一製程遮罩以加工該基板。
  11. 如請求項10所述的微影圖案化的方法,更包含:在曝露該光阻層之前,將一第三種類的複數個離子植入至具有該第一種類與該第二種類的該目標層中,其中該第二種類具有比該第三種類小的原子質量。
  12. 如請求項11所述的微影圖案化的方法,其中該第一種類是硼、該第二種類是磷、及該第三種類是砷。
  13. 如請求項10所述的微影圖案化的方法,更包含:在植入該第一種類的該些離子之後及暴露該光阻層之前,退火該目標層;以及在植入該第二種類的該些離子之後及暴露該光阻層之前,退火該目標層。
  14. 如請求項10所述的微影圖案化的方法,其中該目標層包含矽、氧化矽、氮化矽與包含金屬的膜其中一者。
  15. 如請求項10所述的微影圖案化的方法,其中該第一種類與該第二種類選自由硼、磷、砷、鍺、氟、矽、鋁、氮、碳、氬、氧和氫所組成之群組。
  16. 如請求項10所述的微影圖案化的方法,更包含:在加工該基板之後,去除該圖案化的離子植入目標層。
  17. 一種微影圖案化的方法,包含:沉積一目標層於一基板上方,其中該目標層包含一無機材料;以約30千電子伏特至約100千電子伏特範圍內的一第一能量劑量、及約1E13離子/平方公分至約1E14離子/平方 公分範圍內的一第一離子劑量將複數個硼離子植入該目標層;在植入該些硼離子之後,以約30千電子伏特至約100千電子伏特的一第二能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分的一第二離子劑量,將複數個磷離子植入該目標層;在植入該些磷離子之後,以約30千電子伏特至約100千電子伏特的一第三能量劑量、及約1E13離子/平方公分至約1E14離子/平方公分的一第三離子劑量,將複數個砷離子植入該目標層;在植入該些砷離子之後,塗佈一光阻層於該目標層上方;以及使用一光微影製程曝露該光阻層。
  18. 如請求項17所述的微影圖案化的方法,更包含:在植入該些硼離子之後及植入該些磷離子之前,在約950℃至約1025℃溫度範圍內,持續約10秒至約30秒的範圍內退火該目標層。
  19. 如請求項18所述的微影圖案化的方法,更包含:在植入該些磷離子之後及植入該些砷離子之前,在約950℃至約1025℃溫度範圍內,持續約10秒至約30秒的範圍內退火該目標層。
  20. 如請求項19所述的微影圖案化的方法,更包含:在植入該些砷離子之後及塗佈光阻層之前,在約950℃至約1025℃的溫度範圍內,持續約10秒至約30秒的範圍內退火該目標層。
TW107143120A 2017-11-30 2018-11-30 微影圖案化的方法 TWI709165B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592933P 2017-11-30 2017-11-30
US62/592,933 2017-11-30
US16/176,533 2018-10-31
US16/176,533 US10522349B2 (en) 2017-11-30 2018-10-31 Anti-reflective coating by ion implantation for lithography patterning

Publications (2)

Publication Number Publication Date
TW201926422A true TW201926422A (zh) 2019-07-01
TWI709165B TWI709165B (zh) 2020-11-01

Family

ID=66633511

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107143120A TWI709165B (zh) 2017-11-30 2018-11-30 微影圖案化的方法

Country Status (4)

Country Link
US (2) US10522349B2 (zh)
KR (1) KR102196072B1 (zh)
CN (1) CN109960106B (zh)
TW (1) TWI709165B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10522349B2 (en) * 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
CN112563121B (zh) * 2019-09-26 2023-07-07 长鑫存储技术有限公司 图形转移方法
US11764111B2 (en) * 2019-10-24 2023-09-19 Texas Instruments Incorporated Reducing cross-wafer variability for minimum width resistors
CN111863608B (zh) * 2020-07-28 2023-05-19 哈尔滨工业大学 一种抗单粒子烧毁的大功率晶体管及其制作方法
US11444180B2 (en) * 2020-08-09 2022-09-13 Nanya Technology Corporation Method of forming uniform fin features
US11846886B2 (en) * 2020-11-23 2023-12-19 International Business Machines Corporation Photoacid generator
WO2023225550A2 (en) * 2022-05-18 2023-11-23 Mekonos Inc. Fabrication of multilayer semiconductor devices with high aspect ratio microneedles

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271154B1 (en) 1998-05-12 2001-08-07 Advanced Micro Devices, Inc. Methods for treating a deep-UV resist mask prior to gate formation etch to improve gate profile
US6316168B1 (en) * 1999-04-12 2001-11-13 Siemens Aktiengesellschaft Top layer imaging lithography for semiconductor processing
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7070911B1 (en) * 2003-01-23 2006-07-04 Advanced Micro Devices, Inc. Structure and method for reducing standing waves in a photoresist
US7041530B2 (en) * 2003-06-12 2006-05-09 Matsushita Electric Industrial Co., Ltd. Method of production of nano particle dispersed composite material
EP1759407A2 (en) 2004-06-03 2007-03-07 Epion Corporation Improved dual damascene integration structures and method of forming improved dual damascene integration structures
US7538026B1 (en) * 2005-04-04 2009-05-26 Advanced Micro Devices, Inc. Multilayer low reflectivity hard mask and process therefor
KR100653534B1 (ko) 2005-12-26 2006-12-05 동부일렉트로닉스 주식회사 포토레지스트막패턴 형성방법 및 이를 이용한 미세패턴형성방법
US8415088B2 (en) * 2006-03-15 2013-04-09 Macronix International Co., Ltd. Method for forming a material layer
US20080020324A1 (en) * 2006-07-19 2008-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography defect reduction with top coater removal
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
TWI346350B (en) 2007-12-07 2011-08-01 Nanya Technology Corp Patterning method
TWI362692B (en) * 2008-03-11 2012-04-21 Nanya Technology Corp Method for promoting a semiconductor lithography resolution
US8188445B2 (en) 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
KR101098062B1 (ko) * 2009-11-05 2011-12-26 주식회사 하이닉스반도체 반도체 소자의 형성방법
US8778603B2 (en) 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US8133804B1 (en) 2010-10-01 2012-03-13 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying patterned photoresist using multi-step ion implantation
CN102456553B (zh) * 2010-10-29 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种掺杂阱的制作方法
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
KR20130124149A (ko) 2011-03-11 2013-11-13 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 주입을 사용하는 기판 패턴화된 특징부들의 수정 방법 및 시스템
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8974683B2 (en) 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
JP2014143415A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
US9012132B2 (en) * 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9268228B2 (en) * 2013-02-23 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Techniques for patterning a substrate
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8952344B2 (en) 2013-03-14 2015-02-10 Varian Semiconductor Equipment Associates Techniques for processing photoresist features using ions
US20160064239A1 (en) * 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
JP6243815B2 (ja) * 2014-09-01 2017-12-06 信越化学工業株式会社 半導体装置基板の製造方法
US9512517B2 (en) * 2015-01-23 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Multiple exposure treatment for processing a patterning feature
US10522349B2 (en) * 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning

Also Published As

Publication number Publication date
TWI709165B (zh) 2020-11-01
KR20190064504A (ko) 2019-06-10
US20200126787A1 (en) 2020-04-23
CN109960106A (zh) 2019-07-02
CN109960106B (zh) 2023-06-09
US10522349B2 (en) 2019-12-31
US11158505B2 (en) 2021-10-26
KR102196072B1 (ko) 2020-12-31
US20190164745A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
TWI709165B (zh) 微影圖案化的方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US11487207B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
TWI673763B (zh) 半導體裝置的製造方法
TWI706224B (zh) 微影圖案化方法與光阻
CN106325002B (zh) 光刻技术显影成分及用于光刻技术图案化的方法
US8956806B2 (en) Photoresist and patterning process
JP2004530922A (ja) サブリソグラフィフォトレジストフィーチャーを形成するプロセス
TW201946107A (zh) 半導體裝置的形成方法
US8709267B2 (en) Double patterning method using tilt-angle deposition
TWI737856B (zh) 微影圖案化方法
CN108231548B (zh) 半导体装置的制作方法
TW201901294A (zh) 微影圖案化的方法
US11112698B2 (en) Photoresist with gradient composition for improved uniformity
TWI761987B (zh) 負光阻及其顯影的方法
TW201824345A (zh) 半導體裝置的製作方法
US8323876B1 (en) Methods of forming integrated circuit devices using anti-penetration films to block acid transfer into anti-reflective coatings
JP2004078120A (ja) 半導体装置の製造方法