TW201923487A - 倍縮光罩固持工具 - Google Patents

倍縮光罩固持工具 Download PDF

Info

Publication number
TW201923487A
TW201923487A TW107140297A TW107140297A TW201923487A TW 201923487 A TW201923487 A TW 201923487A TW 107140297 A TW107140297 A TW 107140297A TW 107140297 A TW107140297 A TW 107140297A TW 201923487 A TW201923487 A TW 201923487A
Authority
TW
Taiwan
Prior art keywords
gas
reduction mask
reduction
housing
gas inlet
Prior art date
Application number
TW107140297A
Other languages
English (en)
Inventor
郭爵旗
李宗彥
周佳信
傅中其
陳立銳
鄭博中
許哲彰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201923487A publication Critical patent/TW201923487A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70841Constructional issues related to vacuum environment, e.g. load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供一種倍縮光罩固持工具,包括外殼,外殼包括上外殼構件以及側向外殼構件。側向外殼構件從上外殼構件延伸,且在一下邊緣終止。倍縮光罩固持工具更包括定位於外殼中的倍縮光罩吸座,且倍縮光罩吸座配置以固定倍縮光罩。倍縮光罩固持工具亦包括氣體輸送總成。氣體輸送總成係定位於外殼內,且配置以供應氣體至外殼內。

Description

倍縮光罩固持工具
本揭露實施例係有關於一種倍縮光罩,特別係有關於一種倍縮光罩之固持工具。
半導體積體電路(integrated circuit, IC)產業經歷指數性成長,積體電路材料以及設計的技術的進步已產生了數個世代之積體電路,每一世代的積體電路都具有比上一世代更小以及更複雜的電路。在積體電路演變過程中,功能密度(亦即單位晶片區域的互聯裝置之數量)通常隨著幾何尺寸(亦即使用製造製程可以產生的最小元件(或線))下降而增加。這種尺寸微縮化的製程通常經由提高生產效率以及降低相關成本而提供益處,這樣的尺寸微縮化亦增加加工以及製造積體電路的複雜性。
微影曝光製程在各種圖案化製程(例如:蝕刻或離子佈值)中形成圖案化光阻層。在典型的微影製程中,將光敏層(光阻)施加至半導體基板之表面,且利用高亮度光之圖案對光敏層進行曝光,而在光敏層上產生半導體裝置之特徵定義部件之圖像。隨著半導體製程演變,以提供更小的臨界尺寸,且裝置變得更小以及複雜性增加,包括層之數量,需要精確地圖案化特徵之方式,以提高裝置之品質、可靠性以及良率。
儘管已經發明許多改善執行微影曝光製程之方法,在所有方面不是完全地令人滿意的。因此,希望提供一種改善微影系統的解決方案,以提高半導體晶圓之生產良率。
根據本揭露的一些實施例,提供一種倍縮光罩固持工具。外殼包括上外殼構件以及側向外殼構件。側向外殼構件從上外殼構件延伸,且在位於預定平面上之下邊緣終止。倍縮光罩固持工具更包括位於外殼中的倍縮光罩吸座,且倍縮光罩吸座具有配置以固定倍縮光罩之有效表面,有效表面位於預定平面以及上外殼構件之間。倍縮光罩固持工具亦包括氣體輸送總成(assembly)。氣體輸送總成係定位於外殼內,且配置以供應氣體至外殼內。
根據本揭露的一些實施例,提供一種微影系統,包括一真空槽(vessel)。真空槽具有第一真空壓力。微影系統更包括外殼,外殼位於真空槽中,且具有較第一真空壓力高之第二真空壓力。外殼具有開口,允許外殼之內部連通至真空槽。微影系統亦包括位於外殼中的倍縮光罩吸座,倍縮光罩吸座具有用於固持倍縮光罩的有效表面,有效表面面對開口,即有效表面透過開口曝露。除此之外,微影系統包括曝光工具,配置以朝向倍縮光罩產生用於反射的高亮度光。微影系統更包括晶圓平台,配置以支撐半導體晶圓,進而允許半導體晶圓接收從倍縮光罩反射之高亮度光。
根據本揭露的一些實施例,提供一種執行微影曝光製程之方法。方法包括在真空槽中產生第一真空壓力。方法更包括放置倍縮光罩至位於真空槽中的外殼內,外殼透過開口與真空槽連通,且倍縮光罩之前表面面對開口,即前表面透過開口曝露。方法亦包括施加第一氣流至外殼內,以在外殼中產生第二真空壓力,第二真空壓力較第一真空壓力高。除此之外,方法包括透過倍縮光罩之前表面引導高亮度光至半導體晶圓。
以下的揭露內容提供許多不同的實施例或範例以實施本揭露的不同特徵。以下敘述各個構件以及排列方式的特定範例,以簡化本揭露。當然,例子僅供說明用且意欲不限於此。例如,若本說明書敘述了第一特徵形成於第二特徵之上或上方,即表示可包含上述第一特徵與上述第二特徵係直接接觸的實施例,亦可包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可未直接接觸的實施例。除此之外,本揭露在不同的範例中,可能重複代表符號和/或字母。這樣的重複係為了簡化以及清楚說明的目的,且並不在所討論的不同的實施例和/或配置中決定自身關聯。
除此之外,空間相關用詞,例如:「在…下方」、 「下方」、「較低的」、「上方」、「較高的」等等的用詞,係為了便於描述圖式中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞亦可依此相同解釋。應了解的是,在本揭露描述的方法之前、過程中以及之後,可提供額外的操作,且在本揭露描述的方法之其他實施例,可替換或刪減一些所述的操作。
本揭露中描述的先進微影製程、方法以及材料可用於許多應用,包括製造鰭式場效電晶體(fin-type field effect transistors, FinFETs)。例如,本揭露之先進微影製程非常適合生產鰭式場效電晶體中間隔相對近的特徵。除此之外,根據本揭露,可生產用於形成鰭式場效電晶體之鰭片(fin)之間隔物(spacers)。
第1圖係根據一些實施例,微影系統10之示意概略圖,微影系統10配置以使用高亮度光7以曝光塗佈在半導體晶圓2上的光阻層。通常地,微影系統10可為一掃描儀,上述掃描儀係可操作以利用相對高亮度光源以及曝光模式來執行微影曝光製程。
在一些實施例中,微影系統10包括多個真空槽(例如:第一真空槽11、第二真空槽12)、晶圓平台13、以及曝光工具14。可添加或省略微影系統10之元件,且本揭露不限於此實施例。
第一真空槽11以及第二真空槽12可在超高真空壓力下維持各自的真空環境。第一真空槽11中的真空壓力可低於第二真空槽12。例如,第一真空槽11中的真空壓力可為約1.5x10-2 mB至約2.8x10-2 mB,而第二真空槽12中的真空壓力可為約8x10-2 mB。
晶圓平台13配置成在微影曝光製程中支撐半導體晶圓2。在一些實施例中,晶圓平台13位於第二真空槽12中,且可透過驅動構件(例如:線性馬達(未圖示))在第二真空槽12中的一水平位置以及一曝光位置之間移動。為了轉移以及傳送半導體晶圓2,可配合或結合晶圓平台13之徑向以及旋轉移動。
曝光工具14配置以將包括光束剖面中的圖案的高亮度光束施加至半導體晶圓2之表面上,以在半導體晶圓2上塗佈的光阻層上印出所需的圖案。當晶圓平台13位於曝光位置時,曝光工具14位於晶圓平台13之上。
在一些實施例中,曝光工具14包括高亮度光源15、照明器16、投影光學模組(或投影光學盒(projection optics box, POB))17、多個泵構件18、倍縮光罩19、以及倍縮光罩固持工具20。在一些實施例中,曝光工具14之所有元件位於第一真空槽11中。在一些其他實施例中,曝光工具14之部分元件位於第一真空槽11中。以下描述根據一些實施例之曝光工具14之技術特徵。
高亮度光源15配置以產生具有波長範圍在約1nm至約100nm之間的輻射。在一個特定的例子中,高亮度光源15產生具有中心波長約13.5nm的極紫外(extreme ultraviolet, EUV)光。因此,高亮度光源15亦稱為極紫外光源。但是,應理解的是,高亮度光源15不應限於放射極紫外光。高亮度光源15可用於執行任何來自激發目標材料之高強度光子放射。例如,高亮度光源15可包括例如:紫外(ultraviolet, UV)光源或深紫外光(deep ultra-violet, DUV)源的高亮度光源。
在一些實施例中,照明器16包括腔室160。腔室160位於第一真空槽11中,且具有多個用於排出腔室160內的氣體的孔口161。除此之外,腔室160包括光進入通道162以及光放射通道163。光進入通道162以及光放射通道163用於允許來自高亮度光源15產生的光進入以及離開腔室160。
在一些實施例中,照明器16更包括位於腔室160中的氣體入口164,氣體入口164配置以排放氣體至腔室160中,例如:H2 、N2 或XCDA。因為來自氣體入口164之氣體供應至腔室160中,腔室160中的真空壓力可高於第一真空槽11(亦即腔室160之外的空間)中的真空壓力。在一些實施例中,第一真空槽11中的真空壓力可為約1.5x10-2 mB至約2.8x10-2 mB,而腔室160中的真空壓力可為約3.3x10-2 mB。
在一些實施例中,照明器16亦包括各種折射光學部件165、166以及167。折射光學部件165、166以及167可為具有多個透鏡(波帶片(zone plate)) 的透鏡系統,或可替代地反射光學器件(用於極紫外光微影系統)(例如:單個鏡子或具有多個鏡子的鏡子系統),以引導來自高亮度光源15的光至倍縮光罩固持工具20上,特別是引導至固定在倍縮光罩固持工具20上之倍縮光罩19。在高亮度光源15產生在極紫外光波長範圍的光之本實施例中,採用反射光學器件。
投影光學模組(或投影光學盒(POB))17配置用於將倍縮光罩19之圖案映射到固定在晶圓平台13上的半導體晶圓2上。在一些實施例中,投影光學盒17包括腔室170,腔室170位於第一真空槽11中,且具有用於排出腔室170內的氣體之多個孔口171。除此之外,腔室170包括光進入通道172以及光放射通道173。光進入通道172以及光放射通道173用於允許來自高亮度光源15產生的光進入以及離開腔室170。
在一些實施例中,投影光學盒17更包括位於腔室170中的氣體入口174,氣體入口174配置以排放氣體至腔室170中,例如:H2 、N2 或XCDA。因為來自氣體入口174之氣體供應至腔室170中,腔室170中的真空壓力可高於第一真空槽11(亦即腔室170之外的空間)中的真空壓力。在一些實施例中,第一真空槽11中的真空壓力可為約1.5x10-2 mB至約2.8x10-2 mB,而腔室170中的真空壓力可為約3.8x10-2 mB。
在一些實施例中,投影光學盒17亦包括各種折射光學部件175、176以及177,例如在各種實施例中的折射光學器件(例如:用於紫外光微影系統)或可替代地反射光學器件(例如:用於極紫外光微影系統)。從倍縮光罩19引導的光帶有遮罩上定義的圖案之圖像,並由投影光學盒17所收集。照明器16以及投影光學盒17統稱為曝光工具14之光學模組。
在一些實施例中,曝光工具14更包括連接至照明器16以及投影光學盒17的多個致動器(未圖示),以調整照明器16以及投影光學盒17之光學元件之位置。致動器係電連接至控制裝置(未圖示)。除此之外,根據控制裝置發出的信號,可控制致動器以驅動照明器16以及投影光學盒17之光學元件之移動。結果是,可以調整在半導體晶圓2上方掃描的高亮度光之焦距。
泵構件18配置以產生第一真空槽11以及第二真空槽12中的真空。泵構件18可包括串聯之多個具有不同極限壓力的真空泵,以便提高第一真空槽11以及第二真空槽12之泵速。
例如,泵構件18包括與次要泵串聯的主要泵。主要泵用以從一個壓力狀態(通常為大氣壓)降低壓力至較低的壓力狀態,且在此之後,次要泵用以排出處理腔室至製程中所需的高真空度。主要泵可為分離器泵(skimmer pump)、隔膜泵(diaphragm pump)、轉葉泵(rotary vane pump)或渦輪泵(scroll pump)。次要泵可為高真空分子泵(high-vacuum molecular pump)或旋轉泵(rotary pump)。從泵構件18排出的氣體可透過氣體導管(未圖示)排入晶圓製造廠之氣體處理系統(未圖示)。
第2圖係圖示根據一些實施例,倍縮光罩固持工具20之剖面圖。在一些實施例中,倍縮光罩固持工具20包括側向外殼構件212、下外殼構件214、平台22、倍縮光罩吸座23以及氣體輸送總成24。可以添加或省略倍縮光罩固持工具20之元件,且本揭露不限於本實施例。
平台22係配置以支撐倍縮光罩吸座23、以及控制倍縮光罩吸座23之移動。在一些實施例中,平台22位於第一真空槽11之頂板110上。如第1圖所示,頂板110以及光放射通道173可位於第一真空槽11之兩個相對側。在一些實施例中,平台22包括一個或多個致動器以及引導構件,以驅動倍縮光罩吸座23在一個或多個方向上之移動。例如,如第2圖所示,平台22包括一致動器221以及連接至致動器221的一引導構件222。致動器221可為步進馬達(step motor),且引導構件222可包括線性導軌(linear guideway)。
在一些實施例中,倍縮光罩吸座23係靜電吸座(electrostatic chuck/e-chuck),可透過靜電力固定倍縮光罩19。在一些實施例中,倍縮光罩吸座23係連接至引導構件222。當致動器221運作時,可驅動並移動引導構件222以控制倍縮光罩吸座23在平行於如第2圖所示的預定平面PP的方向上來回移動。
在一些實施例中,倍縮光罩吸座23之底表面231在微影曝光製程期間由倍縮光罩19所覆蓋的區域被稱為有效區域。在一些實施例中,在微影曝光製程期間,底表面231之部分區域由倍縮光罩19所覆蓋。在一些其他實施例中,在微影曝光製程期間,底表面231之全部區域由倍縮光罩19所覆蓋。
在一些實施例中,側向外殼構件212具有環形形狀,且遠離頂板110延伸,並在一預定平面PP終止而具有一下邊緣213。預定平面PP可平行於水平方向且距離頂板110很遠。在頂板110以及預定平面PP之間的距離d2大於從頂板110至倍縮光罩吸座23之有效表面的距離d1。也就是說,有效表面位於預定平面PP以及頂板110之間。
在一些實施例中,側向外殼構件212之延伸方向與頂板110垂直。在一些其他實施例中,側向外殼構件212之延伸方向相較於頂板110歪斜。在側向外殼構件212之內壁以及頂板110之間的夾角係鈍角(obtuse angle)。也就是說,側向外殼構件212之寬度在遠離頂板110的方向上逐漸縮小。
下外殼構件214具有環形形狀,且係連接至側向外殼構件212之下邊緣213。在一些實施例中,下外殼構件214在預定平面PP上延伸。在一些其它實施例中,下外殼構件214遠離下邊緣213延伸,且在其內邊緣2142終止,以形成開口215。在一些其他未示出的實施例中,下外殼構件214相對於預定平面PP傾斜,且下外殼構件214以及頂板110之間形成的距離在遠離下邊緣213至內邊緣2142的方向上可能逐漸增加。在一些實施例中,可省略下外殼構件214。下外殼構件214可由定義曝光場(exposure field)之區域的倍縮光罩/光罩(reticle mask, REMA)之刀片(blade)取代。
在一些實施例中,下外殼構件214之內邊緣2142定義開口215,開口215可具有矩形形狀、圓形形狀、橢圓形形狀、多邊形形狀、不規則形狀或上述形狀的組合。在一些實施例中,開口215之寬度係足夠大以允許來自高亮度光源15的高亮度光之進入或離開,且允許更換倍縮光罩19。
在一些實施例中,在微影曝光製程中,倍縮光罩吸座23在兩條邊界線B1以及B2之間係可移動的。開口215之寬度大於兩條邊界線B1以及B2之間的距離。也就是說,在垂直於倍縮光罩吸座23之有效表面(或第一真空槽11之頂板110)的方向上,下外殼構件214之凸出位於倍縮光罩吸座23之有效表面之外。
第3圖係圖示根據一些實施例,倍縮光罩固持工具20以及倍縮光罩處理機械手臂8的仰視圖。在一些實施例中,下外殼構件214之底表面形成凹陷(recess)216,凹陷216從外邊緣2141延伸至內邊緣2142,凹陷216具有與用以移動倍縮光罩19進出倍縮光罩吸座23的倍縮光罩處理機械手臂8之形狀相容的形狀。
凹陷216允許倍縮光罩處理機械手臂8相對於倍縮光罩吸座23向上移動。在一些實施例中,平台22降低倍縮光罩吸座23以接近開口215,以將倍縮光罩19裝載在倍縮光罩吸座23上。除此之外,倍縮光罩處理機械手臂8在移動至開口215下方之後,接著向上移動以將倍縮光罩19放置在倍縮光罩吸座23上。在倍縮光罩處理機械手臂8向上移動後,倍縮光罩處理機械手臂8之一部分容納在凹陷216中。在一些實施例中,省略凹陷216,側向外殼構件212之距離d2(如第2圖所示)配置以使得當由平台22降低倍縮光罩19時,可由倍縮光罩處理機械手臂8將倍縮光罩19放置在倍縮光罩吸座23上。
再次參考第2圖,在一些實施例中,頂板110被側向外殼構件212圍繞之部分被稱為上外殼構件211。除此之外,上外殼構件211、側向外殼構件212、以及下外殼構件214統稱為外殼21。外殼21之內部係從外殼21之外部(亦即第一真空槽11之內部)由上外殼構件211、側向外殼構件212、以及下外殼構件214遮蔽。開口215允許氣體在外殼21之內部以及外殼21之外部之間流動。
氣體輸送總成24係配置以供應一種或多種氣體至外殼21內。在一些實施例中,氣體輸送總成24包括多個氣體入口(例如:兩個第一氣體入口241及一個第二氣體入口242),以及一個氣體出口243。
在一些實施例中,兩個第一氣體入口241係定位於外殼21之內部。兩個第一氣體入口241係配置成使得來自第一氣體入口241的氣體朝向如第5圖所示位於預定平面PP上的開口215流動。
例如,如第2圖所示,兩個第一氣體入口241係定位於平台22之相對兩側,且連接至上外殼構件211。兩個第一氣體入口241面對預定平面PP,使得來自兩個第一氣體入口241的氣體流動至預定平面PP,且透過開口215離開外殼21之內部。兩個第一氣體入口241可透過形成於第一真空槽11中的導管(未圖示) 連接至氣體源(未圖示)。從氣體源供應的氣體可包括H2 、N2 或XCDA。兩個第一氣體入口241可包括固定於上外殼構件211上的噴嘴。或者,兩個第一氣體入口241可為穿透上外殼構件211的狹縫。
然而,應理解的是,可以對本揭露的實施例進行許多變化以及修改。在一些其它實施例中,兩個第一氣體入口241係連接至側向外殼構件212,且定位成朝向平台22或下外殼構件214。兩個第一氣體入口241可透過形成於側向外殼構件212中的導管連接至氣體源。在一些其他實施例中,只有一個第一氣體入口241定位在外殼21中。第一氣體入口241可部分地或全部地圍繞平台22。應理解的是,第一氣體入口241之數量不應限於第2圖所示的實施例,而可根據需求變化。在一些其他實施例中,省略第一氣體入口241。
在一些實施例中,第二氣體入口242係定位於外殼21之內部中。第二氣體入口242係配置成使得來自第二氣體入口242的氣體可在大致平行於倍縮光罩吸座23之有效表面的方向上流動(如第5圖箭頭所示)。
例如,如第2圖所示,第二氣體入口242係鄰近於平台22定位,且連接至上外殼構件211。第二氣體入口242面對倍縮光罩吸座23,使得來自第二氣體入口242的氣體可流動至倍縮光罩吸座23,且通過倍縮光罩吸座23之底表面231。
第二氣體入口242可透過形成於上外殼構件211中的導管(未圖示)連接至氣體源(未圖示)。從氣體源供應的氣體可包括H2 、N2 或XCDA。第二氣體入口242可包括固定在上外殼構件211上的噴嘴。
在一些實施例中,氣體出口243係定位於外殼21之內部。第二氣體入口242以及氣體出口243係配置成使得來自第二氣體入口242的氣體可通過倍縮光罩吸座23之底表面231,且透過氣體出口243排出(如第5圖箭頭所示)。
例如,如第2圖所示,第二氣體入口242以及氣體出口243係定位於倍縮光罩吸座23之兩側,且連接至上外殼構件211或倍縮光罩吸座23。從上外殼構件211延伸的第二氣體入口242以及氣體出口243之高度d3可為相同。除此之外,第二氣體入口242之開口以及氣體出口243之開口可彼此直接地面對。也就是說,第二氣體入口242之開口以及氣體出口243之開口皆位於平行於上外殼構件211及/或預定平面PP的一目標平面TP。結果,來自第二氣體入口242的氣體在通過倍縮光罩吸座23之後,透過氣體出口243吸出。氣體出口243可透過形成於上外殼構件211中的導管連接至真空源。在一些實施例中,省略氣體出口243。來自第二氣體入口242的氣體供應至外殼內,且透過開口215離開外殼21之內部。
第4圖係繪示根據一些實施例,用於在半導體晶圓2上執行微影曝光製程方法S10之流程圖。為了說明,第4圖之流程圖將與第3圖以及第5-6圖所示的示意圖一併描述。對於不同的實施例,可以替換或消除所述的一些階段。
方法S10包括操作S11,其中在真空槽(例如:第一真空槽11以及第二真空槽12)中產生第一真空壓力。在一些實施例中,泵構件18係用以將第一真空槽11以及第二真空槽12排出至製程中所需的高真空度。在一些實施例中,第一真空槽11中的真空壓力可為約1.5x10-2 mB至約2.8x10-2 mB,而第二真空槽12中的真空壓力可為約8x10-2 mB。
方法S10亦包括操作S12,其中將倍縮光罩19放置至位於真空槽中的外殼21內。在一些實施例中,透過機械手臂8(第3圖)將倍縮光罩19轉移至倍縮光罩吸座23下方的位置。在倍縮光罩19與倍縮光罩吸座23對準之前,可事先降低倍縮光罩吸座23。之後,抬升機械手臂8並插入凹陷216,以產生倍縮光罩19以及倍縮光罩吸座23的接觸,一旦倍縮光罩19接觸倍縮光罩吸座23,倍縮光罩19可由倍縮光罩吸座23所產生的靜電力固定至倍縮光罩吸座23。
在本實施例中,倍縮光罩19係反射遮罩。倍縮光罩19之一個示例性的結構包括以合適材料形成的基板,例如:低熱膨脹材料(low thermal expansion material, LTEM)或熔融石英(fused quartz)。在各種範例中,低熱膨脹材料包括摻雜二氧化矽(SiO2 )的二氧化鈦(TiO2 )或其他具有低熱膨脹的合適材料。倍縮光罩19包括沉積於基板上的反射複數多層(multiple layer, ML)。多層包括複數個膜對,例如:鉬-矽(Mo/Si)膜對(例如:在每一個膜對中,鉬層位於矽層的上方或下方)。或者,多層可包括鉬-鈹(Mo/Be)膜對,或係配置以高度地反射極紫外光的其他合適材料。
倍縮光罩19可更包括設置在多層上用於保護的覆蓋層,例如:釕(Ru)。倍縮光罩19亦可包括沉積在多層上的吸收層,例如:硼氮化鉭(TaBN)層。吸收層經過圖案化以定義出積體電路(IC)之層。或者,可在多層上沉積另一個反射層,並將另一個反射層圖案化以定義積體電路之層,從而形成極紫外光相移(phase shift)遮罩。
方法S10亦包括操作S13,其中透過一個或多個氣體入口將氣體供應至外殼21以及第一真空槽11內。在一些實施例中,如第5圖所示,氣流f1係透過第一氣體入口241供應至外殼21內。在氣流f1離開第一氣體入口241之後,氣流f1之一部分透過開口215直接地離開外殼21。除此之外,氣流f1之一部分由上外殼構件211、側向外殼構件212、以及下外殼構件214所阻擋,且在外殼21之內部停留一段時間。結果,在外殼21之內部建立高於第一真空壓力的第二真空壓力。
在一些實施例中,如第5圖所示,第一真空壓力以及第二真空壓力之間的差異導致第一氣流f1之流動透過開口215穩定地流動至外殼21之外,使得位於外殼21之外的微粒9被阻擋,微粒9無法進入外殼21。因此,減輕了或避免了倍縮光罩19以及倍縮光罩吸座23之汙染。
在一些實施例中,如第5圖所示,一第二氣流f2透過第二氣體入口242供應至外殼21中,且第二氣流f2之至少一部分透過氣體出口243排出。結果,第二氣流f2流動通過倍縮光罩吸座23以及倍縮光罩19。第二氣流f2可作為倍縮光罩19以及開口215之間的氣體簾幕(air curtain),並避免微粒9附著於倍縮光罩19。除此之外,倍縮光罩19以及倍縮光罩吸座23可由第二氣流f2冷卻且維持在可接受的溫度。因此,可避免由於高溫所造成的倍縮光罩19之變形。
在一些實施例中,除了來自氣體入口241以及242供應的氣體之外,位於腔室160以及170中的氣體入口164以及174也可用以供應氣體至如第6圖所示的腔室160以及170。除此之外,藉著泵構件18之操作,氣體入口241、242、164以及174所供應的氣體可沿著如第6圖中箭頭所示的方向排出。
方法S10亦包括操作S14,其中在微影系統10中的半導體晶圓2上執行微影曝光製程。在操作14中,由高亮度光源15所產生的高亮度光7照射在倍縮光罩19上(由照明器16),且更投射在塗佈在半導體晶圓2之光阻層上(由投影光學模組19),從而形成光阻層上之潛像(latent image)。在一些實施例中,微影曝光製程以掃描模式實行。
方法S10可更包括其他操作,例如:透過光阻圖案之開口,在半導體晶圓2上執行製造製程的操作。在一個範例中,製造製程包括使用光阻圖案作為蝕刻遮罩而在半導體晶圓2上執行的蝕刻製程。在另一個範例中,製造製程包括使用光阻圖案作為佈植遮罩而在半導體晶圓2上執行離子佈植製程。
第7圖係圖示根據一些實施例,倍縮光罩固持工具20a之剖面圖。在第7圖所示的實施例中,與第1-3圖類似的元件具有相同的參考符號,且其特徵不再贅述以簡化說明。倍縮光罩固持工具20a以及倍縮光罩固持工具20之間的差異包括由外殼21a取代外殼21,且由氣體輸送總成24a取代氣體輸送總成24。
外殼21a包括上外殼構件211a、側向外殼構件212a、以及下外殼構件214a。上外殼構件211a係連接至倍縮光罩吸座23。在一些實施例中,上外殼構件211a具有環形形狀,且圍繞倍縮光罩吸座23。側向外殼構件212a係連接至上外殼構件211a之外邊緣,且從上外殼構件211a延伸至預定平面PP。頂板110以及預定平面PP之間的距離d4大於從頂板110至倍縮光罩吸座23之有效表面的距離d3。也就是說,有效表面位於預定平面PP以及頂板110之間。
下外殼構件214a具有環形形狀,且係連接至側向外殼構件212a之下邊緣213a。在一些實施例中,下外殼構件214a在預定平面PP上延伸。在一些其它實施例中,下外殼構件214a遠離下邊緣213a延伸,且在其內邊緣2142a終止,以形成開口215a。在一些其他未示出的實施例中,下外殼構件214a相對於預定平面PP傾斜,且下外殼構件214a以及頂板110之間形成的距離在遠離下邊緣213a至內邊緣2142a的方向上可能逐漸增加。下外殼構件214a以及頂板110之間的距離逐漸增加。在一些實施例中,省略下外殼構件214a。
在一些實施例中,下外殼構件214a之內邊緣2142a定義開口215a,開口215a可具有矩形形狀、圓形形狀、橢圓形形狀、多邊形形狀、不規則形狀或上述形狀的組合。在一些實施例中,開口215a之寬度係足夠大以允許來自高亮度光源的高亮度光之進入或離開,且允許更換倍縮光罩。在一些實施例中,在垂直於倍縮光罩吸座23之有效表面(或第一真空槽11之頂板110)的方向上,下外殼構件214a之凸出位於倍縮光罩吸座23之有效表面之外。
氣體輸送總成24a係配置以供應一種或多種氣體至外殼21a內。在一些實施例中,氣體輸送總成24a包括多個氣體入口(例如:兩個第一氣體入口241a及一個第二氣體入口242a),以及一個氣體出口243a。
在一些實施例中,兩個第一氣體入口241a係定位於外殼21a之內部。兩個第一氣體入口241a係配置成使得來自第一氣體入口241a的氣體朝向位於預定平面PP上的開口215a流動。
例如,兩個第一氣體入口241a係定位於倍縮光罩吸座23之相對兩側,且連接至上外殼構件211a。兩個第一氣體入口241a面對預定平面PP,使得來自兩個第一氣體入口241a的氣體流動至預定平面PP,且透過開口215a離開外殼21a之內部。兩個第一氣體入口241a可透過形成於上外殼構件211a中的導管(未圖示)連接至氣體源(未圖示)。從氣體源供應的氣體可包括H2 、N2 或XCDA。兩個第一氣體入口241a可包括固定於上外殼構件211a上的噴嘴。或者,兩個第一氣體入口241a可為穿透上外殼構件211a的狹縫。
在一些實施例中,第二氣體入口242a係定位於外殼21a之內部中。第二氣體入口242a係配置成使得來自第二氣體入口242a的氣體在大致平行於倍縮光罩吸座23之有效表面的方向上流動。
例如,第二氣體入口242a係鄰近於倍縮光罩吸座23定位,且連接至上外殼構件211a。第二氣體入口242a面對倍縮光罩吸座23,使得來自第二氣體入口242a的氣體可流動至倍縮光罩吸座23,且通過倍縮光罩吸座23之底表面231。
第二氣體入口242a可透過形成於上外殼構件211a中的導管(未圖示)連接至氣體源(未圖示)。從氣體源供應的氣體可包括H2 、N2 或XCDA。第二氣體入口242a可包括固定在上外殼構件211a上的噴嘴。
在一些實施例中,氣體出口243a係定位於外殼21a之內部。第二氣體入口242a以及氣體出口243a係配置成使得來自第二氣體入口242a的氣體通過倍縮光罩吸座23,且透過氣體出口243a排出。
例如,如第7圖所示,第二氣體入口242a以及氣體出口243a係定位於倍縮光罩吸座23之兩側,且連接至上外殼構件211a或倍縮光罩吸座23。從上外殼構件211a延伸的第二氣體入口242a以及氣體出口243a之高度可為相同。除此之外,第二氣體入口242a之開口以及氣體出口243a之開口可彼此直接地面對。也就是說,第二氣體入口242a之開口以及氣體出口243a之開口皆位於平行於上外殼構件211a及/或預定平面PP的一目標平面TP。結果,來自第二氣體入口242a的氣體在通過倍縮光罩吸座23之後,透過氣體出口243a吸出。氣體出口243a可透過形成於上外殼構件211a或倍縮光罩吸座23中的導管連接至真空源。在一些實施例中,省略氣體出口243a。
使用倍縮光罩固持工具20a以執行微影曝光製程之方法可類似於以上所描述的方法S10。但是,在微影曝光製程期間,當由平台22移動倍縮光罩吸座23時,外殼21a與倍縮光罩吸座23一起移動。因為外殼21a之內部具有更小的體積,在方法S10之操作S13中,可更快產生第二真空壓力。
用於執行微影曝光製程的方法以及系統之上述實施例產生了氣流圍繞用以固定倍縮光罩的倍縮光罩吸座。氣流防止倍縮光罩被汙染。結果,提高了製程品質以及生產量。除此之外,因為延長了倍縮光罩之壽命,降低了製造成本。
根據本揭露的一些實施例,提供一種倍縮光罩固持工具。外殼包括上外殼構件以及側向外殼構件。側向外殼構件從上外殼構件延伸,且在位於預定平面上之下邊緣終止。倍縮光罩固持工具更包括位於外殼中的倍縮光罩吸座,且倍縮光罩吸座具有配置以固定倍縮光罩之有效表面,有效表面位於預定平面以及上外殼構件之間。倍縮光罩固持工具亦包括氣體輸送總成。氣體輸送總成係定位於外殼內,且配置以供應氣體至外殼內。
根據本揭露的一些實施例,外殼更包括連接至下邊緣之下外殼構件,下外殼構件向內延伸,其中下邊緣在垂直於預定平面的方向上之凸出在有效表面之外。根據本揭露的一些實施例,凹陷在下外殼構件上形成,其中凹陷從下外殼構件之外邊緣延伸至下外殼構件之內邊緣。根據本揭露的一些實施例,倍縮光罩固持工具更包括連接至倍縮光罩吸座的平台,且平台配置以在平行於預定平面的方向上移動倍縮光罩吸座,而上外殼構件係連接至倍縮光罩吸座,且倍縮光罩吸座與外殼一起相對於平台為可移動的。
根據本揭露的一些實施例,氣體輸送總成包括氣體入口,氣體入口配置以朝向預定平面導入氣體。根據本揭露的一些實施例,氣體輸送總成包括氣體入口,氣體入口配置以沿著大致上平行於倍縮光罩吸座之有效表面的方向導入氣體。根據本揭露的一些實施例,氣體輸送總成更包括氣體出口,且氣體入口以及氣體出口配置成使得來自氣體入口之氣體通過倍縮光罩吸座之有效表面,且經由氣體出口排出。
根據本揭露的一些實施例,提供一種微影系統,包括一真空槽。真空槽具有第一真空壓力。微影系統更包括外殼,外殼位於真空槽中,且具有較第一真空壓力高之第二真空壓力。外殼具有開口,允許外殼之內部連通至真空槽。微影系統亦包括位於外殼中的倍縮光罩吸座,倍縮光罩吸座具有用於固持倍縮光罩的有效表面,有效表面面對開口,即有效表面透過開口曝露。除此之外,微影系統包括曝光工具,配置以朝向倍縮光罩產生用於反射的高亮度光。微影系統更包括晶圓平台,配置以支撐半導體晶圓,進而允許半導體晶圓接收從倍縮光罩反射之高亮度光。
根據本揭露的一些實施例,外殼包括上外殼構件以及側向外殼構件。側向外殼構件從上外殼構件延伸,且在位於預定平面上之下邊緣終止,其中倍縮光罩吸座之有效表面位於預定平面以及上外殼構件之間。根據本揭露的一些實施例,外殼包括連接至側向外殼構件的下外殼構件,其中開口係形成於下外殼構件上,且下外殼構件在垂直於有效表面的方向上之凸出位於倍縮光罩之外。根據本揭露的一些實施例,凹陷形成於下外殼構件上,且從下外殼構件之外邊緣延伸至下外殼構件之內邊緣。
根據本揭露的一些實施例,微影系統更包括定位於外殼中之氣體入口,氣體入口配置成使得來自氣體入口之氣體透過開口離開外殼。根據本揭露的一些實施例,微影系統更包括位於外殼中之氣體入口,氣體入口配置以沿著大致上平行於倍縮光罩吸座之有效表面的方向導入氣體。根據本揭露的一些實施例,微影系統更包括氣體出口,且氣體入口以及氣體出口配置成使得來自氣體入口之氣體通過倍縮光罩吸座之有效表面,且經由氣體出口排出。根據本揭露的一些實施例,微影系統更包括泵,泵係連接至真空槽,且配置以在真空槽中產生真空壓力。根據本揭露的一些實施例,由高亮度光源所產生的高亮度光包括極紫外光。
根據本揭露的一些實施例,提供一種執行微影曝光製程之方法。此方法包括在真空槽中產生第一真空壓力。此方法更包括放置倍縮光罩至位於真空槽中的外殼內,外殼透過開口與真空槽連通,且倍縮光罩之前表面面對開口,即前表面透過開口曝露。此方法亦包括施加第一氣流至外殼內,以在外殼中產生第二真空壓力,第二真空壓力較第一真空壓力高。除此之外,此方法包括透過倍縮光罩之前表面引導高亮度光至半導體晶圓。
根據本揭露的一些實施例,第一氣流被引導而透過開口離開外殼。根據本揭露的一些實施例,此方法更包括施加第二氣流沿著平行於預定平面的方向至外殼內,且開口位於預定平面上。根據本揭露的一些實施例,此方法更包括在第二氣流已經通過倍縮光罩之後,從外殼排出第二氣流之至少一部分。
儘管已經詳細描述了本揭露的實施例以及優點,應了解的是,在不背離由所附申請專利範圍界定的本揭露的精神以及範圍的情況下,可以對本揭露進行各種改變、替換以及更改。例如,本技術領域中具有通常知識者應可輕易理解,可以改變本揭露描述的許多特徵、功能、過程以及材料,同時保持在本揭露的範圍內。除此之外,本揭露的範圍並不意欲限於特定實施例之過程、機器、製造、物質組成、手段、方法以及步驟。本技術領域中具有通常知識者應可從當前存在或稍後發展的本揭露之揭露內容、過程、機器、製造、物質組成、手段、方法或步驟輕易理解,根據本揭露、使用在此描述的對應實施例、執行實質上相同功能或達到實質上相同結果。因此,所附申請專利範圍意欲將這樣的過程、機器、製造、物質組成、手段、方法或步驟包括在範圍內。除此之外,每一個申請專利範圍構成單獨的實施例,且各種申請專利範圍以及實施例的組合在本揭露的範圍內。
2‧‧‧半導體晶圓
7‧‧‧高亮度光
8‧‧‧倍縮光罩處理機械手臂
9‧‧‧微粒
10‧‧‧微影系統
11‧‧‧第一真空槽
12‧‧‧第二真空槽
13‧‧‧晶圓平台
14‧‧‧曝光工具
15‧‧‧高亮度光源
16‧‧‧照明器
17‧‧‧投影光學盒
18‧‧‧泵構件
19‧‧‧倍縮光罩
20、20a‧‧‧倍縮光罩固持工具
21、21a‧‧‧外殼
22‧‧‧平台
23‧‧‧倍縮光罩吸座
24、24a‧‧‧氣體輸送總成
110‧‧‧頂板
160‧‧‧腔室
161、171‧‧‧孔口
162、172‧‧‧光進入通道
163、173‧‧‧光放射通道
164、174‧‧‧氣體入口
165-167、175-177‧‧‧折射光學部件
170‧‧‧腔室
211、211a‧‧‧上外殼構件
212、212a‧‧‧側向外殼構件
213、213a‧‧‧下邊緣
214、214a‧‧‧下外殼構件
215、215a‧‧‧開口
216‧‧‧凹陷
221‧‧‧致動器
222‧‧‧引導構件
231‧‧‧底表面
241、241a‧‧‧第一氣體入口
242、242a‧‧‧第二氣體入口
243、243a‧‧‧氣體出口
2141‧‧‧外邊緣
2142、2142a‧‧‧內邊緣
B1、B2‧‧‧邊界線
d1、d2、d3、d4‧‧‧距離
f1、f2‧‧‧氣流
PP、TP‧‧‧平面
S10‧‧‧方法
S11、S12、S13、S14‧‧‧操作
第1圖係根據一些實施例,微影系統之示意概略圖。 第2圖係根據一些實施例,倍縮光罩固持工具之剖面圖。 第3圖係根據一些實施例,倍縮光罩固持工具以及倍縮光罩處理機械手臂的仰視圖。 第4圖係繪示根據一些實施例,用於在半導體晶圓上執行微影製程方法之流程圖。 第5圖係根據一些實施例,用於微影曝光製程的方法之一個階段之剖面圖。 第6圖係根據一些實施例,用於微影曝光製程的方法之一個階段之示意圖。 第7圖係根據一些實施例,倍縮光罩固持工具之剖面圖。

Claims (1)

  1. 一種倍縮光罩固持工具,包括: 一外殼,包括一上外殼構件以及一側向外殼構件,該側向外殼構件從該上外殼構件延伸,且在位於一預定平面上之一下邊緣終止; 一倍縮光罩吸座,定位於該外殼中,且具有配置以固定一倍縮光罩之一有效表面,其中該有效表面位於該預定平面以及該上外殼構件之間;以及 一氣體輸送總成,定位於該外殼內,且配置以供應氣體至該外殼內。
TW107140297A 2017-11-16 2018-11-14 倍縮光罩固持工具 TW201923487A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586990P 2017-11-16 2017-11-16
US62/586,990 2017-11-16
US16/044,765 US10714371B2 (en) 2017-11-16 2018-07-25 Method and apparatus for lithography in semiconductor fabrication
US16/044,765 2018-07-25

Publications (1)

Publication Number Publication Date
TW201923487A true TW201923487A (zh) 2019-06-16

Family

ID=66433504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140297A TW201923487A (zh) 2017-11-16 2018-11-14 倍縮光罩固持工具

Country Status (3)

Country Link
US (2) US10714371B2 (zh)
CN (1) CN109799684A (zh)
TW (1) TW201923487A (zh)

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020089656A1 (en) * 2001-01-09 2002-07-11 Cheng Guo Containers for lithography mask and method of use
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP2005101537A (ja) * 2003-08-29 2005-04-14 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
US20050275835A1 (en) * 2004-06-15 2005-12-15 Nikon Corporation Method and apparatus for protecting an EUV reticle from particles
US7126664B2 (en) * 2004-07-12 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and a device manufacturing method
US7030959B2 (en) * 2004-07-23 2006-04-18 Nikon Corporation Extreme ultraviolet reticle protection using gas flow thermophoresis
US7202934B2 (en) * 2004-12-20 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7367138B2 (en) * 2005-10-11 2008-05-06 Nikon Corporation Devices and methods for thermophoretic and electrophoretic reduction of particulate contamination of lithographic reticles
US20070285632A1 (en) * 2006-06-08 2007-12-13 Nikon Corporation EUVL reticle stage and reticle protection system and method
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
CN102822744B (zh) * 2010-04-02 2015-04-01 信越化学工业株式会社 光掩模单元及其制造方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
NL2012291A (en) * 2013-02-20 2014-08-21 Asml Netherlands Bv Gas flow optimization in reticle stage environment.
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US20150131071A1 (en) * 2013-11-08 2015-05-14 Samsung Electronics Co., Ltd. Semiconductor device manufacturing apparatus
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10067418B2 (en) 2014-05-12 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Particle removal system and method thereof
KR102502727B1 (ko) * 2015-11-09 2023-02-23 삼성전자주식회사 레티클 및 그를 포함하는 노광 장치
US10274844B1 (en) * 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and method for protecting a reticle

Also Published As

Publication number Publication date
US11121018B2 (en) 2021-09-14
US20200350194A1 (en) 2020-11-05
CN109799684A (zh) 2019-05-24
US10714371B2 (en) 2020-07-14
US20190148203A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
TWI592767B (zh) 在光罩載台環境中之氣流最佳化
KR101497595B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
TWI465857B (zh) Lighting optics, exposure devices and parts manufacturing methods
JP6898867B2 (ja) 膜アセンブリを製造するための方法
JP4445438B2 (ja) リソグラフィ装置およびデバイス製造方法
US20050236584A1 (en) Exposure method and apparatus
JP2008252117A (ja) リソグラフィ装置
TWI305295B (en) Lithographic apparatus and device manufacturing method
JP2006049815A (ja) 露光装置
TWI768247B (zh) 產生電磁輻射之設備及方法
US7050152B2 (en) Exposure apparatus
TWI590007B (zh) 藉由非均勻氣流的光罩冷卻
JPH06260385A (ja) 露光装置
US20090141257A1 (en) Illumination optical apparatus, exposure apparatus, and method for producing device
JP2006173527A (ja) 露光装置
US10488766B2 (en) Lithography system having invisible pellicle over mask
US11121018B2 (en) Method and apparatus for lithography in semiconductor fabrication
TWI806020B (zh) 脈衝放電輻射源、其使用方法以及微影裝置
JP5644416B2 (ja) 光学ユニット、光学系、露光装置、及びデバイスの製造方法
TWI646403B (zh) 圖案化裝置冷卻系統及熱調節圖案化裝置的方法
US20050185165A1 (en) Illumination apparatus and exposure apparatus
TWI779505B (zh) 光罩盒及防止光罩污染之方法
US11754928B2 (en) Lithography exposure method with debris removing mechanism
TW202230033A (zh) 浸潤式曝光裝置
TW202409716A (zh) 用於euv微影之表膜