TW201921419A - 整合式磊晶系統高溫污染物去除 - Google Patents

整合式磊晶系統高溫污染物去除

Info

Publication number
TW201921419A
TW201921419A TW107130332A TW107130332A TW201921419A TW 201921419 A TW201921419 A TW 201921419A TW 107130332 A TW107130332 A TW 107130332A TW 107130332 A TW107130332 A TW 107130332A TW 201921419 A TW201921419 A TW 201921419A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
plasma
processing
gas
Prior art date
Application number
TW107130332A
Other languages
English (en)
Other versions
TWI687966B (zh
Inventor
拉拉 華瑞恰克
建邦 勞
艾羅C 聖契茲
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201921419A publication Critical patent/TW201921419A/zh
Application granted granted Critical
Publication of TWI687966B publication Critical patent/TWI687966B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/02Heat treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭露的實施一般性地關於改進的真空處理系統。在一實施中,真空處理系統包含:一第一傳送腔室,該第一傳送腔室耦接到至少一氣相磊晶處理腔室、一第二傳送腔室、一過渡站,該過渡站被設置在該第一傳送腔室與該第二傳送腔室之間、一電漿清洗腔室,該電漿清洗腔室耦接至該第一傳送腔室或該第二傳送腔室以用於從一基板的一表面去除污染物,及一裝載閘腔室,該裝載閘腔室耦接至該第二傳送腔室。

Description

整合式磊晶系統高溫污染物去除
本揭露的實施一般性地關於用於清洗基板的表面的設備和方法。
在矽基板和其他的半導體基板中且在矽基板和其他的半導體基板上形成積體電路。在使用單晶矽的情況中,基板是藉由以下所述的方式來製造:從熔融的矽浴中生長晶錠,然後將固化的晶錠鋸成多個基板。然後可以在單晶矽基板上形成磊晶矽層以形成可以被摻雜或未摻雜的無缺陷的矽層。半導體裝置(例如:電晶體)可以從磊晶矽層中製成。形成的磊晶矽層的電特性通常優於單晶矽基板的特性。
當暴露於典型的基板製造設施環境條件時, 單晶矽和磊晶矽層的表面易受到污染。例如,由於基板的處理和/或對於在基板處理設施中的周圍環境的暴露,在沉積磊晶層之前可以在單晶矽表面上形成原生的氧化物層。此外,存在於周圍環境中的外來的污染物(例如,碳和氧物種)可沉積在單晶表面上。在單晶矽表面上的原生的氧化物層或污染物的存在對於隨後在單晶表面上形成的磊晶層的品質有負面的影響。因而,希望預先清洗基板以為了在磊晶層於基板上生長之前去除表面氧化和其他的污染物。然而,經常在一或多個獨立的真空處理腔室中進行預清洗製程,這可能增加基板處理時間和將基板暴露於周圍環境的機會。
因而,在本領域中需要提供一種改進的基板處理系統,該基板處理系統用於在執行磊晶沉積製程之前清洗基板表面並且使得基板處理時間和對於周圍環境的暴露最小化。
此揭露描述一真空處理系統,該真空處理系統 包含:一第一傳送腔室,該第一傳送腔室耦接到至少一膜形成腔室;一第二傳送腔室;一電漿氧氣去除腔室,該電漿氧氣去除腔室耦接至該第一傳送腔室或該第二傳送腔室;一電漿污染物去除腔室,該電漿污染物去除腔室耦接至該第一傳送腔室或該第二傳送腔室;及一裝載閘腔室,該裝載閘腔室耦接至該第二傳送腔室。
本文亦描述了一種處理一基板的方法,該方法包含以下步驟:藉由包含將該基板暴露於包含NF3、HF,及自由基的一處理氣體的步驟的一製程從一基板去除氧氣;藉由包含將該基板暴露於氫自由基的步驟的一製程從該基板去除污染物;及藉由一磊晶製程在該基板上形成一膜。
本文亦描述了一種真空處理設備,該真空處理設備包含:一第一傳送腔室,該第一傳送腔室耦接到至少一氣相磊晶腔室;一第二傳送腔室,該第二傳送腔室藉由一或多個直通站耦接至該第一傳送腔室;一電漿氧氣去除腔室,該電漿氧氣去除腔室耦接至該第一傳送腔室或該第二傳送腔室,該電漿氧氣去除腔室包含:一噴頭,該噴頭具有一混合腔室和一氣體分配器;一第一氣體入口,該第一氣體入口穿過該噴頭的一部分形成並且與該混合腔室流體連通;一第二氣體入口,該第二氣體入口穿過該噴頭的一部分形成並且與該混合腔室流體連通;一第三氣體入口,該第三氣體入口穿過該噴頭的一部分形成並且與該混合腔室流體連通;及一基板支撐件,該基板支撐件具有一基板支撐表面;一冷卻通道和嵌入於該基板支撐件中的一或多個電阻加熱器;及一提升構件,該提升構件設置在該基板支撐表面的一凹部中並且經由該基板支撐件耦接至一提升致動器;一電漿污染物去除腔室,該電漿污染物去除腔室耦接至該第一傳送腔室或該第二傳送腔室,該電漿污染物去除腔室包含:一遠端電漿源;一磁離子過濾器;及一基板支撐件,該基板支撐件可進行操作以將設置於其上的一基板加熱至在攝氏25度與攝氏650度之間的一溫度;及一裝載閘腔室,該裝載閘腔室耦接至該第二傳送腔室。
第1圖圖示根據本揭露的一個實施的一處理序列100。在103處,可在腔室中執行可選擇的腔室調節步驟以用於操作104。操作104包含:使用含有氫自由基的氣體,因此腔室表面可藉由暴露於蒸汽或水蒸氣而鈍化。可藉由習知的方法非原位地或原位地產生蒸汽,並且 腔室表面可暴露於蒸汽達到1秒至60秒(例如,大約30秒)的時間區間,以產生具有對於氫自由基的至少稍微改善的抵抗力的表面。在蒸汽鈍化製程期間,活性生產基板可存在於設置在基板支撐件上的處理腔室中。可替代性地,虛擬基板(dummy substrate)可定位在基板支撐件上。如果基板支撐件是由可能與蒸汽反應的材料製成,在蒸汽鈍化製程期間將基板設置在支撐件上可降低與蒸汽的反應性。可以在蒸汽鈍化製程期間加熱基板以減少蒸汽與基板之間的接觸。舉例而言,在蒸汽鈍化製程期間,基板可被加熱至攝氏400度,或更高。
在方塊104中,從基板的表面去除污染物。在方塊104的一實施中,使用還原過程從基板的表面去除例如為碳或碳氫化合物的污染物。還原過程可使用含有氫的電漿以去除污染物。電漿可從包含氫氣(H2)、氦氣(He)、氬氣(Ar)、氨氣(NH3),或此些氣體的任何的組合的清洗氣體中形成。電漿可為電感性耦合的或電容性耦合的,或電漿可藉由在處理腔室中的微波源來形成。處理腔室可為遠端電漿腔室,該遠端電漿腔室與在其中設置基板的處理腔室物理分離。
在一實施中,電漿是使用電感性耦合電漿源來形成,該電感性耦合電漿源是用以執行還原過程104的遠端電漿源(remote plasma source, RPS)。來自電漿的自由基可通過通道管和設置在基板上方的氣體分配板。基板定位在支撐件上並且處於大約攝氏25度至大約攝氏650度的溫度(例如,在大約攝氏100度與大約攝氏500度之間的溫度),其中在一些情況中為至少約攝氏400度,而在一些情況中為大約攝氏400度。在其他的情況中,基板保持在攝氏500度至攝氏650度的溫度。處理壓力可為低於大氣壓力的壓力(例如,大約20mTorr至大約300Torr(例如,大約100mTorr至大約300mTorr(例如,大約150mTorr)))。自由基到達基板,然後與表面污染物反應以形成揮發性物質,該揮發性物質在處理腔室中進入氣相並且被排出。可經調試以執行還原過程的示例性的處理腔室包含:AKTIV Pre-CleanTM 、PCxT Reactive PrecleanTM (RPC),或SelectraTM 腔室,前述者可從加利福尼亞州的聖克拉拉市的應用材料公司獲得。亦可使用來自其他的製造商的腔室。
遠端電漿製程形成包含氫自由基的氣體。如同在前文中描述者,包含氫的電漿通過由磁場圍繞的管道,該磁場使得帶電粒子轉向,而允許中性粒子(例如:氫自由基,以及其他的自由基和分子)通過而到達包含基板的處理區域。基板暴露於包含氫自由基的氣體以執行還原過程,前述者去除了包含碳的污染物。製程亦使得均勻的氫封端基板的表面,其中在位於基板表面處的晶體結構中具有最小的缺陷。
在方塊106中,在基板的表面上形成磊晶層。如果事先進行清洗,如同在前文中描述者,基板的表面會均勻地氧化並且不具有污染物,前述者改善了形成於基板的表面上的生長的磊晶層的品質。示例性的磊晶製程可為在小於大約攝氏800度(例如,大約攝氏450至650度)的溫度處執行的選擇性的磊晶製程。可以使用高溫化學氣相沉積(CVD)製程來形成磊晶層。磊晶層可為結晶矽、鍺, 或矽化鍺,或任何的適當的半導體材料(例如:III-V族化合物或II-VI族化合物)。在一示例性的熱CVD製程中,處理氣體(例如:氯矽烷SiHx Cl4-x (一、二、三、四)、矽烷Six H2X+2 (矽甲烷(silane)、乙矽烷(disilane)、丙矽烷(trisilane)等等)、鍺烷Gex H2x+2 (鍺烷、二鍺烷等等)、氯化氫HCl、氯氣Cl2 ,或其組合)被使用以形成磊晶層。處理溫度低於攝氏800度(例如,大約攝氏300度至大約攝氏600度(例如,大約攝氏450度)),並且處理壓力是在5Torr與600Torr之間。可被使用以執行磊晶沉積製程的示例性的處理腔室是CenturaTM Epi腔室,其可從加利福尼亞州的聖克拉拉市的應用材料公司獲得。亦可使用來自其他的製造商的腔室。
方塊103、104,及106可以在一處理系統(例如:在第8圖中所示的真空處理系統)中執行,並且進一步地在後文中加以描述。在方塊103和方塊104中描述的製程可以根據需要而定重覆許多次。在執行106的層形成製程之前,亦可在製程104之後執行可選擇的熱處理,以去除任何的殘留的副產物或污染物,並且對於表面進行退火而去除任何的表面缺陷。此一退火可在氫氣氣氛(可選擇地包含惰性氣體(例如:氬氣和氦氣))下進行,並且可在攝氏400至800度的溫度和從1Torr至300Torr的壓力下進行。
第2圖是處理腔室300的剖面圖,該處理腔室可被使用以執行在方塊104中找到的製程中的至少一些者,並因此去除污染物(例如:積聚在基板的表面上的碳或碳氫化合物)。處理腔室300具有腔室主體310,該腔室主體包含:腔室外殼316、處理套件外殼318,及蓋340。腔室外殼316和蓋340可從鋁、不銹鋼,或其他的適當的材料中製成。處理套件外殼318可從鋁合金或其他的適當的材料中製成。蓋340經由處理套件外殼318可移除地耦接至腔室外殼316。
處理套件外殼318可為環形殼體,該環形殼體具有耦接至蓋340的頂表面和耦接至腔室外殼316的底表面。處理套件外殼318具有從處理套件外殼318的內表面331向下延伸的屏蔽部分329。處理套件外殼318的內表面331圍繞氣體分配板326並且在其上支撐氣體分配板326。氣體分配板326可為石英噴頭。氣室348被界定在氣體分配板326與蓋340之間。氣體分配板326包含穿過氣體分配板326的厚度形成的複數個孔327以允許氣體經由埠口342流入氣室348。孔327均勻地分佈在氣體分配板326的直徑上,以確保氣體或自由基均勻地分配至基板308。流過孔327的氣體分佈在基板308上,該基板設置在界定於氣體分配板326與基板支撐件314之間的處理區域330中。基板支撐件314可包含:加熱器。屏蔽部分329亦有助於限制在處理區域330內的電中性自由基。在一個示例中,屏蔽部分329延伸至鄰近於或低於基板支撐件314的邊緣的位置。
處理腔室300包含:遠端電漿源350,該遠端電漿源藉由管道360耦接至埠口342。埠口342形成在蓋340中。管道360界定入口356,該入口可具有第一內徑和大於第一內徑的第二內徑。第一內徑可設置在遠端電漿源350的鄰近處,並且第二內徑可設置在蓋340的鄰近處。在一個示例中,第一內徑可為:大約12mm至大約30mm(例如,大約20mm),並且第二內徑可為:大約35mm至大約60mm(例如,大約40mm)。
管道360經配置以在進入處理區域330之前過濾在遠端電漿源350中產生的離子,同時允許電中性自由基進入處理區域330。因此減少了在處理區域330中的離子的相對濃度。在一實施中,流過入口356的氣體是由一磁場過濾,該磁場是由設置在管道360的相鄰處的一或多個磁鐵產生。磁鐵跨越管道360產生磁場以過濾帶有從遠端電漿源350流出的反應性自由基的帶電粒子。
在描繪於第2圖中的實施中,第一磁鐵352和第二磁鐵354設置在管道360的相鄰處。第一磁鐵352和第二磁鐵354可為永久性磁鐵或電磁鐵。磁鐵352、354可橫跨於管道360的第一內徑而彼此相對地設置。舉例而言,磁鐵352、354可附著或固定在管道360的外周邊的相對側上。磁鐵352、354可替代性地固定至腔室蓋340或腔室主體310的其他的部件。相對的磁鐵與形成在管道360內的入口356之間的相對距離影響通過入口356的磁場的強度,從而影響過濾效率。亦可藉由使用不同的磁鐵(意即,以具有不同的強度的磁鐵來替換磁鐵352、354)來調整磁場。通過的帶電粒子被拉引成與管道360的內表面370接觸並且變成電中性的非離子物質。因此,被過濾的電中性自由基被輸送至基板的表面以與在其上的污染物反應並且清洗在其上的污染物。
在一些實施中,可進一步地藉由在進入腔室主體310的處理氣體(意即,自由基和離子)的流動路徑中提供石英表面來過濾離子。舉例而言,界定入口356的管道360的內表面370可完全地或部分地塗覆石英或從石英中製成。此外,界定氣室348和/或氣體分配板326的表面亦可完全地或至少部分地塗覆石英或從石英中製成。舉例而言,在第2圖的實施中,可沿著處理套件外殼318的內表面331設置頂部襯裡324。頂部襯裡324可具有圍繞氣室348的環形主體,其內表面界定氣室348的外邊界。頂部襯裡324可以由石英製成。頂部襯裡324可靜置在氣體分配板326上,或可藉由任何的其他的適當的固定方法支撐。
襯板344可沿著蓋340的底部表面設置。襯板344可塗覆有石英,或從石英中製成。襯板344界定了氣室348的上邊界。因而,襯板344、頂部襯裡324,及氣體分配板326界定了氣室348。底部襯裡325可沿著處理套件外殼318的內表面331設置。底部襯裡325可具有環形主體,並且在進行組裝以用於操作時圍繞處理區域330,其內表面界定了處理區域330的外邊界。底部襯裡325可塗覆有石英,或從石英中製成。底部襯裡325可被屏蔽部分329支撐。在如同顯示的一個示例中,突出部分 303在屏蔽部分329的一端部處徑向向內延伸以支撐底部襯裡325。因而,管道360、襯板344、頂部襯裡324、底部襯裡325,及氣體分配板一起沿著處理氣體的流動路徑提供石英表面。與其他的腔室材料(例如,鋁)相比,此些部件減少了自由基的重組。因此,當流過氣體分配板326而進入處理區域330時,處理氣體中的帶電粒子的含量顯著地減少,並且可以主要是中性物質(例如:自由基和分子)。當電中性自由基到達設置在基板支撐件上的基板的表面並且與該基板的該表面反應時,它們將保持為具有反應性的以從基板的表面去除不想要的材料(例如:碳污染物)。
基板支撐件314設置在腔室主體310的處理區域330中。基板支撐件314經由中心軸341耦接至腔室外殼316的底部。基板支撐件314具有一基板支撐表面,該基板支撐表面用於在製程(例如:在前文中相關於方塊103和方塊104描述的製程)進行期間於其上支撐基板308。可選擇的聚焦環338可圍繞基板支撐表面的外周邊而設置在基板支撐件314上。聚焦環338在製程進行期間將電漿或中性物質限制在基板308上方的區域中。聚焦環338可從石英中製成。
基板支撐件314可從鋁中製成,其中複數個藍寶石觸點(未顯示出來)設置在基板支撐表面上以將基板支撐表面與設置在藍寶石觸點上的基板之間的接觸最小化。基板支撐件314是由驅動單元337致動以在裝載位置與處理位置之間垂直地移動。基板支撐件314可具有嵌入於其中的一或多個加熱元件335以對於基板支撐表面提供均勻的熱能。適當的加熱元件335可包含(除了其他的加熱裝置之外):電阻加熱器、熱電裝置,或用於使得傳熱流體流動的管道。加熱元件335允許基板308的溫度維持在大約25°C至大約500°C(例如,大約300°C至大約 350°C、大約350°C至大約450°C,或大約450°C至大約500°C)的溫度範圍中。在一些實施中,基板支撐件 314可具有穿過基板支撐表面的外圍邊緣形成的切口,以使得當基板支撐件314被定位於裝載位置處時,基板處理器(未顯示出來)可從基板的邊緣操作基板308。在清洗製程進行期間,基板支撐件314(其中於其上設置有基板308)被定位在處理位置處,該處理位置是針對於處理基板308的期望的位置。
處理腔室300包含:幫浦317。幫浦317經由前級管道361連接至腔室主體310。前級管道361連接至腔室主體310於形成在外殼316的底部的開口315處。腔室300亦包含:設置在前級管道361中的節流閥363。操作節流閥363以打開和關閉至所需要的任何的程度而將在處理腔室300中的壓力維持在針對於要執行的電漿清洗製程的期望的真空範圍中。幫浦317和節流閥363將在腔室主體310內的壓力控制在大約0.005Torr與750 Torr之間(例如,在大約40Torr至大約500Torr之間)。在一個示例中,幫浦317是乾式幫浦,該乾式幫浦將處理腔室300內的壓力維持在大約0.1Torr至大約40 Tor(例如,大約30Torr)的示例性的壓力範圍中。在一個示例中,幫浦317是低壓幫浦,該低壓幫浦將處理腔室300內的壓力維持在大約100mTorr至大約500 mTorr(例如,大約150mTorr)的示例性的壓力範圍中。在一些示例中,幫浦317是渦輪幫浦,該渦輪幫浦將處理腔室300內的壓力維持在大約20mTorr至50mTorr的示例性的壓力範圍中。
第3圖是基板支撐件400的透視圖,該基板支撐件可設置在基板處理腔室(例如,具有遠端電漿源(RPS)的處理腔室)內。基板支撐件400可使用在處理腔室300中,而非使用在基板支撐件314中。
基板支撐件400一般性地包含:支撐主體402 和經由波紋管406耦接至支撐主體402的軸404。波紋管406耦接至支撐主體402的底部。在一個示例中,波紋管406以真空密封的方式附接至基板處理腔室的底部。驅動單元416可經由軸404耦接至波紋管406以允許支撐主體402相對於基板處理腔室的垂直運動。在一些實施中,驅動單元416可經配置以旋轉軸404,並且因此旋轉支撐主體402。
支撐主體402具有基板支撐表面408。支撐主體402具有嵌入或容納在其中的一或多個加熱元件520(參見第4圖)以在製程(例如,電漿清洗製程)進行期間向要被設置在基板支撐表面408上的基板提供均勻的熱能。加熱元件520可以方位角對稱的圖案來排置而確保基板的均勻的加熱。適當的加熱元件可包含(除了其他的加熱裝置之外):電阻加熱器、熱電裝置,或用於使傳熱流體流動的管道。在一個示例中,加熱元件是電阻性加熱線圈。加熱元件允許基板的溫度維持在大約25°C至大約650°C,或更高的溫度範圍(例如,大約300°C至大約350°C、大約350°C至大約450°C、大約450°C至大約 550°C、大約550°C至大約650°C,或更高的溫度範圍)中。
基板支撐表面408具有複數個接觸點410,其中在於基板處理腔室中進行處理期間基板靜置在該等接觸點410上。接觸點410均勻地分佈在基板支撐表面408上。在一實施中,接觸點410圍繞支撐主體402的中心點412排置在同心圓中。額外地或可替代性地,接觸點410可以方位角對稱的圖案來排置以確保基板的均勻的處理。接觸點410可具有突起物或突狀體的形式。突起物或突狀體提供最小化的接觸表面區域,以避免基板直接地接觸基板支撐表面408,同時保持在基板的背側與支撐主體402之間的間隙以均勻地加熱基板。在一實施中,接觸點410是藍寶石球。
支撐主體402可具有複數個設置在支撐主體402的外圍邊緣處的切口414。切口穿過支撐主體的整個厚度(意即,從基板支撐表面408至支撐主體402的背側)形成。切口414可圍繞支撐主體402的周邊等距地間隔開。在一實施例中,4個切口414對稱地設置在支撐主體402的外圍邊緣處。切口414的尺寸設計成使得當支撐主體402被定位在裝載位置處時,基板處理器(未顯示出來)可從基板的邊緣操作基板。
支撐主體402可從陶瓷、鋁,或其他的適當的材料(例如:氮化鋁)中製成。軸404可從陶瓷(例如:氮化鋁、氧化鋁),或摻雜的陶瓷(例如:摻雜有氮化鈦或氮化鉻的氧化鋁、摻雜的氧化鋁、摻雜的氮化硼,及類似者)中製成。在一實施例中,軸404是從大約95%的純氮化鋁中形成以增進軸404的導熱性。在一實施例中,軸404和支撐主體402可從相同的材料中製成。
第4圖是第3圖的基板支撐件400的一部分的剖面圖。支撐主體402具有形成在基板支撐表面408中的複數個孔洞510,該等孔洞用於接收接觸點410(例如:藍寶石球)。軸404是中空的,該軸具有在其中界定中心開口512的側壁518。中心開口512允許電力線路528通過。電力線路528的一端連接至一或多個加熱元件520,而電力線路528的另一端連接至加熱電源532(例如:DC或AC電源)。
軸404可包含:連接至冷卻流體源(未顯示出來)的通道530。通道530可以設置在軸404的任何的所欲的位置內以用於使得來自冷卻流體源的冷卻流體循環而控制軸404的溫度,因此控制支撐主體402和在製程進行期間控制放置在支撐主體402上的基板的溫度。
基板支撐件400可包含設置在基板支撐件400中的熱電偶534以量測溫度(例如:基板支撐件400的溫度、基板支撐表面408的溫度,或當設置在基板支撐表面408上時基板的溫度)。熱電偶534可以是任何的適當的熱電偶設計(例如,熱電偶探針或類似者)。熱電偶534可耦接至溫度控制器535,該溫度控制器可基於由熱電偶534量測的溫度來控制電源536。
在一些實施例中,基板支撐件400包含設置在支撐主體402上的圓盤540,而形成基板支撐表面408。圓盤540可由介電材料製成並且耦接至電源542以為了作用為靜電夾盤。
在一些實施例中,支撐主體402可包含:形成在其中的冷卻劑通道544。冷卻劑通道544可耦接至流體源(未顯示出來),該流體源使得冷卻流體在其中循環通過。在一些實施例中,加熱元件520包含:多區域加熱器,該多區域加熱器包含:可獨立控制的外區546和內區548。淨化氣體入口550和出口552可被提供在基板支撐件400中。入口550和出口552可被利用以供應背側氣體至在基板支撐表面408上的基板。在一些實施例中,可以在基板支撐表面408上提供邊緣環554。
第5圖根據一實施例圖示單基板化學氣相沉積(CVD)反應器600(其中包含石英處理或反應腔室605)。反應器600可被利用於許多的不同的材料(其中包含如同本文所揭示的SiGe和Ge膜)的CVD。此外,圖示的反應器600可在相同的腔室605中完成多個沉積步驟(這將從後文的討論中可以明顯的看出)。
腔室500在平面圖中通常可具有矩形框的形狀(未顯示出來)。複數個幅射熱源被支撐在腔室605的外部以在腔室605中提供熱能,而不會被腔室605的壁明顯地吸收。雖然在具有用於處理半導體基板的「冷壁(cold wall)」CVD反應器的情況下描述了實施例,將理解到本文描述的方法將結合其他的加熱/冷卻系統(例如,採用感應加熱或電阻加熱的彼些者)來使用。
幅射熱源包含:具有細長的管型的幅射加熱元件610的上部加熱組件。上部加熱元件610優選地以間隔開的平行關係並且亦以與穿過腔室605的反應氣體流動路徑(由箭頭612來顯示)大致平行的方式來設置。下部加熱組件包含:類似的具有細長的管型的幅射加熱元件615,該等幅射加熱元件定位在腔室605的下方,並且橫向於上部加熱元件610來定向。輻射熱的一部分被分別地位於上部燈610的上方和位於下部燈615的下方的粗糙的鏡面式反射器平板(未顯示出來)漫反射至腔室605。此外,複數個聚光燈620將集中的熱供應至基板支撐件結構(在下文中進行描述)的下側,以抵消由延伸穿過腔室605的底部的冷支撐結構產生的散熱作用。具有細長的管型的加熱元件610、615中的每一者優選地為高強度的鎢絲燈,該高強度的鎢絲燈產生被傳送通過腔室605的壁的幅射的熱能,而不會被明顯地吸收。如同在半導體處理設備的領域中已知的,可以響應於溫度感測器獨立地或在分組的區域中控制各種燈610、615、620的功率。
工件(其中包含矽基板625)被顯示為:在腔室605內且支撐於基板支撐結構630上。示出的支撐結構630包含:基板保持器632,其中基板625靜置在該基板保持器上,及支撐支架634。支架634被裝設至軸636,該軸向下延伸穿過管638,其中該管延伸穿過腔室下壁。管638與淨化氣體的源連通,該淨化氣體可在處理基板期間流動。淨化氣體可被利用以制止處理氣體進入腔室605的下部分。淨化氣體亦可在基板625的下方水平地流動。
複數個溫度感測器被定位在基板625的附近處。溫度感測器可採用各種形式(例如:光學高溫計或熱電偶)。在所示的實施例中,溫度感測器包含:熱電偶(其中包含第一熱電偶或中央的熱電偶640,該熱電偶以任何的適當的方式懸吊在基板保持器632的下方)。中央的熱電偶640穿過在基板保持器632附近的支架634。反應器600進一步包含:複數個輔助或周邊的熱電偶(亦在基板625的附近),其中包含前緣或前熱電偶645、後緣或後熱電偶650,及側熱電偶(未顯示出來)。周邊的熱電偶中的每一者容納在滑環652內,該滑環圍繞基板保持器632和基板625。中央的熱電偶和周邊的熱電偶中的每一者連接至溫度控制器,該溫度控制器響應於熱電偶的讀值設定各種加熱元件610、615、620的功率。
除了容納周邊的熱電偶之外,滑環652在進行高溫處理期間吸收並且發射輻射熱。滑環652可被利用於補償在基板邊緣處的較大的熱損失或吸收(其被習知為由於在基板邊緣附近的區域中的表面面積對於體積的較大的比率的緣故會發生的現象)。藉由將邊緣損失最小化,滑環652可降低跨越基板625的徑向的溫度不均勻性的風險。滑環652可藉由任何的適當的方式來懸吊。舉例而言,所示的滑環652靜置在支撐構件654上,該支撐構件從前腔室分隔器656和後腔室分隔器658延伸。分隔器656、658理想上是由石英形成。在一些排置中,後分隔器658可被省略。
所示的腔室605包含用於注入反應物和載氣的入口660,並且基板625亦可通過其中來接收。出口664位於腔室605的相對側上,其中基板支撐件結構630定位在入口660與出口664之間。
入口部件665被裝配至腔室605(該入口部件665經調適以圍繞入口660),並且包含:在水平方向上伸長的槽667,其中基板625可經由該槽置入。通常為垂直的入口668接收來自氣體源的氣體,並且使得此些氣體與槽667和入口660連通。雖然未在第5圖中單獨地示出,但是氣體源可包含氫、矽,及鍺前驅物,以及控制如同在此描述的一序列的步驟(其中包含在進行Si和/或Ge沉積之前的冷卻步驟期間使得表面活性化合物流入腔室的步驟)的控制器(例如,預編程的電腦)。入口668可包含:經設計以將單基板反應器的氣流的均勻性最大化的氣體注入器。
出口部件670類似地裝設至腔室605,以使得排氣口672與出口664對準並且通向排氣管道674。管道674又可以與適當的真空構件(未顯示出來)連通而用以將處理氣體從腔室605排出。在一實施例中,處理氣體被抽吸而通過腔室605和下游洗滌器(未顯示出來)。優選地將幫浦或風扇包含在內以有助於抽吸處理氣體而通過腔室605,並且抽空腔室以進行減壓處理(意即,低於大氣壓力,但是高於超高真空壓力範圍(如同在後文中討論者)。
所示的反應器600亦包含:定位在腔室605的上游處的激發物質源676。所示的實施例的激發物質源676包含:遠端電漿產生器(其中包含磁控管功率產生器和沿著氣體管線678的施加器)。在所示的實施例中,來自磁控管的微波能量耦合至在沿著氣體管線678的施加器中的流動氣體。前驅物氣體源680耦接至氣體管線678以引入至激發物質源676。載氣源682亦耦接至氣體管線678。亦可提供一或多個分支線684以用於額外的反應物。如同在本技術領域中所習知者,氣體源680、682可包含:氣槽、起泡器等等(這取決於反應物質的形式和揮發性)。每一氣體管線可被提供有個別的質量流量控制器(MFC)和閥門(如同顯示者),以允許被引入至源676並因此進入腔室605的載體和反應物質的相對量的選擇。激發物質源676可被利用於電漿增強沉積,但是亦可用於激發蝕刻劑,以當在腔室605中沒有基板時清洗過度沉積的腔室605。
經設計以用於處理200mm的基板的單基板處理腔室605的總體積容量(例如)小於大約30公升(例如,小於大約20公升,並且在一實施例中小於大約10公升)。所示的腔室605具有大約7.5公升的容量。因為所示的腔室605是由分隔器656、658、基板保持器632、環652,及從管638流出的淨化氣體分隔,處理氣體流過的有效體積大約是總體積的一半(例如,在所示的實施例中的大約3.77公升)。應理解到單基板腔室605的體積可為不同的(這取決於腔室605被設計成用於容納基板的尺寸)。舉例而言,用於300mm的基板的單基板處理腔室605具有小於大約100公升(例如,大約60公升,並且在一實施例中小於大約30公升)的容量。在一個示例中,用於處理300mm的基板的單基板處理腔室605具有大約24公升的總體積,其中有效體積為大約12公升。
包含Ge的層的沉積溫度通常是在大約攝氏250度(C)至大約攝氏600度(例如,大約攝氏300度至大約攝氏450度)的範圍中。在單基板處理腔室605中的總壓力是在大約10-5Torr至大約800Torr的範圍中。在一些實施例中,壓力是在大約200mTorr至大約760Torr之間(例如,大約1Torr至大約200Torr之間(例如,在大約1Torr至大約60Torr之間))。
第6圖根據一實施例示出了背側加熱處理腔室700的示意性的剖面圖,該背側加熱處理腔室經配置以用於低壓磊晶沉積。處理腔室700可被使用以處理一或多個基板(其中包含將材料沉積在基板625的上表面上)。處理腔室700可包含:幅射加熱燈702的陣列,該幅射加熱燈702的該陣列用於加熱設置在處理腔室700內的基板支撐件706的背側704,以及其他的部件。基板支撐件706可為圓盤狀的基板支撐件706(如同顯示者),或可為環狀的基板支撐件(其中具有中心開口),該基板支撐件從基板的邊緣支撐該基板以促進基板對於燈702的熱幅射的暴露。
基板支撐件706位於處理腔室700內並且在上圓頂728與下圓頂714之間。上圓頂728、下圓頂 714,及設置在上圓頂728與下圓頂714之間的基環736一般性地界定處理腔室700的內部區域。基板625(未按照比例)被傳送至處理腔室700並且經由在此視圖中未顯示出來的裝載埠被定位在基板支撐件706上。
基板支撐件706是由中心軸732支撐,該中心軸在裝載和卸載期間以垂直方向734移動基板625,並且在一些情況中進行基板625的處理。基板支撐件706被顯示為處於在第6圖中的升高的處理位置,但是可以藉由耦接至中心軸732的致動器(未顯示出來)垂直地穿越而到達在處理位置下方的裝載位置。當降低至處理位置以下時,舉升銷705接觸基板625並且從基板支撐件706升起基板625。然後,機器人(未顯示出來)可進入處理腔室 700以經由裝載埠接合基板625和從其中去除基板625。然後可在垂直方向上致動基板支撐件706而到達處理位置以將基板625(其中該基板的裝置側716朝上)放置在基板支撐件706的前側710上。
基板支撐件706在位於處理位置時將處理腔室700的內部空間劃分為位於基板625上方的處理氣體區域756和位於基板支撐件706下方的淨化氣體區域758。在進行處理期間藉由中心軸732來旋轉基板支撐件706以將在處理腔室700內的熱和處理氣體流動空間異常的影響最小化,因此促進基板625的均勻的處理。基板支撐件706可從碳化矽或塗覆有碳化矽的石墨中形成以吸收來自燈702的幅射能量並且將幅射能量傳導至基板625。
一般而言,上圓頂728的中央窗口部分和下圓頂714的底部是從光學透明材料(例如,石英)中形成。上圓頂728的厚度和曲度可經配置以提供更平坦的幾何形狀,以達成在處理腔室中的均勻的流動均勻性。
燈702的陣列可以圍繞中心軸732以指定的最佳期望方式設置在下圓頂714的相鄰處和在下圓頂714的下方以在處理氣體通過時獨立地控制在基板625的各個區域處的溫度,前述者促進將材料沉積至基板625的上表面。雖然在此沒有詳細地討論,但是沉積的材料可包含:砷化鎵、氮化鎵,或氮化鋁鎵。在一些實施例中,幅射加熱燈(例如,燈702)的陣列可設置在上圓頂728的上方。
燈702可經配置以包含:燈泡,該等燈泡經配置以將基板625加熱至在大約攝氏200度至大約攝氏1600度的範圍內的溫度。每個燈702耦接至電力分配板(未顯示出來),其中經由該電力分配板將電力供應至每個燈702。燈702定位在燈頭745內,該燈頭745可在進行處理期間或在進行處理之後藉由(例如)引入位於燈702之間的通道749的冷卻流體來冷卻。部分地由於燈頭745與下圓頂714緊密地靠近的緣故,燈頭745傳導性地且幅射地冷卻下圓頂714。燈頭745亦可冷卻燈壁和在燈周圍的反射器(未顯示出來)的壁。可替代性地,下圓頂714可藉由對流的方式來冷卻。取決於應用,燈頭745可或可不與下圓頂714接觸。
圓形的屏蔽767可以可選擇地設置在基板支撐件706的周圍並且被襯裡組件763圍繞。屏蔽767在為處理氣體提供預熱區域的同時,防止或最小化從燈702至基板625的裝置側716的熱/光雜訊的洩漏。屏蔽767可從CVD SiC、塗覆有SiC的燒結的石墨、生長的SiC、不透明的石英、塗覆的石英,或可耐受由製程和淨化氣體所引起的化學分解的任何的類似的適當材料中製成。
襯裡組件763的尺寸設計成嵌套在基環736的內圓周內或由基環736的內圓周圍繞。襯裡組件763在處理空間(意即,處理氣體區域756和淨化氣體區域758)與處理腔室700的金屬壁之間發揮屏蔽的作用。金屬壁可與前驅物反應並且造成在處理空間中的污染。雖然襯裡組件763被顯示為單個主體,襯裡組件763可包含:具有不同的配置的一或多個襯裡。
由於從基板支撐件706對於基板625進行背側加熱的緣故,可以使用光學高溫計718在基板支撐件上進行溫度量測/控制。藉由光學高溫計718來進行的此溫度量測亦可在具有未知的發射率的基板625的裝置側716上完成(因為利用此方式來加熱基板前側710是與發射率無關的)。因此,光學高溫計718僅能感測來自熱基板625的幅射,該幅射從基板支撐件706傳導熱,其中來自燈702的最小背景幅射直接到達光學高溫計718。
反射器722可以可選擇地放置在上圓頂728的外部以將從基板625輻射離開的光反射而回到基板625上。可使用夾持環730將反射器722固定至上圓頂728。反射器722可由諸如為鋁或不銹鋼的金屬製成。反射的效率可藉由將反射器區域塗覆一高度反射的塗層(例如,金)的方式來改善。反射器722可具有連接至冷卻源(未顯示出來)的一或多個通道726。通道726連接至形成在反射器722的一側上的管道(未顯示出來),而用於冷卻反射器722。管道經配置以承載諸如為水的流體的流動,並且可沿著反射器722的側邊並且以覆蓋反射器722的一部分或整個表面的任何的期望的圖案水平地延伸。
從處理氣體供應源772供應的處理氣體經由形成在基環736的側壁中的處理氣體入口774引入處理氣體區域756。處理氣體入口774經配置以沿著大致上為徑向向內的方向引導處理氣體。在進行膜形成製程期間,基板支撐件706可位於處理位置,該處理位置與處理氣體入口774相鄰並且處於與處理氣體入口774大致相同的高度,從而允許處理氣體沿著流動路徑773向上且到處流動並以層流的方式跨越基板625的上表面。處理氣體經由位在與處理氣體入口774相對的處理腔室700的側上的氣體出口778離開處理氣體區域756(沿著流動路徑775)。可藉由與氣體出口778耦接的真空幫浦780促進經由氣體出口778去除處理氣體。由於處理氣體入口774和氣體出口778彼此對準並且設置在大致上為相同的高度處,因此相信此一平行的排置當與較平坦的上圓頂728結合時能夠實現跨越基板625的大致上平坦的、均勻的氣流。可藉由透過基板支撐件706來旋轉基板625的方式提供進一步的徑向均勻性。
淨化氣體可從淨化氣體源762經由形成在基環736的側壁中的可選擇的淨化氣體入口764(或經由處理氣體入口774)被供應至淨化氣體區域758。淨化氣體入口764設置在處理氣體入口774下方的高度處。如果使用圓形的屏蔽767或預加熱環(未顯示出來),圓形的屏蔽或預加熱環可設置在處理氣體入口774與淨化氣體入口764之間。在任一種情況中,淨化氣體入口764經配置以沿著大致上為徑向向內的方向引導淨化氣體。在進行膜形成製程期間,基板支撐件706可位於一位置以使得淨化氣體沿著流動路徑765向下且到處流動並以層流的方式跨越基板支撐件706的背側704。在不受到任何的特定的理論的束縛的情況下,相信淨化氣體的流動防止或大致上避免處理氣體的流動進入淨化氣體區域758,或減少進入淨化氣體區域758(意即,在基板支撐件706下方的區域)的處理氣體的擴散。淨化氣體離開淨化氣體區域758(沿著流動路徑766)並且經由氣體出口778被排出處理腔室,該氣體出口778位於與淨化氣體入口764相對的處理腔室700的側上。
第7圖是CVD或磊晶沉積腔室800的示意性的剖面圖,其中CVD或磊晶沉積腔室800可為可從加利福尼亞州的聖克拉拉市的應用材料公司獲得的CENTURA® 整合的處理系統的部分。沉積腔室800包含:殼體結構801,該殼體結構是由耐加工的材料(諸如為鋁或不銹鋼(例如,316L不銹鋼))製成。殼體結構801包圍腔室800(例如,石英腔室830)的各種功能元件,該腔室800包括:上腔室805,及下腔室824,其中包含了處理空間818。藉由氣體分配組件850將反應物質提供給石英腔室830,並且藉由出口838從處理空間818去除處理副產物,該出口838通常與真空源(未顯示出來)連通。
基板支撐件817經調試以接收基板625,該基板625被傳送至處理空間818。基板支撐件817沿著腔室800的縱向軸802設置。基板支撐件可由陶瓷材料或塗覆有矽材料(例如,碳化矽)的石墨材料,或其他的耐加工的材料製成。將來自前驅物反應物材料的反應物質施加至基板625的表面816,並且可接續地從表面816去除副產物。可藉由輻射源(例如,上部燈模組810A和下部燈模組810B)來提供基板625和/或處理空間818的加熱。
在一實施例中,上部燈模組810A和下部燈模組810B是紅外線(IR)燈。來自燈模組810A和810B的非熱能或幅射行進通過上石英腔室805的上石英窗口804,並且行進通過下石英腔室824的下石英部分803。用於上石英腔室805的冷卻氣體(如果需要的話)經由入口812進入並且經由出口埠813離開。用於腔室800的前驅物反應物材料以及稀釋劑、淨化氣體和排出氣體經由氣體分配組件850進入和經由出口838離開。雖然上石英窗口804被顯示為彎曲的或凸出的,上石英窗口804可為平面的或凹入的(因為在上石英窗口804的兩側上的壓力為大致上相同(意即,大氣壓力))。
在處理空間818中的低波長幅射(該低波長幅射被使用以激活反應物質並且有助於反應物的吸附和來自基板625的表面816的處理副產物的脫附)通常是在大約0.8µm至大約1.2µm(例如,在大約0.95µm至大約1.05µm之間)的範圍中,其中提供了各種波長的組合(這取決於(例如)磊晶生長的膜的組成)。
成分氣體藉由氣體分配組件850進入處理空間818。氣體從氣體分配組件850流出並且經由埠口838離開(如同一般性地在822處顯示者)。成分氣體(該等成分氣體被使用以清洗/鈍化基板表面,或形成磊晶生長的包含矽和/或鍺的膜)的組合通常是在進入處理空間之前被混合。在處理空間818中的總體壓力可藉由在出口埠838上的閥(未顯示出來)來調整。處理空間818的內表面的至少一部分是由襯裡831覆蓋。在一實施例中,襯裡831包含:不透明的石英材料。利用此方式,腔室壁與在處理空間818中的熱隔離。
在處理空間818中的表面的溫度可藉由冷卻氣體的流動而控制在大約200°C至大約600°C或更高的溫度範圍內,該冷卻氣體經由入口812進入並且經由出口埠813離開,並與來自定位在上石英窗口804的上方的上部燈模組810A的幅射相結合。在下石英腔室824中的溫度可藉由調整未顯示出來的鼓風機單元的速度,並且藉由來自設置在下石英腔室824的下方的下部燈模組810B的幅射而控制在大約200°C至大約600°C或更高的溫度範圍內。在處理空間818中的壓力可在大約0.1Torr至大約600Torr之間(例如:在大約5Torr至大約30Torr之間)。
在基板625的表面816上的溫度可藉由對於在下石英腔室824中的下部燈模組810B進行功率調整,或藉由對於在上石英腔室804上面的上部燈模組810A,及在下石英腔室824中的下部燈模組810B二者進行功率調整來控制。在處理空間818中的功率密度可在大約40W/cm2 至大約400W/cm2 之間(例如,大約80 W/cm2 至大約120W/cm2 之間)。
在一態樣中,氣體分配組件850相對於腔室800或基板625的縱向軸802而垂直於徑向方向806(或者沿著徑向方向806)設置。在此方向上,氣體分配組件850經調試以使得處理氣體跨越基板625的表面816或平行於基板625的表面816並且沿著徑向方向806流動。在一個處理應用中,在引入腔室800的位置處預加熱處理氣體以在引入處理空間818,及/或破壞在氣體中的特定的鍵之前啟始氣體的預加熱。利用此方式,可獨立於基板625的熱溫度改變表面反應動力學。
在操作中,從一或多個氣體源840A和840B提供用以形成Si和SiGe覆蓋層或選擇性膜的前驅物至氣體分配組件850。IR燈856(僅有一個被顯示在第7圖中)可被利用以加熱在氣體分配組件850內的前驅物,以及沿著流動路徑822加熱該前驅物。氣體源840A、840B可以一方式耦接至氣體分配組件850,該方式經配置以促進形成在氣體分配組件850內的引入區域(例如為當從俯視平面圖觀看時的徑向外區和在外區之間的徑向內區)。氣體源840A、840B可包含:用以控制引入區域的速率的閥(未顯示出來)。
氣體源840A、840B可包含:矽前驅物(例如,矽烷(其中包含矽甲烷(SiH4 )、乙矽烷(Si2 H6 )、二氯矽烷(SiH2 Cl2 )、六氯矽乙烷(Si2 Cl6 )、二溴矽烷(SiH2 Br2 )、較高階的矽烷、其衍生物,及其組合))。氣體源840A、840B亦可包含:包含鍺的前驅物(例如,鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、四氯化鍺(GeCl4 )、二氯鍺烷(GeH2 Cl2 )、其衍生物,及其組合)。包含矽和/或鍺的前驅物可以與氯化氫(HCl)、氯氣(Cl2 )、溴化氫(HBr),及其組合進行組合的方式來使用。氣體源840A、840B可包含:在氣體源840A、840B中的一者或二者中的包含矽和鍺的前驅物中的一或多個。
前驅物材料經由在處於此激發狀態的多孔板854中的開口或複數個孔858(僅有一個被顯示在第7圖中)進入處理空間818,該多孔板854在一實施例中是石英材料並且具有穿過其中形成的孔858。多孔板854對於IR能量而言是可通透的,並且可由透明的石英材料製成。在其他的實施例中,多孔板854可為對於IR能量而言是可通透的並且可耐受製程化學和其他的製程化學的任何的材料。被供給能量的前驅物材料經由在多孔板854中的複數個孔858,並且經由複數個通道852(僅有一個被顯示在第7圖中)朝向處理空間818流動。來自IR燈856的一部分的光子和非熱能亦穿過孔858、多孔板854,及通道852,前述者是由設置在氣體分配組件850的內表面上的反射材料和/或表面促進而達成,從而照亮前驅物材料的流動路徑(被顯示為在第7圖中的箭頭822)。利用此方式,從引入點沿著流動路徑至處理空間818可保持著前驅物材料的振動能量。
第8圖根據本揭露的實施示出一示例性的真空處理系統900,該真空處理系統可被使用以完成在第1圖中所示的處理序列100。如同在第8圖中顯示者,複數個處理腔室902a、902b、902c、902d耦接至第一傳送腔室904。處理腔室902a-902d可被使用以執行任何的與基板相關的製程(例如,退火、化學氣相沉積、物理氣相沉積、磊晶製程、蝕刻製程、熱氧化或熱氮化製程、脫氣等等)。在一實施中,處理腔室902a可為膜形成腔室(例如,氣相磊晶沉積腔室(例如,可從加利福尼亞州的聖克拉拉市的應用材料公司獲得的Epi腔室),其能夠形成結晶矽或矽化鍺)。在另一實施中,處理腔室902a可為磊晶沉積腔室(例如,與第5圖相結合來描述的單基板處理反應器600)。在另一個實施中,處理腔室902a可為與第6圖相結合來描述的處理腔室700。在另一個實施中,處理腔室902a可為與第7圖相結合來描述的處理腔室800。
處理腔室902b可為快速的熱處理腔室(RTP)。處理腔室902c是電漿蝕刻腔室或電漿清洗腔室。舉例而言,處理腔室902c可為與第3圖相結合來描述的處理腔室300。處理腔室902d可為脫氣腔室。第一傳送腔室904亦耦接到至少一過渡站(例如,一對直通站906、908)。直通站906、908在允許基板於第一傳送腔室904與第二傳送腔室910之間傳送的同時保持真空條件。第一傳送腔室904具有用於在直通站906、908與處理腔室902a-902d的任何者之間傳送基板的機器人基板處理機構(未顯示出來)。處理腔室902a-902d被顯示為以在第8圖中的特定的順序來配置,但是它們可以任何的期望的順序來配置。
直通站906、908的一端耦接至第二傳送腔室910。因而,第一傳送腔室904和第二傳送腔室910是分開的並且藉由直通站906、908來連接。第二傳送腔室910耦接至第一電漿清洗腔室914,該第一電漿清洗腔室914可為一電漿腔室(例如,經調試以執行在第1圖中找到的製程中的至少一些者的處理腔室,該等製程用於從基板的表面去除氧化物)。在一實施中,第一電漿清洗腔室914是SiconiTM 或SelectraTM 腔室,前述者可從加利福尼亞州的聖克拉拉市的應用材料公司獲得。在另一個實施中,電漿清洗腔室914可為與第2圖相結合來描述的處理腔室300。
在一實施中,至少一個過渡站(例如:直通站906、908中的一者)經配置以作為電漿清洗腔室。可替代性地,電漿清洗腔室可耦接至直通站906、908中的一者,以用於從基板的表面去除污染物。因此,處理系統900可具有第二電漿清洗腔室,該第二電漿清洗腔室是直通站906、908中的一者,或連接至直通站906、908中的一者。在顯示於第8圖中的一實施中,直通站906包含:第二電漿清洗腔室916。第二電漿清洗腔室916可為處理腔室300(第2圖)的一個版本,該處理腔室300經調試以執行在方塊104中找到的製程中的至少一些者,該等製程用於從基板的表面去除污染物。應注意到雖然僅有一個電漿清洗腔室916被顯示為耦接至直通站,在此情況中,直通站906、電漿清洗腔室(例如,處理腔室300的一種版本)可耦接至直通站906和908二者。
第二傳送腔室910亦具有用於在一組裝載閘腔室912與第一電漿清洗腔室914或第二電漿清洗腔室916之間傳送基板的機器人基板處理機構(未顯示出來)。工廠界面920藉由裝載閘腔室912連接至第二傳送腔室910。工廠界面920耦接至在裝載閘腔室912的相對側上的一或多個傳送盒930。傳送盒930通常是可從清洗室(未顯示出來)進出的前開式晶圓傳送盒(FOUP)。
雖然顯示出二個傳送腔室,考慮到傳送腔室中的任何者可被省略。在省略第二傳送腔室910的一實施中,第二電漿清洗腔室916可設置在第一傳送腔室904內或是位在目前被顯示為由直通站906或908佔據的位置處並且耦接至第一傳送腔室904。第一傳送腔室904可耦接至能夠形成結晶矽或矽化鍺的一或多個處理腔室(例如,磊晶腔室(例如,可從加利福尼亞州的聖克拉拉市的應用材料公司獲得的CenturaTM Epi腔室))。可替代性地,第一傳送腔室904可被省略,並且第二電漿清洗腔室916可設置在直通站906內或耦接至直通站906,該直通站906耦接至第二傳送腔室910。在此一情況中,第二傳送腔室910可經配置以被耦接至能夠形成結晶矽或矽化鍺的一或多個處理腔室。
在操作中,基板是位於運輸盒(未顯示出來)中並且從傳送盒930被運送至真空處理系統900,該運輸盒被放置在裝載閘腔室912中的一者內。在第二傳送腔室910內的機器人傳送機構一次一個地將基板從裝載閘腔室912傳送至第一電漿清洗腔室914,其中在第一電漿清洗腔室914中執行清洗製程(例如,在第1圖中找到的製程)以從基板的表面去除氧化物。一旦從基板表面去除氧化物,設置在第二傳送腔室910內的機器人傳送機構將基板從第一電漿清洗腔室914傳送至第二電漿清洗腔室916,其中在第二電漿清洗腔室916中執行還原製程(例如,在方塊104中找到的製程)以去除來自基板表面的污染物(例如,碳或碳氫化合物)。設想到在此的步驟亦可以相反的順序來執行(意即,使用機器人傳送機構將基板從第二電漿清洗腔室916傳送至第一電漿清洗腔室914)。在任一種情況中,清洗的基板然後藉由設置在第一傳送腔室904內的機器人傳送機構從第二電漿清洗腔室916(或第一電漿清洗腔室914)被傳送至一或多個處理腔室902a-902d。一或多個處理腔室902a-902d可包含:磊晶處理腔室,其中在該磊晶處理腔室中執行層形成製程(例如,在方塊106中描述的磊晶沉積)。
在完成一或多個處理腔室902a-902d中的處理之後,設置在第一傳送腔室904內的機器人傳送機構將基板從處理腔室902中的任一個移動至直通站908。然後藉由設置在第二傳送腔室910內的機器人傳送機構將基板從直通站908移除並且將該基板傳送至另一個裝載閘腔室912,其中經由該裝載閘腔室912將該基板從真空處理系統900中取出。
由於所有的三個方塊103、104,及106的製程是在相同的真空處理系統900內執行,當基板在各個腔室間傳送時,真空不會被破壞,前述者降低了污染的機會並且改善了沉積的磊晶膜的品質。應理解到在此描述了基板的移動以達到示例說明的目的。控制器(未顯示出來)可被使用以根據期望的排序製程(該排序製程可根據應用來改變)來安排基板通過真空處理系統900的移動。
本揭露的益處包含:改進的真空處理系統,該真空處理系統在相同的真空處理系統上將二種不同類型的預清洗處理腔室與磊晶處理腔室整合。預清洗處理腔室可包含:第一電漿清洗處理腔室和第二電漿清洗處理腔室。在相同的真空處理系統上的二種類型的表面材料去除腔室的共同存在允許基板在表面製備與磊晶沉積之間保持真空,前述者減少了基板暴露於周圍環境的時間並且消除了在單獨的處理腔室或系統上準備基板的需要。此架構亦將在真空系統上的處理腔室的數目最大化(因為在二個傳送腔室之間的直通站亦擔任預清洗處理腔室的角色,前述者亦減少了基板的總體處理時間)。
可以在第2圖的處理腔室300中執行第1圖的製程的一示例。氬氣被輸送通過遠端電漿源350、在氬氣中的25%的HF的第一混合物被輸送通過入口356,及在氬氣中的25%的NF3的第二混合物被輸送通過入口356或第二入口。藉由向以2sLm流動的氬氣施加500W的微波或RF功率來形成遠端電漿。第一混合物以500sccm流過入口356,且第二混合物以500sccm流過第二入口。藉由輸送溫度控制流體而通過通道544(在第4圖中顯示者),將基板保持在攝氏30度的溫度。加熱元件520可被供電以提供溫度控制。將腔室保持在10Torr的壓力下,並且對於基板進行處理而達到適合用於將在基板表面上的所有的需要的氧氣轉換成可昇華的固體的時間(例如,300秒)。然後移動基板而靠近氣體分配板326,該氣體分配板326被加熱至大約攝氏300度以提供對於基板表面的加熱。將基板保持為靠近從氣體分配板326處幅射出的熱達到1-5分鐘以使得形成在基板表面上的固體昇華,而留下無氧氣的表面。然後可以可選擇地在惰性氣氛下對於基板進行熱處理以從氧化物去除製程中去除任何的殘留物質(例如,含氟的物質)。熱處理可包含:將基板設置在熱處理腔室中並且對於在腔室中的熱處理設備提供能量以加熱基板至大約攝氏300度的溫度且達到大約1分鐘。
可在第2圖的處理腔室300中執行第1圖的製程104的一示例。以2sLm輸送氫氣而通過遠端電漿350,其中該氫氣被以500W的微波或RF功率供電。藉由加熱基板支撐件314將基板保持在大約攝氏500度的溫度,並且將處理區域330保持在大約1Torr的壓力下。在此些條件下對於基板進行處理達到適合用於去除所有想要的污染物或外來物質和以氫來封端基板的表面的時間(例如,大約1分鐘)。然後可以可選擇地在惰性氣氛下藉由經由管道360供應惰性氣體(例如,氬氣)且同時中斷在遠端電漿源350中的電漿功率來進一步地對於基板進行熱處理或退火。可將基板保持在攝氏600度的退火溫度。可替代性地,基板可被移動至退火腔室(該退火腔室可(例如)耦接至與第8圖相結合來描述的處理系統900),並且在較高的溫度(例如,攝氏800-1000度)下進行退火或熱處理,以去除任何的殘留的表面缺陷而留下均勻純淨的並且有良好次序的表面晶體結構,其中該表面晶體結構具有最小的缺陷和雜質。
雖然前述者是關於本揭露的實施,可設計本揭露的其他的和進一步的實施,而不偏離其基本範疇。
100‧‧‧處理序列
103‧‧‧方塊
104‧‧‧方塊
106‧‧‧方塊
300‧‧‧處理腔室
303‧‧‧突出部分
308‧‧‧基板
310‧‧‧腔室主體
314‧‧‧基板支撐件
315‧‧‧開口
316‧‧‧外殼
317‧‧‧幫浦
318‧‧‧處理套件外殼
324‧‧‧頂部襯裡
325‧‧‧底部襯裡
326‧‧‧氣體分配板
327‧‧‧孔
329‧‧‧屏蔽部分
330‧‧‧處理區域
331‧‧‧內表面
335‧‧‧加熱元件
337‧‧‧驅動單元
338‧‧‧聚焦環
340‧‧‧腔室蓋
341‧‧‧中心軸
342‧‧‧埠口
344‧‧‧襯板
348‧‧‧氣室
350‧‧‧遠端電漿源
352‧‧‧第一磁鐵
354‧‧‧第二磁鐵
356‧‧‧入口
360‧‧‧管道
361‧‧‧前級管道
363‧‧‧節流閥
370‧‧‧內表面
400‧‧‧基板支撐件
402‧‧‧支撐主體
404‧‧‧軸
406‧‧‧波紋管
408‧‧‧基板支撐表面
410‧‧‧接觸點
412‧‧‧中心點
414‧‧‧切口
416‧‧‧驅動單元
500‧‧‧腔室
510‧‧‧孔洞
512‧‧‧中心開口
518‧‧‧側壁
520‧‧‧加熱元件
528‧‧‧電力線路
530‧‧‧通道
532‧‧‧加熱電源
534‧‧‧熱電偶
535‧‧‧溫度控制器
536‧‧‧電源
540‧‧‧圓盤
542‧‧‧電源
544‧‧‧通道
546‧‧‧外區
548‧‧‧內區
550‧‧‧淨化氣體入口
552‧‧‧出口
554‧‧‧邊緣環
600‧‧‧反應器
605‧‧‧處理腔室
610‧‧‧具有細長的管型的幅射加熱元件
612‧‧‧箭頭
615‧‧‧具有細長的管型的幅射加熱元件
620‧‧‧聚光燈
625‧‧‧基板
630‧‧‧基板支撐結構
632‧‧‧基板保持器
634‧‧‧支撐支架
636‧‧‧軸
638‧‧‧管
640‧‧‧中央的熱電偶
645‧‧‧前熱電偶
650‧‧‧後熱電偶
652‧‧‧滑環
654‧‧‧支撐構件
656‧‧‧前腔室分隔器
658‧‧‧後腔室分隔器
660‧‧‧入口
664‧‧‧出口
665‧‧‧入口元件
667‧‧‧在水平方向上伸長的槽
668‧‧‧入口
670‧‧‧出口元件
672‧‧‧排氣口
674‧‧‧排氣管道
676‧‧‧激發物質源
678‧‧‧氣體管線
680‧‧‧氣體源
682‧‧‧氣體源
684‧‧‧分支線
700‧‧‧背側加熱處理腔室
702‧‧‧燈
705‧‧‧舉升銷
706‧‧‧基板支撐件
714‧‧‧下圓頂
718‧‧‧光學高溫計
722‧‧‧反射器
726‧‧‧通道
728‧‧‧上圓頂
730‧‧‧夾持環
732‧‧‧中心軸
734‧‧‧垂直方向
736‧‧‧基環
745‧‧‧燈頭
749‧‧‧通道
756‧‧‧處理氣體區域
758‧‧‧淨化氣體區域
762‧‧‧淨化氣體源
763‧‧‧襯裡組件
764‧‧‧淨化氣體入口
765‧‧‧流動路徑
766‧‧‧流動路徑
767‧‧‧屏蔽
772‧‧‧處理氣體供應源
773‧‧‧流動路徑
774‧‧‧處理氣體入口
775‧‧‧流動路徑
778‧‧‧氣體出口
780‧‧‧真空幫浦
800‧‧‧磊晶沉積腔室
801‧‧‧殼體結構
802‧‧‧縱向軸
803‧‧‧下石英部分
804‧‧‧上石英窗口
805‧‧‧上石英腔室
806‧‧‧徑向方向
810A‧‧‧上部燈模組
810B‧‧‧下部燈模組
812‧‧‧入口
813‧‧‧出口埠
816‧‧‧表面
817‧‧‧基板支撐件
818‧‧‧處理空間
822‧‧‧箭頭
824‧‧‧下石英腔室
830‧‧‧石英腔室
831‧‧‧襯裡
838‧‧‧出口
840A‧‧‧氣體源
840B‧‧‧氣體源
850‧‧‧氣體分配組件
852‧‧‧通道
854‧‧‧多孔板
856‧‧‧燈
858‧‧‧孔
900‧‧‧真空處理系統
902a‧‧‧處理腔室
902b‧‧‧處理腔室
902c‧‧‧處理腔室
902d‧‧‧處理腔室
904‧‧‧腔室
906‧‧‧直通站
908‧‧‧直通站
910‧‧‧腔室
912‧‧‧裝載閘腔室
914‧‧‧第一電漿清洗腔室
916‧‧‧第二電漿清洗腔室
920‧‧‧工廠界面
930‧‧‧傳送盒
本揭露的實施(在前文中簡短地概括者和在後文中更為詳細地討論者)可藉由參照描繪於隨附的圖式中的本揭露的示例說明性的實施來理解。然而,應注意到隨附的圖式僅示例說明此揭露的典型的實施,因而不被認為是對其範疇作出限制(因為本揭露可容許其他的同等有效的實施)
第1圖圖示根據本揭露的一實施的一處理序列。
第2圖是根據本揭露的一實施的使用以執行第1圖的還原過程的清洗腔室的剖面圖。
第3圖是可被設置在基板處理腔室(例如:具有遠端電漿源的處理腔室)內的基板支撐件的透視圖。
第4圖是第3圖的基板支撐件的一部分的剖面圖。
第5圖圖示用於執行磊晶沉積製程的單基板化學氣相沉積(CVD)反應器。
第6圖圖示用於執行磊晶沉積製程的背側加熱處理腔室的示意性的剖面圖。
第7圖是用於執行磊晶沉積製程的CVD腔室的示意性的剖面圖。
第8圖圖示用於執行如同在此描述的清洗和沉積製程的示例性的真空處理系統。
為了要促進理解,在可能的情況中已經使用相同的元件符號以指定給圖式共用的相同的元件。圖式並未按照比例來繪示且可被簡化以達成清楚性。考慮到一實施的元件和特徵可被有利地併入其他的實施中,而無需進一步的詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種真空處理系統,包含: 一第一傳送腔室,該第一傳送腔室耦接到至少一膜形成腔室;一第二傳送腔室;一電漿污染物去除腔室,該電漿污染物去除腔室耦接至該第一傳送腔室或該第二傳送腔室,該電漿污染物去除腔室具有一加熱的基板支撐件,該基板支撐件進行操作以將設置在其上的一基板加熱至攝氏650度的一溫度;及一裝載閘腔室,該裝載閘腔室耦接至該第二傳送腔室。
  2. 如請求項1所述之真空處理系統,其中該電漿污染物去除腔室包含:一遠端電漿源,該遠端電漿源耦接至腔室的一蓋。
  3. 如請求項1所述之真空處理系統,其中該膜形成腔室是一磊晶腔室。
  4. 如請求項3所述之真空處理系統,其中該電漿污染物去除腔室是一氫電漿處理腔室。
  5. 如請求項4所述之真空處理系統,進一步包含:一退火腔室。
  6. 如請求項3所述之真空處理系統,其中該膜形成腔室在平面圖中具有一矩形框的形狀。
  7. 一種處理一基板的方法,包含以下步驟: 藉由一製程從該基板去除污染物,該製程包含:在至少大約攝氏400度的溫度下將該基板暴露於氫自由基;及 藉由一磊晶製程在該基板上形成一膜。
  8. 如請求項7所述之方法,進一步包含以下步驟:藉由將該基板設置在一熱處理腔室中、在該熱處理腔室內建立一氫氣氛,以及將該基板加熱至攝氏800度至攝氏1000度的一溫度在該基板上執行一熱處理製程。
  9. 如請求項8所述之方法,其中該熱處理製程是在與氫自由基暴露製程相同的腔室中執行。
  10. 如請求項7所述之方法,其中從該基板去除污染物的步驟包含以下步驟:使用一加熱的基板支撐件以將該基板加熱至攝氏500度至攝氏650度的一溫度。
  11. 如請求項10所述之方法,其中將該基板暴露於氫自由基的步驟包含以下步驟:從一包含氫的氣體形成一電漿和使用一磁場從該電漿中去除離子。
  12. 如請求項7所述之方法,其中將該基板暴露於氫自由基的步驟包含以下步驟: 將在一處理腔室中的該基板設置在具有一加熱器的一基板支撐件上; 將該基板加熱到至少攝氏400度的溫度; 從一包含氫的氣體形成一電漿; 使用一磁場從該電漿中去除離子以形成一氫自由基氣體; 使得該氫自由基氣體流入該處理腔室;及 將該基板暴露於該氫自由基氣體。
  13. 如請求項12所述之方法,進一步包含以下步驟:對該基板進行退火。
  14. 一種真空處理設備,包含: 一第一傳送腔室,該第一傳送腔室耦接到至少一氣相磊晶腔室; 一第二傳送腔室,該第二傳送腔室藉由一或多個直通站耦接至該第一傳送腔室; 一電漿污染物去除腔室,該電漿污染物去除腔室耦接至該第一傳送腔室或該第二傳送腔室,該電漿污染物去除腔室包含: 一遠端電漿源; 一磁離子過濾器;及 一基板支撐件,該基板支撐件進行操作以將設置於其上的一基板加熱至在攝氏400度與攝氏650度之間的一溫度;及 一裝載閘腔室,該裝載閘腔室耦接至該第二傳送腔室。
  15. 如請求項14所述之真空處理設備,其中該至少一氣相磊晶腔室在平面圖中具有一矩形框的形狀。
  16. 如請求項14所述之真空處理設備,其中該至少一氣相磊晶腔室是一磊晶腔室。
  17. 如請求項14所述之真空處理設備,其中該遠端電漿源耦接至該腔室的一蓋。
  18. 如請求項14所述之真空處理設備,其中該至少一氣相磊晶腔室包含:複數個具有細長的管型的幅射加熱元件。
  19. 如請求項18所述之真空處理設備,其中該至少一氣相磊晶腔室包含:複數個聚光燈。
  20. 如請求項14所述之真空處理設備,其中該至少一氣相磊晶腔室包含:複數個聚光燈。
TW107130332A 2017-08-30 2018-08-30 處理基板的方法及真空處理系統與設備 TWI687966B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762552118P 2017-08-30 2017-08-30
US62/552,118 2017-08-30

Publications (2)

Publication Number Publication Date
TW201921419A true TW201921419A (zh) 2019-06-01
TWI687966B TWI687966B (zh) 2020-03-11

Family

ID=65436821

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130332A TWI687966B (zh) 2017-08-30 2018-08-30 處理基板的方法及真空處理系統與設備

Country Status (6)

Country Link
US (1) US20190062904A1 (zh)
JP (1) JP2020532114A (zh)
KR (1) KR20200035186A (zh)
CN (1) CN111033680A (zh)
TW (1) TWI687966B (zh)
WO (1) WO2019046453A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822354B (zh) * 2021-12-03 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 真空鎖系統、半導體處理設備和基片傳輸方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US11538716B2 (en) * 2019-03-22 2022-12-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR20200141931A (ko) * 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
KR20210156219A (ko) * 2020-06-16 2021-12-24 에이에스엠 아이피 홀딩 비.브이. 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법
US11359972B2 (en) 2020-09-15 2022-06-14 Applied Materials, Inc. Temperature calibration with band gap absorption method
CN114551203A (zh) * 2020-11-25 2022-05-27 李喜张 直线运动密封装置及使用其的半导体基板处理装置
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
WO2022169561A1 (en) * 2021-02-05 2022-08-11 Applied Materials, Inc. Apparatus, methods, and systems of using hydrogen radicals for thermal annealing
US20220375727A1 (en) * 2021-05-19 2022-11-24 Applied Materials, Inc. Method to improve wafer edge uniformity
KR20230001280A (ko) * 2021-06-28 2023-01-04 주식회사 원익아이피에스 챔버내부처리방법 및 기판처리방법
DE102022002762A1 (de) * 2022-07-29 2024-02-01 centrotherm international AG Reinigungsverfahren zum Reinigen eines Hochtemperaturofens
CN116031158B (zh) * 2023-03-29 2023-06-16 长鑫存储技术有限公司 金属氧化物层的去除方法及半导体结构的制备方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH09157839A (ja) * 1995-12-04 1997-06-17 Hitachi Ltd 薄膜形成装置
JP3319397B2 (ja) * 1998-07-07 2002-08-26 信越半導体株式会社 半導体製造装置およびこれを用いたエピタキシャルウェーハの製造方法
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP4947445B2 (ja) * 2009-04-21 2012-06-06 株式会社Sumco シリコン半導体基板の製造方法
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US9885123B2 (en) * 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
CN105453233B (zh) * 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
TWI638383B (zh) * 2015-07-15 2018-10-11 美商原備有限公司 處理工件表面的方法
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822354B (zh) * 2021-12-03 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 真空鎖系統、半導體處理設備和基片傳輸方法

Also Published As

Publication number Publication date
US20190062904A1 (en) 2019-02-28
CN111033680A (zh) 2020-04-17
WO2019046453A1 (en) 2019-03-07
JP2020532114A (ja) 2020-11-05
KR20200035186A (ko) 2020-04-01
TWI687966B (zh) 2020-03-11

Similar Documents

Publication Publication Date Title
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
KR20140031907A (ko) 기판 상에 재료들을 증착하기 위한 장치
WO2022103626A1 (en) Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
JP2000306856A (ja) 半導体製造装置
TW202331789A (zh) 整合式磊晶與預清潔系統