TW201916124A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201916124A
TW201916124A TW106139899A TW106139899A TW201916124A TW 201916124 A TW201916124 A TW 201916124A TW 106139899 A TW106139899 A TW 106139899A TW 106139899 A TW106139899 A TW 106139899A TW 201916124 A TW201916124 A TW 201916124A
Authority
TW
Taiwan
Prior art keywords
layer
oxide
metal
self
gate
Prior art date
Application number
TW106139899A
Other languages
English (en)
Inventor
黃如立
江志隆
莊英良
葉明熙
黃國彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916124A publication Critical patent/TW201916124A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • H01L21/0229Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating liquid atomic layer deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2

Abstract

本發明實施例提供濕製程為主的方法形成自組裝單層於特定的電晶體上,以調整高介電常數介電物-金屬閘極的臨界電壓。在一實施例中,方法包括形成閘極結構於基板上,且閘極結構包含閘極介電層、阻障層形成於閘極介電層上、以及氧化物層形成於阻障層上。上述方法亦將氧化物層暴露至水溶液以形成自組裝單層於氧化物層上,且水溶液包含金屬氧化物於溶解金屬的酸中。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於具有鰭狀場效電晶體的半導體裝置。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路均比前一代具有更小且更複雜的電路。在積體電路的演進中,功能密度(單位晶片面積所具有的內連線裝置數目)通常隨著幾何尺寸(如最小構件或線路)減少而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。上述尺寸縮小亦增加積體電路之製程複雜度。
舉例來說,製作場效電晶體如鰭狀場效電晶體時,可採用金屬閘極取代習知的多晶矽閘極以改善裝置效能。形成金屬閘極堆疊的製程之一稱作置換閘極或閘極後製製程,其最後製作的閘極堆疊可減少形成閘極後的後續製程(如高溫製程)的數目。金屬閘極通常包含閘極介電層、功函數金屬層、與金屬閘極。功函數金屬層可採用不同材料以用於不同種類的電晶體(如p型鰭狀場效電晶體或n型鰭狀場效電晶體),可微調電晶體的臨界電壓,並依需求增進裝置的電性效能。然而,功函數金屬層的沉積面臨挑戰,特別是在進階與更進階的製程節點中,縮小的積體電路結構與複雜表面形貌等部份。挑戰之一為在小閘極長度中沉積功函數金屬時,需要沉積/圖案 化多重硬遮罩、蝕刻金屬、移除硬遮罩、與後清潔製程以操作n型金氧半或p型金氧半裝置的臨界電壓,這讓製程複雜化且成本提高。同時需沉積的功函數金屬厚度也受限,因為進階製程節點的關鍵尺寸較小。此外,金屬圖案化製程可能損傷金屬閘極與閘極介電層之間的金屬阻障層。如此一來,金屬材料可能侵入閘極介電層,造成裝置缺陷。
本發明一實施例提供之半導體裝置的形成方法,包括:形成閘極結構於基板上,閘極結構包括閘極介電層、阻障層形成於閘極介電層上、以及氧化物層形成於阻障層上;以及將氧化物層暴露至水溶液,以形成自組裝單層於氧化物層上,其中水溶液包含金屬氧化物於溶解金屬的酸中。
10‧‧‧方法
12、14、16、18、20、22、24、26、28、30‧‧‧步驟
100‧‧‧裝置
100a、100b‧‧‧裝置區
101a、101b‧‧‧閘極結構
102‧‧‧基板
104a、104b‧‧‧鰭狀結構
106‧‧‧隔離結構
108a、108b‧‧‧源極/汲極結構
110‧‧‧虛置閘極堆疊
112‧‧‧間隔物結構
114‧‧‧層間介電層
116a、116b‧‧‧溝槽
117、121‧‧‧遮罩單元
120‧‧‧界面層
122‧‧‧閘極介電層
123、125、139‧‧‧自組裝單層
124‧‧‧阻障層
126‧‧‧氧化物層
136‧‧‧閘極材料
137‧‧‧上表面
128‧‧‧功函數金屬層
第1圖係本發明多種實施例中,製作半導體裝置的例示性方法其流程圖。
第2至14圖係依據第1圖之流程圖形成之部份半導體,於多種製作階段中的圖式。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號及/或符號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置 之間具有相同標號及/或符號的單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
本發明實施例的目的為提供濕式製程為主的方法,調整採用自組裝單層於特定電晶體上的高介電常數介電物-金屬閘極之臨界電壓。
第1圖係本發明多種實施例中,製作半導體裝置的例示性方法10其流程圖。方法10僅用以舉例,並非侷限本發明至申請專利範圍未實際限縮的範疇。在方法10之前、之中、或之後可進行額外步驟,且方法的額外實施例可置換、省略、或掉換一些下述步驟。下述的方法10搭配第2至13圖說明,其顯示多種製程階段中部份的裝置10。裝置10可為積體電路製程中製作的中間裝置或其部份,其可包含隨機存取記憶體及/或其他邏輯電路;被動構件如電阻、電容、或電感;或主動構件如p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、其他記憶體、或上述之組合。
第1圖之方法10的步驟12提供基板102,其具有多種結構形成其中及/或其上。如第2圖所示,裝置100包含基板 102,與基板102上的隔離結構106。隔離結構106可將裝置10分隔成多種裝置區。在圖示的例子中,裝置100包含n型場效電晶體的裝置區100a與p型場效電晶體的裝置區100b。在此實施例中,裝置100包含鰭狀場效電晶體,且基板102包含自隔離結構106之間向上凸起的兩個鰭狀結構104a與104b。鰭狀結構104a與104b分別位於裝置區100a與100b中。
第2至13圖係沿著個別鰭狀結構104a或104b的鰭狀物長度方向之部份裝置100的剖視圖。在多種實施例中,裝置區100a與100b為連續或不連續。應理解的是,本發明並不限於任何特定數目的裝置或裝置區,或任何特定裝置設置。
裝置100亦包含閘極結構101a與101b,其各自位於裝置區100a與100b中。閘極結構101a與101b各自包含虛置閘極堆疊110,與虛置閘極堆疊110的側壁上的間隔物結構112。閘極結構101a與101b各自接合或鄰接部份的鰭狀結構104a與104b。裝置100更包含源極/汲極區108a與108b於鰭狀結構104a與104b上,且各自與閘極結構101a與101b的相反兩側相鄰或位於其上。裝置100亦包含層間介電層114以圍繞閘極結構101a與101b。裝置100的多種前述結構將進一步說明如下。
在此實施例中,基板102為矽基板。在其他實施例中,基板102可包含另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。在又一實施例中,基板102為絕緣層上半導體,比如埋置介電層。
在此實施例中,鰭狀結構104a適於形成n型鰭狀場效電晶體,且鰭狀結構104b適於形成p型鰭狀場效電晶體。此設置用於說明而非侷限本發明。鰭狀結構104a與104b的製作方法可採用合適製程,其包含光微影與蝕刻製程。光微影製程可包含形成光阻層於基板102上、以圖案曝光光阻層、進行曝光後烘烤製程、以及顯影光阻以形成包含光阻的遮罩單元。接著將遮罩單元用於蝕刻凹陷至基板102中,以保留鰭狀結構104a與104b於基板102上。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。在其他實施例中,鰭狀結構104a與104b的形成方法可採用芯間隔物的雙重圖案化微影製程。
隔離結構106之組成可為氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數介電材料、及/或其他合適的絕緣材料。隔離結構106可為淺溝槽隔離結構。在一實施例中,隔離結構106的形成方法可為蝕刻溝槽於基板102中,比如鰭狀結構104a與104b之形成製程的一部份。接著可將隔離材料填入溝槽,接著進行平坦化製程如化學機械研磨。其他可能的隔離結構包括場氧化物、局部氧化矽、及/或其他合適結構。舉例來說,隔離結構106可包含多層結構,其可具有一或多層的熱氧化物襯墊層。
在此實施例中,虛置閘極堆疊110接合至鰭狀結構104a與104b的兩側或三側上。用語「虛置」指的是在閘極後製的製程中,後續階段將移除閘極堆疊,並置換成「實際」的閘極堆疊如高介電常數介電物-金屬閘極。虛置閘極堆疊110可包 含一或多個材料層,比如氧化物層、多晶矽層、硬遮罩層、蓋層、與其他合適層狀物。虛置閘極堆疊110中的多種層狀物可由合適的沉積技術形成。舉例來說,氧化物層的形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。舉例來說,多晶矽層的形成方法可為合適的沉積製程,比如低壓化學氣相沉積或電漿增強化學氣相沉積。在一實施例中,虛置閘極堆疊110可先沉積如毯覆層。接著以光微影製程與蝕刻製程圖案化毯覆層,其移除部份的毯覆層並保留部份的毯覆層於隔離結構106與鰭狀結構104a與104b上,以作為虛置閘極堆疊110。
間隔物結構112形成於虛置閘極堆疊110的側壁上。間隔物結構112包含的材料不同於虛置閘極堆疊110的材料。在一實施例中,間隔物結構112包含介電材料如氮化矽、氮氧化矽、碳化矽、碳矽、氧化矽、矽氫、或其他可用材料。在一例中,間隔物結構112包含多層,比如與虛置閘極結構110相鄰的密封層,以及與密封層相鄰的主要間隔物層。在一實施例中,在形成虛置閘極堆疊110之後,可沉積間隔物材料於裝置100上,以形成一或多層的間隔物層。接著進行非等向蝕刻製程,以移除部份的間隔物層並形成第2圖所示的間隔物結構112。
源極/汲極區108a與108b可包含源極/汲極結構且可多種技術形成,比如蝕刻製程之後進行一或多道磊晶製程。在一例中,進行一或多道蝕刻製程以移除部份的鰭狀結構104a與104b,可形成凹陷於其中。可採用氟化氫溶液或其他合適溶 液進行清潔製程以清潔凹陷。接著進一或多道磊晶成長製程,以成長源極/汲極結構於凹陷中。雖然未圖示,但可設想源極/汲極結構填入凹陷之後,可向外擴展出凹陷並形成晶面。晶面可形成為多種輪廓,比如在沿著個別鰭狀結構104a與104b的鰭狀物寬度方向具有鑽石形狀的剖面輪廓,其來自於在基板的不同平面或表面上的成長速率差異。源極/汲極結構的材料可包含但不限於鍺、矽、砷化鎵、砷化鋁鎵、矽鍺、磷砷化鎵、銻化鎵、銻化銦、砷化銦鎵、砷化銦、或上述之組合。源極/汲極結構可摻雜p型摻質以形成p型鰭狀場效電晶體,或摻雜n型摻質以形成n型鰭狀場效電晶體。源極/汲極結構的形成方法可為磊晶成長製持,比如化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。
層間介電層114形成於基板102上。在實施例中,裝置100更包括接點蝕刻停止層(未圖示)於層間介電層114下。接點蝕刻停止層之組成可為氮化矽、氧化矽、氮氧化矽、碳化矽、氮化碳矽、氮化硼、氮化硼矽、氮化硼碳矽、上述之組合、及/或其他可行材料。在一實施例中,接點蝕刻停止層為氮化矽。接點蝕刻停止層的形成方法可採用任何合適技術,比如化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、或旋轉塗佈製程等等。
層間介電層114可包含的材料包括四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、摻雜硼的矽酸鹽玻璃、及/或其他合適的介電材料。層間介電層114的沉積方 法可為電漿增強化學氣相沉積製程或其他合適的沉積技術。在一實施例中,層間介電層的形成方法為可流動的化學氣相沉積製程。可流動的化學氣相沉積製程包含沉積可流動的材料(如液態化合物)至基板102上以填入溝槽,再以適當技術如回火以將可流動的材料轉變為固態材料。在多種沉積製程後,可進行平坦化製程如化學機械研磨,以平坦化層間介電層114的上表面,並露出虛置閘極堆疊110的上表面以進行後續製程步驟。
在步驟14中,移除虛置閘極堆疊110。如第3圖所示,此步驟分別形成溝槽116a與116b於閘極結構101a與101b中,並露出鰭狀結構104a與104b。前述結構如間隔物結構112與層間介電層114圍繞溝槽116a與116b。在一實施例中,步驟14包含一或多道蝕刻製程,其經選擇性地調整後可移除虛置閘極堆疊110(見第2圖),並實質上表留間隔物結構112與層間介電層114。蝕刻製程可包含合適的濕蝕刻、乾(電漿)蝕刻、及/或其他製程。舉例來說,乾蝕刻製程可採用含氯氣體、含氟氣體、其他蝕刻氣體、或上述之組合。濕蝕刻溶液可包含氫氧化銨、氟化氫或稀釋氟化氫、去離子水、氫氧化四甲基銨、其他合適的濕蝕刻溶液、或上述之組合。
在步驟16中,形成閘極介電層122於溝槽116a與116b中。閘極介電層122順應性地形成於溝槽116a與116b的露出表面上。如第4圖所示,方法10可視情況形成界面層120於閘極介電層122下方的溝槽116a與116b其底部。舉例來說,界面層120可包含介電材料如氧化矽、氮氧化矽、或其他合適的介電物,且其形成方法可為化學氧化、熱氧化、原子層沉積、化 學氣相沉積、及/或其他合適方法。在此實施例中,閘極介電層122包含高介電常數介電材料如氧化鉿、氧化鋁、氧化鑭、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鉿-氧化鋁合金、上述之組合、或其他合適材料。閘極介電層122的形成方法可為原子層沉積及/或其他合適方法,比如化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、或電漿增強化學氣相沉積。閘極介電層122的厚度可介於約5Å至約20Å之間。界面層120的厚度可介於約5Å至約20Å之間。然而閘極介電層122與界面層120可具有其他合適厚度,並可調整以分別用於p型場效電晶體裝置與n型場效電晶體裝置。
在步驟18中,形成阻障層124於溝槽116a與116b中。如第5圖所示,阻障層124亦稱作金屬阻障層或金屬阻擋層。阻障層124形成於閘極介電層122上,其目的在於保護閘極介電層122免於後續製程中導入金屬雜質的可能。舉例來說,此實施例的閘極結構110a與110b將包括一或多個功函數金屬層。若無阻障層124,功函數金屬層的金屬材料可能擴散至閘極介電層122,導致製程缺陷。在多種實施例中,阻障層124包含金屬元素。在此實施例中,阻障層124包含氮化鉭。在另一實施例中,阻障層124包含氮化鈦。在又一實施例中,阻障層124包含氮化鈮。多種其他材料亦適用。在一實施例中。阻障層124的形成方法為原子層沉積、物理氣相沉積、化學氣相沉積、或其他合適方法。阻障層124的厚度可介於約5Å至約20Å之間。然而阻障層124可具有其他合適厚度,並可調整以分別 用於p型場效電晶體裝置與n型場效電晶體裝置。
在步驟20中,形成氧化物層126於阻障層124上。氧化物層126可提供額外保護至閘極介電層122,其可避免後續階段使用的蝕刻劑蝕刻穿過阻障層124。此外,氧化物層126亦可作為自組裝單層所用的結合層,且自組裝單層將形成於閘極結構101a或101b中以調整膜的電性,其詳述如下。如第6圖所示,氧化物層126形成於溝槽116a與116b中,並覆蓋阻障層124的露出表面。氧化物層126的形成方法可為多種製程。在一實施例中,氧化物層126的形成方法可為以氧氣流處理阻障層124。在一些實施例中,阻障層124與氧化物層126包含共同的金屬元素。在一例中,金屬元素為過渡金屬。在一實施例中,阻障層124包含氮化鉭,且氧化物層126包含氧化鉭。在另一實施例中,阻障層124包含氮化鈦,且氧化物層126包含氧化鈦。在又一實施例中,阻障層124包含氮化鈮,且氧化物層126包含氧化鈮。在一實施例中,可在乾蝕刻工具中對阻障層124進行氧處理。在其他實施例中,可在乾灰化工具中進行氧處理。在一實施例中,氧處理的壓力為約1.5mTorr、溫度介於約30至60之間,氧流速介於約1mL/min至100mL/min之間(如約30mL/min),且歷時約5秒至約30秒之間。氧化物層126的厚度可介於約5Å至約20Å之間。然而氧化物層126可具有其他合適厚度,並可調整以分別用於p型場效電晶體裝置與n型場效電晶體裝置。
在其他實施例中,氧化物層126的形成方法可為一或多道的沉積製程。在此例中,阻障層124與氧化物層126可包 含相同或不同的金屬元素。在一例中,金屬元素為過渡金屬。舉例來說,在沉積氧化鉭層於阻障層124上的製程中,壓力可介於約1Torr至約100Torr之間,溫度可介於約250℃至約400℃之間,前驅物可為四乙氧基二甲基胺基乙氧化鉭,且載氣可為氬。前驅物氣體的流速可設地為約20標準立方公分/分鐘。沉積氧化鈦或氧化鈮的方法,與其作為氧化物層126時的沉積方法類似。氧化物層126的形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法如低壓化學氣相沉積或電漿增強化學氣相沉積。
在步驟22中,自裝置區100a中的n型場效電晶體之溝槽116a移除氧化物層126。自溝槽116a移除氧化物層126的方法,可採用遮罩單元117覆蓋裝置區100b,並將裝置區100a暴露至蝕刻製程,如第7圖所示。遮罩單元117超填溝槽116b並完全覆蓋裝置區100b的露出表面。在一實施例中,遮罩單元117包含以光微影製程圖案化的光阻圖案,且更進一步包含光阻的下方層如底抗反射塗層。光微影製程可包含形成光阻層於基板上,以圖案曝光光阻層,進行曝光後烘烤製程,以及顯影光阻以移除裝置區100b上的部份光阻,即形成遮罩單元117。
蝕刻製程可採用蝕刻劑,自裝置區100a中的n型場效電晶體之溝槽116a移除氧化物層126。在一實施例中,蝕刻劑包含氟化氫。氧化物層126如氧化鉭的移除方法,可為將基板102浸入氟化氫與水的混合溶液中。氟化氫的濃度可介於約0.2體積%至約1體積%,比如約0.5體積%。在另一實施例中,蝕刻劑可包含氟化氫與氯化氫。氯化氫可用以調整溶液的pH 值至1到4之間,比如2。在此例中,氯化氫水溶液中的氯化氫用量可介於溶液的約0.5wt%至10wt%之間。不論如何,蝕刻溫度可介於約室溫(23℃)至約40℃之間。蝕刻劑的混合溶液其pH值可低於或等於約4,比如約1至約2之間。
可以設想的是,同樣可進行步驟22以自裝置區100b中的p型場效電晶體其溝槽116b移除氧化物層126。在此例中,自溝槽116b移除氧化物層126的方法可為暴露裝置區100b至蝕刻製程(如蝕刻劑),並以遮罩單元(如遮罩單元117)覆蓋裝置區100a,如前述第7圖所示。
自裝置區100a中的n型場效電晶體之溝槽116a移除氧化物層126之後,自裝置區100b移除遮罩單元117,如第8圖所示。遮罩單元117的移除方法可採用合適製程,比如光阻剝除或灰化。
在步驟24中,形成遮罩單元121以覆蓋裝置區100a,如第9圖所示。遮罩單元121過填溝槽116a並完全覆蓋裝置區100a的露出表面。與遮罩單元117類似,遮罩單元121包含以光微影製程圖案化的圖案化光阻,且可進一步包含光阻的下方層如底抗反射塗層。光微影製程可包含形成光阻層於基膽102上、以圖案曝光光阻層、進行曝光後烘烤製程、以及顯影光阻層以移除裝置區100a上的光阻,並形成遮罩單元121。
在步驟26中,進行沉積製程以用於裝置區100b中的p型場效電晶體。此沉積製程採用自組裝沉積製程,以形成一或多層的自組裝單層123於裝置區100a中的p型場效電晶體其溝槽116b中的氧化物層126上,如第10圖所示。當自組裝單 層123可調整金屬閘極之電性如臨界電壓時,其可視作裝置區100a中的p型場效電晶體所用之功函數金屬層或其部份。此處的用語「單層」指的是厚度與單一原子或分子的長度實際上相同之層狀物。在多種實施例中,自組裝單層123的厚度可小於或等於約10Å,比如小於或等於約5Å,其可依組裝單層123的組成而變化。
自組裝沉積製程可為以溶液為主(如液體為主)的前驅物噴灑、浸泡、含浸、注入、或沖洗基板102。在一實施例中,將溶液為主的前驅物噴灑至氧化物層126上。溶液為主的前驅物其製備方法,可為溶解金屬氧化物於磷為主的酸之水溶液中,且上述步驟的溫度可介於約室溫(23℃)至約60℃之間,且歷時約10分鐘至120分鐘之間(比如約60分鐘至約90分鐘之間)。溶液可包含約10mmole/L至約1mole/L(或約1wt%至5wt%)之磷為主的酸,與約0.1mg/L至10mg/L之金屬氧化物。磷為主的酸可為合適的可溶解金屬酸,比如磷酸、正磷酸、或類似物。在一實施例中,磷為主的酸係磷酸(或正磷酸)。在另一實施例中,磷為主的酸係十八烷基磷酸。例示性的金屬氧化物可包含但不限於含鈦氧化物如氧化鈦、含鈮氧化物如氧化鈮、含鉭氧化物如氧化鉭、含鋁氧化物如氧化鋁、或含鐵氧化物如氧化鐵。自組裝單層123可包含與氧化物層126之金屬相同或不同的金屬。在第11圖中,自組裝單層123的金屬標示為「M」。磷為主的酸之水溶液中的磷酸鹽離子,與磷為主的酸之水溶液中的金屬離子化學反應,以形成水溶液中的金屬錯合物。
沉積製程中的自組裝單層123之分子產生磷酸螯 合,以自發性地鍵結或吸附至p型場效電晶體之溝槽116b中的氧化物層126。自組裝單層123的分子係自組裝且彼此交聯。不拘於任何特定理論,據信自組裝單層123的單一分子可經由磷-氧-鉭鍵結自動鍵結至氧化物層126,接著與自組裝單層123鍵結至氧化物層126的兩個相鄰分子交聯,見第11圖。自組裝單層123為自我限制,其中分子本身使形成的結構為單層。自組裝單層123形成於氧化物層126上有利於調整閘極結構101b的電性如臨界電壓,且可避免磷酸穿越氧化物層126。在氧化物層126為氧化鉭的例子中,自組裝單層123可包含緊密堆積的磷酸鹽分子(如十八烷基磷酸鹽分子),其配位至氧化鉭表面以形成單層結構。多個磷酸鹽的頭基可配位至一鉭離子,並形成單螯合與雙螯合的錯合物,如第11圖所示的化學式。
在一實施例中,當氧化物層126包含氧化鈦或氧化鈮時,可在沉積製程中形成自組裝單層123於p型場效電晶體的溝槽116b中的氧化物層126其表面上。
雖然上述沉積製程是在裝置區100b中的p型場效電晶體上進行,但可設想若需調整n型場效電晶體,則電性沉積製程亦可在裝置區100a中的n型場效電晶體上進行。在此例中,可進行步驟22自p型場效電晶體的溝槽116b移除氧化物層126,接著以遮罩單元覆蓋裝置區100b。不論沉積製程是在n型場效電晶體或在p型場效電晶體上進行,一些實施例在形成自組裝單層123於氧化物層126上之後,同樣可進行上述沉積製程以形成額外的自組裝單層125(第11圖只顯示一自組裝單層125以達說明目的)於之前形成的自組裝單層(如自組裝單層123) 上,以提供多層功函數金屬設置。舉例來說,沉積製程可形成兩層的自組裝單層,其中第一自組裝單層包括含鈦氧化物如氧化鈦,且第二自組裝單層包括含鈮氧化物如氧化鈮。在一些實施例中,沉積製程可形成三層的自組裝單層,其中第一自組裝單層包括含鈦氧化物如氧化鈦,第二自組裝單層包括含鈮氧化物如氧化鈮,且第三自組裝單層包括含鉭氧化物如氧化鉭。在一些例子中,沉積製程可形成三層的自組裝單層,其中第一自組裝單層包括含鈦氧化物如氧化鈦,第二自組裝單層包括含鋁氧化物如氧化鋁,且第三自組裝單層包括含鐵氧化物如氧化鐵。可以理解的是,這些實施例僅用以舉例而非侷限本發明。自組裝單層結構可為任何級數,且可具有任何數目的單層以調整n型場效電晶體或p型場效電晶體的電性如臨界電壓。
在氧化物層126上形成自組裝單層123的優點在於,上述兩者一起提供阻擋金屬的能力,以確保閘極介電層122的純度。此外,由於自組裝單層123(作為功函數金屬)係由緊密堆積的分子所組成的單層,且其形成於氧化物層126上之溝槽116b中的厚度小於或等於約5Å,因此自組裝單層123的厚度不限於進階製程節點的較小關鍵尺寸。相反地,化學氣相沉積形成於溝槽其相對側壁上的的金屬膜易於合併。此外,自組裝沉積製程可直接沉積金屬於特定的p型或n型電晶體上,以在光阻存在下調整臨界電壓,這是因為自組裝單層123可形成於低溫製程(比如室溫至60℃),比如將基板暴露至溶液為主的前驅物(具有金屬與磷酸鹽錯合物)。相反地,習知化學氣相沉積製程需要多重圖案化/移除金屬的高溫製程(比如300℃至400℃或 更高),以形成功函數金屬層以微調鰭狀場效電晶體的臨界電壓。上述習知製程複雜且昂貴,亦可能在沉積時污染功函數金屬層。高溫下的金屬圖案化製程亦灰化光阻,及/或因圖案化移除功函數金屬層所用的蝕刻劑的蝕刻選擇性不佳,而造成阻障層產生不想要的蝕刻。習知金屬圖案化製程需要n型金氧半金屬與p型金氧半金屬具有濕蝕刻選擇性,以避免移除n型金氧半金屬的製程損傷p型金氧半金屬。如此一來,功函數金屬的選擇受限於濕蝕刻選擇性。搭配進步的濕式製程為主的研究可形成自組裝單層,而不因光阻存在而損傷p型場效電晶體或n型場效電晶體。
在形成自組裝單層123之後,可採用沖洗製程清潔基板102。沖洗製程可採用含去離子水的溶液、二氧化碳化的去離子水(如具有二氧化碳的去離子水)、或具有稀氫氧化銨的去離子水。沖洗製程的溫度可介於約20℃至約80℃之間。在一些實施例中,可在沖洗製程之後進行乾燥製程,使自組裝單層123的表面乾燥。舉例來說,乾燥製程可包含在氮氣氣流的存在下旋轉乾燥裝置100。舉例來說,乾燥製程可包含異丙醇乾燥製程。
在步驟28中,自裝置區100a移除遮罩單元121,且接著形成功函數金屬層128以用於裝置區100a中的n型場效電晶體與裝置區100b中的p型場效電晶體,如第12圖所示。遮罩單元121的移除方法可採用合適製程,比如光阻剝除或灰化。功函數層128形成於溝槽116a與116b中,以分別覆蓋阻障層124與自組裝單層123。功函數金屬層128可包含金屬或金屬化合 物,比如氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、鈦、鉭、銀、鈦鋁、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、或上述之組合。功函數金屬層128可包含多層,且其沉積方法可為化學氣相沉積、物理氣相沉積、及/或其他合適製程。
在一實施例中,功函數金屬層128包含適於形成n型場效電晶體於裝置區100a中的功函數材料。舉例來說,含鋁材料可用於功函數金屬層128。功函數金屬層128的厚度可介於約10Å至約50Å之間。在此實施例中,即使功函數金屬層128並非用於裝置區100b中的p型場效電晶體,仍沉積功函數材料至溝槽116a與116b中。同時沉積功函數金屬層128至溝槽116a與116b中,可簡化製程並改善膜純度,因為不需以有機材料如光阻覆蓋部份的裝置100(比如裝置區100b)。另一方面,可進行選擇性沉積,比如以光阻覆蓋裝置區100b,接著沉積功函數材料至溝槽116a中,反之亦然。可以設想的是,若自組裝單層存在於裝置區100a中的n型場效電晶體內以調整電性(如高介電常數介電物-金屬閘極的臨界電壓),功函數金屬層128可包含任何適於形成裝置區100b中p型場效電晶體的功函數材料。
在第12圖所示的實施例中,在沉積功函數金屬層128於溝槽116a中之後,保留功函數材料於p型場效電晶體的溝槽116b中。金屬閘極結構中的多種材料可提供較寬的裝置調整容忍度,以達p型場效電晶體及/或n型場效電晶體所需。
在一些實施例中,在沉積功函數金屬層128至溝槽116a中之後,可視情況自p型場效電晶體的溝槽116b移除功函 數材料。在此例中,蝕刻製程可採用蝕刻劑自溝槽116b移除功函數金屬層128。在一實施例中,蝕刻劑可包含磷酸(或正磷酸),比如水溶液中85wt%的磷酸。蝕刻製程的溫度可介於約20℃至約80℃之間。在此實施例中,蝕刻劑可為磷酸與其他組成的混合物,而其他組成可為雙氧水、硝酸、硫酸、去離子水、氫氧化銨、臭氧、氫氟酸、鹽酸、其他酸性溶液與有機氧化劑、或上述之組合。在實施例中,混合物中的磷酸比例介於約1:5至約1:50之間。
在一些實施例中,蝕刻製程用以自p型場效電晶體的溝槽116b移除功函數材料,且在蝕刻製程後可進行沖洗製程以自溝槽116b移除蝕刻殘留物。舉例來說,沖洗製程可採用含去離子水的溶液、二氧化碳化的去離子水(如具有二氧化碳的去離子水)、或具有稀氫氧化銨的去離子水。沖洗製程的溫度可介於約20℃至約80℃之間。與前述沖洗製程類似,方法10亦可包含乾燥製程使自組裝單層123的表面乾燥。舉例來說,乾燥製程可包含在氮氣氣流的存在下旋轉乾燥裝置100。舉例來說,乾燥製程可包含異丙醇乾燥製程。
在步驟30中,形成閘極材料136於溝槽116a與116b的其餘空間中,如第13圖所示。閘極材料136可包含金屬如鎢、鋁、銅、鈦、鉭、鈷、鉬、鉑、或上述之組合。閘極材料136的形成方法可為化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程如原子層沉積。可進行平坦化製程如化學機械研磨,自閘極結構101a與101b移除多餘材料,以平坦化裝置100的上表面137。
上述實施例形成裝置區100b中的p型場效電晶體上的自組裝單層,以調整p型場效電晶體的臨界電壓。若需調整n型場效電晶體的電性,可以設想的是本發明多種實施例可用於裝置區100a中的n型場效電晶體。在這些例子中,步驟22可自p型場效電晶體的溝槽116b移除氧化物層126,接著以遮罩單元覆蓋裝置區100b,以形成自組裝單層139於n型場效電晶體的氧化物層126上。第14圖顯示一實施例之部份裝置的剖視圖。接著分別形成功函數金屬層128於溝槽116a與116b中,其形成方法如前述。之後,可將上述的閘極材料136形成於溝槽116a與116b的其餘空間中,以完成金屬閘極結構。自組裝單層可形成於n型場效電晶體與p型場效電晶體上,端視需要而定。
在平坦化裝置100的上表面137之後,可對裝置100進行額外製程,比如形成接點與通孔以電性連接源極/汲極結構108a與108b及閘極結構101a與101b,並形成金屬內連線以連接鰭狀場效電晶體至裝置的其他部份,以完成完整的積體電路。
本發明實施例提供調整高介電常數介電物-金屬閘極之濕製程為主的方法。在本發明實施例中,自組裝單層形成於閘極介電層上的保護氧化層上。自組裝單層可作為功函數金屬,有助於調整高介電常數介電物-金屬閘極的臨界電壓。自組裝單層的形成溫度為低溫(比如室溫至60℃之間),比如將基板暴露至溶液為主的前驅物(具有金屬與磷酸鹽錯合物),在光阻存在下直接沉積金屬於特定的p型或n型電晶體上,且光阻用以覆蓋不需調整的裝置區。如此一來,形成功函數金屬時不會 污染功函數金屬。此外,位於氧化物層上的狹窄溝槽中的自組裝單層,係由緊密堆積的分子所組成的單層,且其厚度小於或等於約5Å。自組裝單層的沉積厚度,不限於進階製程節點其較小的關鍵尺寸。與此相反,化學氣相沉積形成的金屬膜具有厚度限制,因為溝槽之相對側壁上的功函數金屬層易於合併而使裝置效能失效。
在一實施例中,半導體裝置的形成方法包括:形成閘極結構於基板上,閘極結構包括閘極介電層、阻障層形成於閘極介電層上、以及氧化物層形成於阻障層上;以及將氧化物層暴露至水溶液,以形成自組裝單層於氧化物層上,其中水溶液包含金屬氧化物於溶解金屬的酸中。
在一實施例中,上述方法的氧化物層包括過渡金屬。
在一實施例中,上述方法之溶解金屬的酸係磷為主的酸。
在一實施例中,金屬氧化物包括含鈦氧化物、含鈮氧化物、含鉭氧化物、含鋁氧化物、或含鐵氧化物。
在一實施例中,上述方法更包括形成金屬層於自組裝單層上;以及形成金屬閘極材料於金屬層上。
在一實施例中,上述方法在形成金屬層於自組裝單層上之前,更包括形成自組裝單層堆疊於自組裝單層上,且自組裝單層堆疊包括一或多個自組裝單層。
在一實施例中,上述方法之每一自組裝單層為金屬氧化物,且金屬包含鈦、鈮、鉭、鋁、或鐵。
在另一實施例中,半導體裝置的形成方法包括:提供基板,包含第一裝置區與第二裝置區,且第一裝置與區第二裝置區各自具有介電層位於基板上;具有溝槽的閘極結構位於介電層上;與閘極結構接合的鰭狀結構垂直地位於基板表面上;以及與閘極結構的相對兩側相鄰之源極/汲極區;形成閘極介電層於第一與第二裝置區中的閘極結構其溝槽中;形成阻障層於第一與第二裝置區中的閘極結構其溝槽中的閘極介電層上;形成氧化物層於第一與第二裝置區中的閘極結構其溝槽中的阻障層上;自第一裝置區中的閘極結構其溝槽移除氧化物層;以及形成自組裝單層於第二裝置區中的閘極結構其溝槽中的氧化物層上,且自組裝單層包含金屬。
在一實施例中,上述方法形成自組裝單層於氧化物層上的步驟更包括:以遮罩單元覆蓋第一裝置區。
在一實施例中,上述方法之自組裝單層形成於氧化物層上的方法,係將氧化物層暴露至水溶液中,且水溶液包含金屬氧化物於溶解金屬的酸中。
在一實施例中,上述方法之溶解金屬的酸係磷為主的酸。
在一實施例中,上述方法之金屬氧化物包括含鈦氧化物、含鈮氧化物、含鉭氧化物、含鋁氧化物、或含鐵氧化物。
在一實施例中,上述方法之阻障層與氧化物層包括共同的金屬元素,且金屬元素為過渡金屬。
在一實施例中,上述方法之氧化物層包括氧化 鉭、氧化鈦、或氧化鈮。
在一實施例中,上述方法在形成自組裝單層於第二裝置區中的閘極結構之溝槽中的氧化物層上之後,更包括各自形成功函數金屬層於第一裝置區中的閘極結構之阻障層上,以及第二裝置區中的閘極結構之自組裝單層上;以及將金屬閘極材料填入第一與第二裝置區中的閘極結構之溝槽中。
在又一實施例中,半導體裝置包括基板;以及第一閘極結構位於基板上,其中第一閘極結構包括:閘極介電層位於基板上;阻障層位於閘極介電層上;氧化物層位於阻障層上;自組裝單層位於氧化物層上,且自組裝單層包含金屬;以及金屬閘極材料位於自組裝單層上。
在一實施例中,上述半導體裝置的氧化物層包括過渡金屬。
在一實施例中,上述半導體裝置更包括:第二閘極結構位於基板上,其中第二閘極結構包括:閘極介電層位於基板上;阻障層位於閘極介電層上;功函數金屬層位於阻障層上;以及金屬閘極材料層位於功函數金屬層上。
在一實施例中,上述半導體裝置更包括功函數金屬層於自組裝單層與金屬閘極材料層之間,其中第一閘極結構的功函數金屬層與第二閘極結構的功函數金屬層具有相同導電度。
在一實施例中,上述半導體裝置更包括自組裝單層堆疊位於自組裝單層上,自組裝單層堆疊包含一或多個金屬氧化物的自組裝單層,其中金屬包括鈦、鈮、鉭、鋁、或鐵。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種半導體裝置的形成方法,包括:形成一閘極結構於一基板上,該閘極結構包括一閘極介電層、一阻障層形成於該閘極介電層上、以及一氧化物層形成於該阻障層上;以及將該氧化物層暴露至一水溶液,以形成一自組裝單層於該氧化物層上,其中該水溶液包含金屬氧化物於一溶解金屬的酸中。
TW106139899A 2017-09-18 2017-11-17 半導體裝置的形成方法 TW201916124A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/707,990 2017-09-18
US15/707,990 US10361133B2 (en) 2017-09-18 2017-09-18 High-K metal gate and method for fabricating the same

Publications (1)

Publication Number Publication Date
TW201916124A true TW201916124A (zh) 2019-04-16

Family

ID=65720586

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139899A TW201916124A (zh) 2017-09-18 2017-11-17 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US10361133B2 (zh)
CN (1) CN109524463A (zh)
TW (1) TW201916124A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107710392B (zh) * 2015-04-13 2021-09-03 株式会社半导体能源研究所 半导体装置及其制造方法
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10361133B2 (en) * 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10734234B2 (en) * 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US10529629B2 (en) * 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gates
US10504789B1 (en) * 2018-05-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-deposition treatment for FET technology and devices formed thereby
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11552177B2 (en) 2020-09-04 2023-01-10 Applied Materials, Inc. PMOS high-K metal gates

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244669B2 (en) * 2001-05-23 2007-07-17 Plastic Logic Limited Patterning of devices
KR101151159B1 (ko) 2006-09-19 2012-06-01 삼성전자주식회사 포스페이트계 자기조립단분자막을 포함하는 유기 박막트랜지스터 및 그 제조방법
CN103094325B (zh) * 2011-11-02 2016-08-10 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147615B2 (en) * 2014-02-14 2015-09-29 International Business Machines Corporation Ambipolar synaptic devices
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10361133B2 (en) * 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same

Also Published As

Publication number Publication date
US20190341317A1 (en) 2019-11-07
US11031302B2 (en) 2021-06-08
US20190088556A1 (en) 2019-03-21
US10361133B2 (en) 2019-07-23
CN109524463A (zh) 2019-03-26

Similar Documents

Publication Publication Date Title
TW201916124A (zh) 半導體裝置的形成方法
US9761684B2 (en) Method and structure for metal gates
KR102100790B1 (ko) 조면화된 배리어층을 갖는 금속 게이트용 구조체 및 방법
TWI682497B (zh) 半導體結構及半導體製程方法
TWI704605B (zh) 半導體裝置與其形成方法
US9099400B2 (en) Semiconductor device manufacturing methods
KR102066251B1 (ko) 도전성 피처 형성 및 구조물
TWI728208B (zh) 半導體裝置及其形成方法
TW201926548A (zh) 半導體結構的製造方法
TW201937609A (zh) 半導體結構
CN109216356A (zh) 在不同材料的高k介电层上形成的自保护层
US9947547B2 (en) Environmentally green process and composition for cobalt wet etch
TWI799185B (zh) 半導體結構與其形成方法
US11955329B2 (en) Method of forming conductive feature including cleaning step
TW202105609A (zh) 半導體結構的形成方法
KR20120052793A (ko) 매립형 금속선 및 트랜지스터의 매립형 게이트 형성 방법
CN110660670A (zh) 半导体结构的形成方法