TW201834005A - 增強圖像對比度的方法 - Google Patents

增強圖像對比度的方法 Download PDF

Info

Publication number
TW201834005A
TW201834005A TW106137361A TW106137361A TW201834005A TW 201834005 A TW201834005 A TW 201834005A TW 106137361 A TW106137361 A TW 106137361A TW 106137361 A TW106137361 A TW 106137361A TW 201834005 A TW201834005 A TW 201834005A
Authority
TW
Taiwan
Prior art keywords
exposure
charged particle
particle beam
pixel
pixels
Prior art date
Application number
TW106137361A
Other languages
English (en)
Other versions
TWI733930B (zh
Inventor
張世明
駱文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201834005A publication Critical patent/TW201834005A/zh
Application granted granted Critical
Publication of TWI733930B publication Critical patent/TWI733930B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明實施例提供了增強微影可印刷性,尤其是增強圖像對比度的系統與方法。此方法包括接收積體電路(IC)設計布局且根據此積體電路設計布局來產生曝光圖。IC設計布局包括將形成於工件的目標圖案,且曝光圖包括曝光網格,而此曝光網格劃分為組合以形成目標圖案的多個暗像素和多個亮像素。此方法更包括調整曝光圖以增加在目標圖案的多個邊緣上的曝光劑量。在一些實施例中,調整步驟包括在曝光圖中定位目標圖案的邊緣部分,其中,邊緣部分具有一對應的亮像素;以及將來自至少一暗像素的曝光劑量分配給上述對應的亮像素,藉此產生修改的曝光圖。

Description

增強圖像對比度的方法
本發明實施例係關於一種微影系統與微影方法,且特別是關於一種用來增加圖像對比度的微影系統與微影方法。
隨著積體電路(integrated circuit,IC)技術不斷地向較小尺特徵尺寸發展,例如32納米(nanometer),28納米,20納米及以下,IC設計變得更具挑戰性。舉例來說,當製造IC裝置時,IC裝置的性能嚴重的受到微影可印刷性(lithography printability)能力的影響,這表示在晶片上形成的最終晶片圖案與由IC設計佈局定義的目標圖案高度的相符合。已經引入了各種方法(例如浸潤式微影(immersion lithography)、多重曝光微影(multiple patterning lithography)、極紫外光微影(extreme ultraviolet(EUV)lithography)、以及和帶電粒子束微影(charged particle beam lithography)),以增強微影可印刷性。尤其是,涉及使用不帶罩模的帶電粒子束來將IC圖案寫入工件上的帶電粒子束微影技術,可以形成小於光解析度的IC特徵。然而,帶電粒子束在掃描工件極限時的散射行為通常導致最終的晶片圖案具有較差的圖像對比度。因此,雖然現有的微影系統和微影方法一般已經足夠用於預期目的,但是它們在所有方面並不完全地符 合要求。
本發明之一實施例提供一種增強圖像對比度的方法,用於微影製程。此方法包括接收積體電路(IC)設計布局且根據此積體電路設計布局來產生曝光圖。IC設計布局包括將形成於工件的目標圖案,且曝光圖包括曝光網格,而此曝光網格劃分為組合以形成目標圖案的多個暗像素和多個亮像素。此方法更包括調整曝光圖以增加在目標圖案的多個邊緣上的曝光劑量。在一些實施例中,調整步驟包括在曝光圖中定位目標圖案的邊緣部分,其中,邊緣部分具有一對應的亮像素;以及將來自至少一暗像素的曝光劑量分配給上述對應的亮像素,藉此產生修改的曝光圖。
1...7‧‧‧掃描線
100‧‧‧帶電粒子束系統
102‧‧‧工件
104‧‧‧記錄介質
106‧‧‧電粒子束資料處理單元(DPU)
108‧‧‧帶電粒子束曝光單元(EXU)
110‧‧‧通信鏈路
112‧‧‧記憶體
114‧‧‧帶電粒子束源
116‧‧‧帶電粒子束
118‧‧‧多光束機構
120、120A‧‧‧帶電粒子束
122‧‧‧帶電粒子束控制器
124‧‧‧成像組件
125‧‧‧網格偏移系統
126‧‧‧控制模組
128、129‧‧‧通信鏈路
130‧‧‧平台
132‧‧‧移動方向
140‧‧‧帶電粒子束
150‧‧‧曝光區域
152‧‧‧曝光子區域
160、162、164、166‧‧‧方向
200‧‧‧微影方法
210、220、230、240‧‧‧步驟方塊
300‧‧‧IC設計佈局
302、304、306‧‧‧IC特徵
308、310、312‧‧‧周圍線
320‧‧‧曝光圖
330‧‧‧曝光網格
335‧‧‧暗像素
340‧‧‧亮像素
345‧‧‧亮邊緣像素
350‧‧‧暗邊緣像素
360‧‧‧劑量分布
400‧‧‧方法
410、420、430‧‧‧步驟方塊
500‧‧‧劑量分佈
510‧‧‧平台移動方向
512‧‧‧光束移動方向
600‧‧‧劑量分布
605‧‧‧曝光區塊
610‧‧‧網格偏移系統
700‧‧‧最終劑量分布
705‧‧‧曝光區塊
705A‧‧‧第一操作曝光區塊
705B‧‧‧第二操作曝光區塊
710、715‧‧‧邊緣
720、730‧‧‧曝光分布
740、745‧‧‧曝光區塊
D1、D2、D3‧‧‧曝光劑量
DOSE‧‧‧曝光劑量
本發明實施例根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,各圖示是用於說明之目的且未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖表示根據本發明實施例的各個方面的帶電粒子束系統的簡化示意圖。
第2圖表示當根據本發明實施例的各個方面來實施(例如,由第1圖的帶電粒子束系統來實施)光柵掃描以執行帶電粒子束微影時的工件的簡化示意性上視圖。
第3圖表示根據本發明實施例的各個方面由微影系統(例如,第1圖的帶電粒子束系統)所實施的微影方法的流程圖。
第4圖表示根據本發明實施例的各個方面的IC設計佈局的示意圖。
第5圖表示根據本發明實施例的各個方面的曝光圖的簡化示意圖。
第6圖表示根據本發明實施例的各個方面,被調整以實現增強的圖像對比度的曝光圖(例如,第5圖的曝光圖)的簡化示意圖。
第7圖示意性地表示根據本發明實施例的各個方面,沿第6圖中的線B-B的曝光圖的劑量曲線。
第8圖表示根據本發明實施例的各個方面,可由微影方法(例如第3圖中微影方法)實現用以增加圖像對比度的方法的流程圖。
第9圖表示根據本發明實施例的各個方面,被調整以實現增強的圖像對比度的曝光圖(例如,第6圖的曝光圖)的簡化示意圖。
第10A-10F圖示意性地表示根據本發明實施例的各個方面,掃描工件以實現包括多種曝光劑量的劑量分布的能量束。
第11A-11D圖示意性地表示根據本發明實施例的各個方面,掃描工件以實現包括多種曝光劑量的另一劑量分布的能量束。
第12A示意性地表示當微影系統根據本發明實例的各個方面來執行多次操作時所實現且包括多個曝光劑量的最終劑量分布。
第12B圖示意性地表示根據本發明實施例的各個方面,其 定義了由第12A圖中最終劑量分布的一部分所定義各種曝光劑量的第一操作曝光分布。
第12C圖示意性地表示根據本發明實施例的各個方面,其定義了由第12A圖中最終劑量分布的一部分所定義的各種曝光劑量的第二操作曝光分布。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明實施例的不同特徵,而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明實施例。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明實施例的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。此外,本說明書所揭露的組件可以以不同於本說明書所示的示例性實施例的方式被安排、組合或配置,而不脫離本發明實施例的範圍。應當理解,本領域中具有通常知識者將能夠設計出各種雖然本文未明確描述但體現了本發明實施例的原理的等同物。
第1圖是表示根據本發明實施例的各個方面的帶電粒子束系統100的簡化示意圖。帶電粒子束系統100可以實 現本文所述的方法,在工件102上寫入圖案,例如積體電路(integrated circuit,IC)圖案。工件102包括基底(例如,半導體基底)、罩模(也稱作為光罩(photomask)或掩模(reticle))、或在其上進行處理以產生被配置為形成IC圖案和/或IC特徵的材料層的任何基底。在所述的實施例中,記錄介質(recording medium)104(例如對帶電粒子束具有敏感反應的光阻層)設置在工件102上。帶電粒子束系統100將圖案寫入記錄介質104中,此圖案將隨後轉印到工件102。為了清楚起見,第1圖已被簡化以更好地理解本發明實施例的發明構思。可以在帶電粒子束系統100中添加額外特徵,並且對於帶電粒子束系統100的其他實施例,可以修改、替換、或排除下述的一些特徵。
在第1圖中,帶電粒子束系統100包括帶電粒子束資料處理單元(DPU)106和帶電粒子束曝光單元(EXU)108。DPU 106經由通信鏈路110與EXU 108通信地耦接,使得DPU 106與EXU 108可以彼此互相通信。DPU 106被配置為從可能在DPU 106內或遠程定位並與DPU 106通信的的資料儲存媒體(例如,非揮發資料儲存媒體)中讀取圖案資料。DPU 106包括記憶體112,其中DPU 106將從資料儲存媒體獲得的圖案資料載入到記憶體112中。在一些實施例中,圖案資料包括圖案佈局,例如IC設計佈局。DPU 106包括圖案產生器,其處理圖案資料並產生一圖案寫入指令集,例如與圖案佈局相關聯的圖案寫入指令集。DPU 106將圖案寫入指令集發送到EXU 108,這圖案寫入指令集控制與配置EXU 108的各種組 件,以將與圖案寫入指令集對應的圖案寫入至工件102上。如本文所述,DPU 106被配置來產生用以增加圖像對比度的複數圖案寫入指令,使得圖案產生器可以處理圖案資料並產生可由EXU 108實現的圖案寫入指令集,以在工件102上形成呈現增強的圖像對比度的圖案。
EXU 108包括帶電粒子束源114,其用於產生帶電粒子束116,例如光子束、電子束、或離子束。在一些實施例中,帶電粒子束系統100是電子束(electron mean,e-beam)系統,其中,帶電粒子束源114產生電子束,例如由IMS Nanofabrication AG(Austria(奧地利),Vienna(維也納),)、KLA-Tencor公司(Californiz(加利福尼亞州),Milpitas(米爾皮塔斯))、或Leica Microsystems gmbH(Germany(德國),耶拿(Jenna))所製造的電子束直接寫入(e-beam direct write,EBDW)系統。在一些實施例中,帶電粒子束源114是具有電子產生機構(例如,熱電子發射)的電子槍。在一特定實施例中,電子槍包括被設計和偏壓以熱發射電子的鎢(或其它合適的材料)絲。帶電粒子束116被聚焦以入射到多束機構118(例如,模板),其從帶電粒子束116產生多個帶電粒子束120。舉例來說,多光束機構118包括用於定義帶電粒子束116的形狀和/或分佈的孔隙陣列。孔隙陣列可以包括被配置來產生帶電粒子束陣列的各種開口。在一些實施例中,帶電粒子束116會入射到孔隙陣列,以作為具有均勻空間分佈的大帶電粒子束斑點,其中孔隙陣列將帶電粒子束116分裂成複數帶電粒子束120。在一些實施例中,孔隙陣列包括複數可調整的開口,使 得尺寸、形狀、位置和/或其他開口特性可以被修改以實現帶電粒子束120的期望分佈。開口可以隨著時間動態地調整。帶電粒子束120的數量可以根據帶電粒子束系統100的要求、帶電粒子束微影製程以及/或將被形成在工件102上的IC圖案而變化。在一些實施例中,如下文進一步所描述的,帶電粒子光束120是以光柵模式(raster mode)在工件102上掃描的高斯光束(例如,具有高斯電流強度分佈的圓形光束)。帶電粒子束系統100可以包括成像組件(例如各種靜電透鏡和/或電磁透鏡),用以將帶電粒子束116聚焦到多光束機構118上。
EXU 108還包括帶電粒子束控制器122,其控制在工件102上的帶電粒子束120(特別是在記錄介質104上)的形狀以及/或分佈。在一些實施例中,成像組件124(例如,包括各種靜電透鏡和/或電磁透鏡的光學系統)將通過帶電粒子束控制器122的帶電粒子束120聚焦到工件102上,以實現期望的成像效果。帶電粒子束控制器122包括用於使帶電粒子束120打開或關閉(通常稱為遮沒(blanking))的遮沒系統、用於(例如,以向量掃描模式或光柵掃描模式)在工件102上掃描帶電粒子束120的偏移(deflection)系統、以及為了實現工件102上的帶電粒子束120的期望分佈而需要的任何其它帶電粒子束系統組件。在一些實施例中,遮沒系統包括至少一個遮沒器(blanker)和至少一個孔隙。例如,遮沒器可包括靜電偏移器(例如,一組電極板),此靜電偏移器由與從DPU 106所接收的圖案寫入指令相關聯的控制信號(例如,電性控制信號)所控制。當靜電偏移器通電時(例如,當電壓施加於該組 電極板時),遮沒器阻止帶電粒子束120通過工件102(例如,藉由一電場將帶電粒子束從軸上掃走,直到帶電粒子束被下游孔隙中斷)。當靜電偏移器不通電時,遮沒器允許帶電粒子束120通過並曝光工件102的一部分。在一些實施例中,遮沒系統可以單獨地遮沒每個帶電粒子束120。在一些實施例中,偏移系統包括磁性地(例如使用導電線圈)或靜電地(例如,使用導電板)在兩個正交方向偏移帶電粒子束120的掃描器,使得帶電粒子束120在工件100的表面上掃描。在一些實施例中,偏移系統可以單獨地偏移每個帶電粒子束120。在一些實施例中,偏移系統包括網格偏移系統,其被配置來偏移帶電粒子束140使其越過(across)一曝光網格的至少一個像素,如下文所詳述。例如,如第1圖所示,偏移系統包括設置在成像組件124和工件102之間的網格偏移系統125。網格偏移系統125可以單獨地偏移每個帶電粒子束120,使得網格偏移系統125可以偏移帶電粒子束120之一者(例如帶電粒子束120A)到工件102上的期望的著陸位置。在一些實施例中,網格偏移系統125可以沿著將要形成在工件102上的圖案的邊緣,將帶電粒子束120偏移到著陸位置。在一些實施例中,遮沒系統和偏移系統被整合到被配置來遮沒以及/或偏移帶電粒子束120的單一模組中。在一些實施例中,帶電粒子束控制器122對帶電粒子束120的一部分進行遮沒,使得網格偏移系統125接收帶電粒子束120的剩餘部分,且這些剩餘部分可以被偏移。
控制模組126與帶電粒子束微影系統100的各種組件整合並通信地耦接。在所述的實施例中,控制模組126通 信地耦接到DPU 106和帶電粒子束控制器122。控制模組126基於從DPU 106所接收的圖形寫入指令集,透過通信鏈路128發送電性控制信號至帶電粒子束控制器122且透過通信鏈路129發送電性控制信號至網格偏移系統125,使得帶電粒子束控制器122根據接收自控制模組126的電性控制信號來配置遮沒系統、偏移系統(包括網格偏移系統125)、以及其它組件。
平台130例如使用真空系統以及/或其它合適的固定機構來保持並固定工件102。在處理期間,平台130在各個方向上移動(由箭頭132來表示),使得帶電粒子束120入射到工件102上的記錄介質104中。平台130和帶電粒子束控制器122(特別是遮沒系統和偏移系統)被配置為在工件102各處一致地(coordinately)掃描帶電粒子束120。帶電粒子束120(例如,透過成像組件124)被聚焦到記錄介質104上,使得目標圖案(例如,由IC設計佈局所定義)直接寫入到記錄介質104中,而不使用罩模(mask)。如下文進一步的描述,帶電粒子束系統100被配置以藉由增加目標圖案的邊緣部分的劑量來將具有增強的圖像對比度的目標圖案直接寫入到記錄介質104。當以光柵模式操作時,帶電粒子束系統100可以以一單次操作(single pass)來實現這種增強的圖像對比度。在工件102已被掃描之後,執行顯影處理以去除記錄介質104的曝光(或未曝光)部分,從而在可在記錄介質104中形成可被傳送到工件102的圖案。舉例來說,經圖案化的記錄介質104在隨後的蝕刻程序、離子注入程序、或沉積程序中作為罩模,以形成工件102的圖案化材料層。在本發明實施例中,帶電粒子束 系統100可以包括其它組件,例如對準系統(alignment system)以及/或準直儀(collimator)。然而,如上所述,帶電粒子束系統100被簡化以更好地理解本文所揭露的實施例。
帶電粒子束系統100可以實現向量掃描或光柵掃描。為了以下揭露的目的,帶電粒子束系統100被配置為光柵掃描系統(通常被稱為光柵寫入器)。第2圖是表示當根據本發明實施例的各個方面來實施光柵掃描以執行帶電粒子束微影時的工件(例如工件102)的簡化示意性上視圖。在第2圖所示,帶電粒子束系統,例如帶電粒子束系統100,沿著掃描方向用複數帶電粒子束120(統稱為一帶電粒子束140)掃描工件102。例如,在所描述的實施例中,帶電粒子束140在x方向上掃描工件102。工件102被劃分成複數曝光區域150,每個曝光區域定義限定將被帶電粒子束140曝光的工件102的一區域。在帶電粒子束微影製程期間,IC圖案會轉移至工件102中對應於曝光區域150的位置(區域)上。在一些實施方案中,每個曝光區域150定義一區域,以形成至少一個IC晶粒,此區域在工件102上重複地出現。在一些實施方式中,曝光區域150定義了帶電粒子束140可以在不移動平台(例如平台130)的情況下寫入的最大區域。在一些實施例中,每個曝光區域150進一步被劃分成任何合適大小的曝光子區域152,其中,曝光子區域152定義了在每次曝光期間電粒子束140將被限制所在的一曝光區域。
在光柵掃描期間,帶電粒子束系統100以帶電粒子束140逐行地掃描工件102,根據由圖案寫入指令集定義的 曝光圖(圖案)所需來遮沒以及/或偏移(例如由DPU 106所產生的)帶電粒子束140,使得整個工件102被掃描並由帶電粒子束140來直接寫入。例如,在所示實施例中,工件102(透過平台130)沿著x軸方向在方向130上移動,且和寫入指令(例如,遮沒指令以及/或偏移指令)控制帶電粒子束140將期望的IC圖案寫入每個曝光區域150中。對於一特定曝光區域150,帶電粒子束系統100將帶電粒子放置在特定曝光區域150中由曝光圖定義的每一像素所對應的複數位置上。當帶電粒子束140接近工件102的邊緣時,平台130繼續移動,直到帶電粒子束140掃描超出工件102邊緣一足夠距離,以促使沿著方向162(例如y軸方向)改變至另一列的曝光區域150。然後工件102在曝光期間沿x軸方向(與方向160相反)在方向164上移動,直到帶電粒子束140再次到達工件102的一邊緣,並且工件102沿方向166移動,例如沿著y軸方向,以沿著另一列的曝光區域150來定位帶電粒子束140。帶電粒子束系統100可以重複該過程,直到將期望的IC圖案寫入在工件102上所定義的每個曝光區域150中。為了清楚起見,已經簡化了第2圖以能更好地理解本發明實施例的發明構思。
第3圖是表示根據本發明實施例的各個方面的微影方法200的流程圖,例如帶電粒子束微影方法的流程圖。在一些實施例中,帶電粒子束系統100實現微影方法200,以將目標圖案(例如為IC圖案)寫入至工件(例如為工件102)上,工件具有形成在其上的記錄介質,例如記錄介質104。在一些實施例中,DPU 106以及/或EXU 108執行微影方法200的各種 步驟。微影方法200可以帶電粒子束系統100來實現,以寫入例如透過增加在IC圖案邊緣的曝光劑量而具有增強的圖像對比度的IC圖案。這種圖像對比度的增強可被實現而不影響帶電粒子束系統100的生產力(throughput),這允許使用單次操作來增加在邊緣部分的曝光劑量。為了清楚起見,第3圖已被簡化,以能更好地理解本發明實施例的發明構思。可以在微影方法200之前、期間、和之後提供額外步驟,並且對於微影方法200的其它實施例而言,可以修改、替換、或排除所描述的一些步驟。
在步驟方塊210中,由例如為帶電粒子束系統100之類的微影系統,接收將形成於工件(例如工件102)上之目標圖案的IC設計佈局。在一些實施例中,DPU 106接收來自設計室的IC設計佈局。IC設計佈局呈現在具有目標圖案之資訊的一個或多個資料檔案中。例如,IC設計佈局以圖形資料庫系統檔案格式(Graphic Database System file format)(如GDS或GDSII)、開放藝術品交換系統標準檔案格式(Open Artwork System Interchange Standard file format)(如OASIS或OAS)、或其他合適的檔案格式接收。IC設計佈局包括基於要製造的IC產品的規格為IC產品所設計的各種電路圖案(由幾何形狀表示)。這些電路圖案對應於各種材料層(例如金屬層、介電層、以及/或半導體層)中所形成的複數幾何圖案,而這些幾何圖案係組合來形成將於工件102上製造的IC產品的IC特徵。
第4圖是表示根據本發明實施例的各個方面的IC設計佈局300的示意圖。IC設計佈局300包括由表示IC特徵 (例如IC特徵302、IC特徵304、和IC特徵306)的各種幾何圖案所定義的一目標圖案。這些IC特徵構成IC裝置的一部分,其將形成或定義於基底(例如,矽基底)中以及/或於配置在基底的各種半導體層中。IC特徵表示一主動區域/特徵、一閘極特徵(例如閘極電極)、一源極區域/特徵、一汲極區域/特徵、一內連線特徵、接合墊特徵、其他特徵、或前述特徵的組合。IC設計佈局300可以包括用於提供成像效果、製程增進、以及和/或識別資訊的輔助特徵(未示出)。IC特徵302、IC特徵304、和IC特徵306分別由周圍線(perimeter)308、周圍線310、和周圍線312來定義。為了清楚起見,已經簡化了第4圖以更好地理解本發明實施例的發明構思。可以在IC設計佈局300中添加額外特徵,並且可以針對IC設計佈局300的其他實施例修改、替換、或排除上述的一些特徵。
再次檢視第3圖,在步驟方塊220中,根據例如為IC設計佈局300的IC設計佈局來產生曝光圖(曝光佈局)。第5圖是表示根據本發明實施例的各個方面的曝光圖320的簡化示意圖。曝光圖320包括一目標圖案,例如IC設計佈局300所定義的目標圖案(包括IC特徵302、IC特徵304、和IC特徵306)。在一些實施例中,由DPU 106所產生的圖案寫入指令集定義曝光圖320,如下文進一步描述。曝光圖320包括曝光網格330,其用於定義將目標圖案寫入工件(例如為工件102)上的曝光狀態(曝光條件)。舉例來說,曝光網格330包括排列成一網格圖案的複數像素的二維(2D)陣列,此網格圖案具有複數列與複數行,其中,每個像素具有一曝光劑量(每單位面 積所沉積之能量的大小)和一位置地址(對應於其在曝光網格330內的坐標)。2D像素陣列的每個像素具有一尺寸,例如由一相應長度和一相應寬度所定義的面積。儘管本發明實施例涵蓋了像素具有變化的形狀和/或尺寸的實施例,在所述的實施例中,這些像素具有相同的形狀以及/或相同的尺寸。在一些實施例中,每個像素表示能量束(例如為帶電粒子束140)所能實現的最小解析度。在一些實施例中,像素在笛卡爾坐標系統(Cartesian coordinate system)中被表示為點或正方形。
在一些實施例中,在微影製程期間,帶電粒子束系統100以曝光網格330為基礎來掃描每個曝光區域150,使得由IC設計佈局300定義的目標圖案被轉移到每個曝光區域150。為了轉移目標圖案至曝光區域(例如曝光區域150)上,這些像素會被指定為暗像素335或亮像素340。在所示的實施例中,所定義之IC特徵的周圍線(例如,IC特徵302、IC特徵304、和IC特徵306分別的周圍線308、周圍線310、和周圍線312)外的像素被指定為暗像素335,並且在所定義的IC特徵的周圍線內的像素被指定為亮像素340。暗像素335被分配到曝光劑量D1,而亮像素340被分配到曝光劑量D2,其中曝光劑量D2大於曝光劑量D1。在一些實施例中,曝光劑量D1是由微影系統可實現的最小強度(例如,通過帶電粒子束系統100遮沒帶電粒子束140而可實現),並且曝光劑量D2是由微影系統可實現的最大強度(例如,通過帶電粒子束系統100不遮沒帶電粒子束140而可實現)。在一些實施例中,曝光劑量D1是預設的曝光強度,並且曝光劑量D2是大於預設 曝光強度的任何曝光強度。
(例如,由DPU 106)產生一遮沒指令給為每個像素,使得EXU 108可以實現每個像素分配到的曝光劑量(在此處為曝光劑量D1或曝光劑量D2)。遮沒指令指定能量束(例如帶電粒子束140)是否被遮沒(關閉,turned off)或未被遮沒(開啟,turned on)。在所述的實施例中,暗像素335被分配了一關閉(OFF)遮沒指令,亮像素340被分配了開啟(ON)遮沒指令。通常,帶電粒子束系統100接著將實現由曝光圖320定義的遮沒指令,以逐像素地掃描每個曝光區域150。當將暗像素335曝光於工件102時遮沒帶電粒子束140,而當亮像素340曝光於工件102時遮沒帶電粒子束,從而將由IC設計佈局300定義的目標圖案轉移到工件102的每個曝光區域150。在帶電粒子束微影製程序期間引起的鄰近效應(proximity effect)限制了由帶電粒子束系統實施第5圖所示的曝光布局320所能實現的微影解析度320,這導致工件102上的最終晶片圖案與由IC設計佈局300定義的目標圖案之間存在不期望的變異。鄰近效應是起因於當帶電粒子束140穿過工件102(特別是記錄介質104)時散射的電子,使得聚焦在工件102的一特定位置上的曝光能量的量與曝光圖320中定義的曝光劑量不同。尤其是,以與IC設計佈局300中目標圖案的邊緣部分相對應的亮像素340(例如,靠近周圍線308、周圍線310、和周圍線312的亮像素340)來曝光的工件102的位置,經常接收比實現曝光劑量D2而所需的量更少的曝光能量,這導致工件102上的最終晶片圖案具有差的圖像對比度。
為了補救這樣的效果,可以在邊緣部分增加曝光劑量,使得在IC設計佈局中定義的IC特徵的邊緣部分接收到的曝光劑量大於IC特徵內接收的曝光劑量。再次參閱第3圖,在步驟方塊230中,調整曝光圖(佈局)以增加在IC設計佈局所定義的邊緣部分的曝光量。例如,增加在IC特徵302、IC特徵304、和IC特徵306的邊緣部分的曝光劑量。第6圖是表示根據本發明實施例的各個方面,被調整以實現增強的圖像對比度的曝光圖320的簡化示意圖。在第6圖所示,曝光網格330中的像素進一步被指定為亮邊緣像素345,其被分配到大於曝光劑量D1與曝光劑量D2的曝光劑量D3。在一些實施例中,在所定義的IC特徵的周圍線(例如,IC特徵302、IC特徵304、和IC特徵306分別的周圍線308、周圍線310、和周邊312)內且包括至少一相鄰案像素335的像素被指定為亮邊緣像素345。在一些實施例中,重疊於所定義的IC特徵的周圍線且包括至少一相鄰案像素335的像素被指定為亮邊緣像素345。在一些實施例中,在預設重疊百分比或預設重疊臨界值內與所定義的的IC特徵的周圍線重疊的像素被指定為亮邊緣像素345。在一些實施例中,通過在步驟方塊220中分析分配給像素的遮沒指令來定位亮邊緣像素345。例如,DPU 106可以定位ON遮沒指令與OFF遮沒指令之間的邊界,其中與一ON遮沒指令和一OFF遮沒指令之間的邊界相關聯的亮像素340令被指定為亮邊緣像素345。第7圖示意性地表示根據本發明實施例的各個方面,沿第6圖中的線B-B的曝光圖320的劑量曲線360。劑量分布360根據一水平軸與一垂直軸來定義,其中, 此水平軸沿著x方向識別曝光圖320中的像素,而此垂直軸指示沿x方向的每個識別的像素的曝光劑量。在劑量分佈曲線360中,亮邊緣像素345被指定為n個像素,亮像素340被識別為n+i(其中i=1,2,3...),以及暗像素335被識別為n-j(其中j=1,2,3...)。如圖所示,藉由增加亮邊緣像素345的曝光劑量,劑量曲線360增加了目標圖案的圖像對比度和成像解析度。
由於帶電粒子束系統100被配置用於光柵掃描,所以帶電粒子束系統100不能獨立地調整帶電粒子束140以實現改變曝光劑量。例如,儘管帶電粒子束系統100可以在掃描期間透過遮沒或不遮沒帶電粒子束140來實現曝光劑量D1和曝光劑量D2,但是帶電粒子束系統100不能停止並調節帶電粒子束140以在掃描期間以曝光劑量D3來曝光亮邊緣像素345。通常,為了實現曝光劑量D3(並因此增加亮邊緣像素345的曝光劑量),帶電粒子束系統100執行多次掃描。例如,在一些實施例中,帶電粒子束系統100對工件102執行第一次掃描,於其中,帶電粒子束系統100逐像素地掃描每個曝光場150。當將暗像素335曝光於工件102時遮沒帶電粒子束140,且當將亮像素340和亮邊緣像素345曝光於工件102時不遮沒帶電粒子束140。然後,帶電粒子束系統100對工件102執行第二掃描,於其中,帶電粒子束系統100逐像素地掃描每個曝光場150。當將暗像素335和亮像素340曝光於工件102時遮沒帶電粒子束140,且當將亮邊緣像素345曝光於工件102時不遮沒帶電粒子束140。透過組合第一掃描和第二掃描以實現 增加在目標圖案的邊緣部分的曝光劑量(例如,實現第7圖所示的劑量分佈曲線360),這種多次掃描過程了寫入時間,從而降低帶電粒子束系統100的生產力。
用來實現在目標圖案的邊緣部分的曝光劑量D3的替代方案是將帶電粒子束系統100配置為可變形光束(variable shaped beam,VSB)微影系統,其允許曝光強度控制,使得帶電粒子束系統100可以配置帶電粒子束140而以曝光劑量D1、曝光劑量D2、和曝光劑量D3來曝光工件12。然而,VSB微影系統透過增加在需要增加曝光劑量的像素(例如,需要曝光劑量D3的亮邊緣像素345)上的曝寫時間(dwell time)(也稱為停留時間)來實現增加的曝光劑量。這需要額外的寫入時間,也降低了生產力。因此,當考慮到為亮邊緣像素345實現增加的劑量所需的寫入時間時,帶電粒子束系統100面臨了是配置為VSB系統或光柵掃描系統的相同挑戰,即降低的生產力。
為了克服這種限制,本發明實施例提出了一種微影刻系統和微影方法,用於在保持(或甚至減少)寫入時間的同時,增加由IC設計佈局所定義的目標圖案的邊緣部分的曝光劑量,從而增強圖像對比度而不降低生產力。如下所述,微影系統(例如為帶電粒子束系統100)從暗像素335“奪取(rob)”曝光能量,將原本打算給暗像素335的曝光能量引導到亮邊緣像素345。例如,不同於在曝光暗像素335時遮沒能量束(例如,帶電粒子束140)以防止曝光能量到達工件102,微影系統選擇性地再利用該曝光能量以增加在亮邊緣像素345上的曝 光時間(和曝光能量)。透過使用原本打算給暗像素335的曝光能量,微影系統不必多次掃描亮邊緣像素245以增加曝光能量。因此,微影系統可以透過光柵掃描工件102(透過增加目標圖案的邊緣的曝光劑量)來實現增強的圖像對比度。這可以在不降低微影系統(例如為帶電粒子束系統100)的生產力的情況下實現。不同的實施例可能具有不同的優點,並且沒有特定優點是任何實施例所必需的。
第8圖是表示根據本發明實施例的各個方面,可由微影方法(例如第3圖中微影方法200的步驟方塊230)實現用以增加圖像對比度的方法400的流程圖。在一些實施方式中,帶電粒子束系統100實現方法400。舉例來說,DPU 106以及/或EXU 108可以執行方法400的各種步驟。方法400可以由例如為帶電粒子束系統100的微影系統來實現,以例如透過增加IC圖案的邊緣部分的曝光劑量來寫入具有增強的圖像對比度的IC圖案。這種圖像對比度增強可被實現而不影響微影系統(例如帶電粒子束系統100)的生產力,允許在單次操作(掃描)中增加IC圖案的邊緣部分的曝光劑量。為了清楚起見,已經簡化了第8圖,以更好地理解本發明實施例的發明構思。可以在方法400之前、之中、和之後提供額外的步驟,並且在方法400的其它實施例中,可以修改、替換、或排除所描述的一些步驟。
在步驟方塊410中,根據例如為IC設計佈局300的IC設計佈局來產生用於一曝光圖(例如,曝光圖320)中多個像素的一遮沒指令和一網格偏移指令。遮沒指令指示當曝光 一給定像素時,是否開啟(不遮沒)或關閉(遮沒)能量束(例如為帶電粒子束140)。舉例來說,OFF遮沒指令指示帶電粒子束系統100在曝光一給定像素時關閉(遮沒)帶電粒子束140;而ON遮沒指令指示帶電粒子束系統100在曝光一給定像素時開啟(不遮沒)帶電粒子束140。網格偏移指令指示當曝光該給定像素時,使否將能量束(例如為帶電粒子束140)偏移到曝光網格(例如曝光網格330)的另一像素。舉例來說,OFF網格偏移指令指示帶電粒子束系統100在曝光一給定像素時不在曝光網格330上偏移來自帶電粒子束140的曝光能量;而ON網格偏移指令則指示帶電粒子束系統10在曝光一給定像素時在曝光網格330上偏移來自帶電粒子束140的曝光能量。參閱第5圖以及/或第6圖,暗像素335預設被分配一ON遮沒指令和一OFF網格偏移指令,並且亮像素340(如果指定的話,還有亮邊緣像素345)被分配一OFF遮沒指令和OFF網格偏移指令。在一些實施例中,DPU 106產生一遮沒指令和一網格偏移指令給為曝光網格330的每個像素。在一些實施例中,未識別亮邊緣像素345,且DPU 106產生一遮沒隱指令和一網格偏移指令只給暗像素335和亮像素340。在一些實施例中,當在方法200的步驟方塊220中產生遮沒指令時,在步驟方塊410中僅產生網格偏移指令。
在步驟方塊420中,方法400繼續進行定位由IC設計佈局所定義的目標圖案的邊緣部分,例如IC特徵302、IC特徵304、和IC特徵306的邊緣部分。邊緣部分對應於一暗像素(例如,暗像素335其中之一)和亮像素(例如,亮像素340 其中之一)之間的邊界。實現用於定位目標圖案的邊緣部分的任何過程,於其中,定位包括識別具有至少一個相鄰暗像素335的亮像素340。在一些實施例中。如第6圖,識別亮邊緣像素345,並且相鄰於亮邊緣像素345的暗邊緣像素335被指定為曝光圖320中的相鄰暗邊緣像素。舉例來說,第9圖是表示根據本發明實施例的各個方面的曝光圖320的簡化示意圖,其中,相鄰於亮邊緣像素345的暗像素335被指定為相鄰暗邊緣像素350。在一些實施例中,接近亮邊緣像素345的暗像素335(例如,距離亮邊緣像素345兩個、三個、或更多個像素的暗像素335)被指定為相鄰暗邊緣像素350。在一些實現中,參閱第5圖以及/或第6圖,當在一特定暗像素335和一特定亮像素340之間的轉變被定位時,(例如,通過將一給定亮像素340指定為亮邊緣像素345之一)特定亮像素340被指定為邊緣部分。在一些實施例中,參閱第5圖以及/或第6圖,當一ON遮沒指令與一OFF遮沒指令之間的轉變被定位時,分配到此ON遮沒指令的像素被指定為邊緣部分。
在步驟方塊430中,將分配給對應於邊緣部分的暗像素的曝光能量分配給對應邊緣部分的亮像素。在一些實施例中,分配曝光能量是透過修改(調整)關於對應邊緣部分的暗像素的遮沒指令和網格偏移指令來實現。例如,亮邊緣像素345被分配來自相鄰暗像素350的曝光能量。在一些實施例中,DPU 106將一ON遮沒指令和一ON網格偏移指令分配給相鄰暗像素350(不同於在步驟方塊410中預設分配給暗像素335的原始ON遮沒指令和OFF網格偏移指令),使得帶電粒 子束系統100打開帶電粒子束140,並且當曝光相鄰暗像素350時將帶電粒子束140偏移到亮邊緣像素345。因此,帶電粒子光束系統100透過將帶電粒子束140在亮邊緣像素345上的曝寫時間加倍,即可在單次操作(掃描)中使亮邊緣像素345的曝光劑量加倍。帶電粒子束系統100因此從相鄰暗像素350“奪取”額外的時間(或額外的曝光劑量)給亮邊緣像素345,以便將曝光能量從OFF網格(相鄰暗像素350)偏移到ON網格(亮邊緣像素345)。在一些實施例中,當接近亮邊緣像素345的暗像素335(例如,距離亮邊緣像素345兩個、三個、或更多個像素的暗像素335)被指定為相鄰暗邊緣像素350時,帶電粒子束100可以增加亮邊緣像素345的曝光劑量,以進一步到達比帶電粒子束140的曝光強度大二倍、三倍、甚至四倍的曝光劑量。
再次參閱第3圖,方法200可以在步驟方塊240中繼續,其中,使用經調整的曝光圖來執行微影製程。例如,如本文所述,帶電粒子束系統100對工件102執行帶電粒子束微影製程。當以與IC設計布局定義的目標圖案的邊緣相關聯(例如,平行於、垂直於、成非直角角度(例如45°))的任何方向來掃描工件120時,帶電粒子束系統100可以實現上述的圖像對比度增強技術。
參閱第10A-10F圖,當掃描垂直於由IC設計佈局定義的目標圖案的掃描工件102時,帶電粒子束系統100可以實現上述圖像對比度增強技術。第10A-10F圖示意性地表示根據本發明實施例的各個方面,掃描工件以實現包括多種曝光劑 量的劑量分布500的能量束(例如帶電粒子束140)。劑量分佈500定義沿著一掃描方向之多個像素的曝光劑量,其中,一水平軸識別沿著此掃描方向的每個像素的位置(例如x坐標),一垂直軸指示每個識別的像素的曝光劑量。在所述的實施例中,識別的像素包括暗像素335、亮像素340、亮邊緣像素345、和相鄰暗邊緣像素350。每個像素對應於多個曝光區塊502,其中,每個曝光區塊502表示曝光劑量DOSE的一個單位。例如,將曝光劑量D1分配給暗像素335和相鄰暗邊緣像素350(由無曝光區塊502來表示),將曝光劑量D2分配給亮像素340(由一個曝光塊502表示),曝光劑量D3分配給亮邊緣像素345(由兩個曝光塊502表示)。曝光劑量D3大於曝光劑量D2,曝光劑量D2大於曝光劑量D1。如圖所指出的,如箭頭510所示的平台移動(或由箭頭512所示的光束移動)垂直於目標圖案的邊緣,例如垂直於相鄰暗邊緣像素350和亮邊緣像素345的邊界。
在第10A-10F圖中,帶電粒子束系統100逐像素地掃描工件102,其根據劑量分佈500來遮沒和偏移帶電粒子束140。帶電粒子束系統100透過相鄰暗像素345奪取曝光能量給亮邊緣像素345來增加目標圖案的邊緣(由亮邊緣像素345來定義)的曝光劑量,從而增強圖像對比度而不降低生產力。此可藉當定位直接在亮邊緣像素之前或之後的一暗像素(在此為相鄰暗邊緣像素)所對應的位置時不遮沒且偏移帶電粒子束140來實現。透過沿著掃描方向從暗像素奪取曝光能量,帶電粒子束系統100可以在關於劑量分目500中識別的像 素的單次操作中增加目標圖案的曝光劑量。儘管所述的實施例說明僅不遮沒和偏移相鄰暗邊緣像素350,本發明實施例也考慮了當定位了任何暗像素335所對應的位置時帶電粒子束系統100不遮沒和偏移帶電粒子束140,使得亮邊緣像素345接收來自曝光布局鎖定硬的任何暗像素的額外曝光能量。
在第10A圖中,帶電粒子束140位於暗像素335所對應的位置,並且帶電粒子束系統100遮沒帶電粒子束140(如虛線所示)而不偏移以將暗像素335曝光在工件102上,使得暗像素335接收曝光劑量D1。在第10B圖中,帶電粒子束140位於相鄰暗像素350所對應的位置,並且帶電粒子束系統100不遮沒(如實線所示)但偏移帶電粒子束140以將相鄰暗像素350曝光在工件102上,使得相鄰暗像素350接收曝光劑量D1,亮邊緣像素345接收曝光劑量D2。在此實施例中,帶電粒子束系統100使帶電粒子束140向前偏移一個像素以越過相鄰暗像素350與亮邊緣像素345之間的邊界(換句話說,帶電粒子束140向前偏移一曝光網格的至少一像素以越過明-暗邊界)。在第10C圖中,帶電粒子束140位於亮邊緣像素345所對應的位置,並且帶電粒子束系統100不遮沒也不偏移帶電粒子束140以將亮邊緣像素345曝光於工件102上。因此,亮邊緣像素345再次曝光於帶電粒子束140,使得亮邊緣像素345接收曝光量D3。透過從相鄰暗像素350(在圖10B中)搶奪曝光能量,帶電粒子束系統100加倍由亮邊緣像素345所接收的曝光時間(和曝光能量)。
在第10D圖中,帶電粒子束140位於亮像素340 所對應的位置,並且帶電粒子束系統100不遮沒也不偏移帶電粒子束140以將亮像素340曝光於工件102上,使得亮像素340接收曝光劑量D2。在第10E圖中,帶電粒子束140位於亮邊緣像素345所對應的位置,並且帶電粒子束系統100不遮沒也不偏移帶電粒子束140以將亮邊緣像素345曝光於工件102上,使得亮邊緣像素345接受曝光劑量D2。在第10F圖中,帶電粒子束140位於相鄰暗像素350所對應的位置,並且帶電粒子束系統100不遮沒但偏移帶電粒子束140以將相鄰暗像素350曝光於工件102上,使得相鄰暗像素350接受曝光劑量D1。在此實施例中,帶電粒子束系統100使帶電粒子束140向後偏移一個像素以越過相鄰暗像素350與亮邊緣像素345之間的邊界(換句話說,帶電粒子束140向後偏移一曝光網格的至少一像素以越過暗-明的邊界)。再一次地,帶電粒子束系統100從相鄰暗邊緣像素350奪取曝光能量。因此,亮邊緣像素345再次曝光於帶電粒子束140,使得亮邊緣像素345接收曝光劑量D3。透過從相鄰暗像素350(在圖10F中)奪取曝光能量,帶電粒子束系統100加倍由亮邊緣像素345所接收的曝光時間(和曝光能量)。
參閱第11A-11D圖,當掃描平行於由IC設計佈局所定義的目標圖案的邊緣的工件102時,帶電粒子束系統100可以實現上述圖像對比度增強技術。例如,第11A-11D圖示意性地表示根據本發明實施例的各個方面,掃描工件以實現包括多種曝光劑量的劑量分布600的能量束(例如帶電粒子束140)。劑量分佈600定義一曝光圖的像素的曝光劑量,其中, 由x軸和y軸所定義的水平面識別每個像素的位置(例如x坐標和y坐標),且垂直軸識別每個被識別像素的曝光劑量。每個像素對應於多個曝光區塊605,其中,每個曝光區塊605表示一單位的曝光劑量。在劑量分布600中,像素分配為曝光劑量D1、曝光劑量D2、曝光劑量D3、或曝光劑量D4。曝光劑量D4大於曝光劑量D3,曝光劑量D3大於曝光劑量D2,且曝光劑量D2大於曝光劑量D1。分配的曝光劑量定義目標圖案的邊緣部分。例如,在所述實施例中,分配為曝光劑量D4的像素指定目標圖案的多個邊緣部分,其組合起來以形成目標圖案的邊緣。
在第11A-11D圖中,帶電粒子束系統100沿著掃描線1-7逐像素地掃描工件102,於其中基於劑量分佈600來遮沒和偏移帶電粒子束140。帶電粒子束系統100透過從分配為最小曝光劑量的像素(例如,分配為曝光劑量D1的像素)奪取曝光劑量來增加目標圖案之邊緣(例如,分配為曝光劑量D4的像素)的曝光劑量,藉此增強圖像對比度但不降低生產力。例如,當在一特定掃描線上定位出與分配為曝光劑量D1的像素相對應的位置時,帶電粒子束系統100不遮沒帶電粒子束140,但偏移帶電粒子束140至在一相鄰掃描線上分配為曝光劑量D4的像素。透過從在附近掃描線上分配為曝光劑量D1的像素奪取曝光能量,帶電粒子束系統100可以在對劑量曲線600識別的像素的單次掃描中,增加目標圖案的邊緣的曝光劑量。儘管所述的實施例說明不遮沒帶電粒子束且僅僅偏移來自與分配為曝光劑量D4的像素相鄰且分配為曝光劑量D1的像 素的曝光能量,本發明實施例考慮了當位於對應曝光劑量D1的任何像素的位置時,帶電粒子束系統100不遮沒帶電粒子束但偏移帶電粒子束140,使得分配為曝光劑量D4的像素在接收來自曝光佈局中分配為曝光劑量D1的任何像素的額外曝光能量。在第11A-11D圖中,帶電粒子束系統100包括網格偏移系統610(例如,一組靜電板),其偏移帶電粒子束140以越過一曝光網格的至少一個像素。
在第11A圖中,帶電粒子束140沿著掃描線1逐像素地掃描其位於與分配為曝光劑量D1的像素相對應的位置。對於沿著掃描線1而與分配為曝光劑量D4的像素不鄰近的像素(換句話說,為暗像素335)而言,帶電粒子束系統100遮沒但不偏移電粒子束140以偏移將這些像素曝光於工件102上,使得這些像素接收曝光劑量D1。對於沿著掃描線1且與分配為曝光劑量D4的像素相鄰的像素(換句話說,為相鄰暗邊緣像素350)而言,帶電粒子束系統100不遮沒但偏移帶電粒子束140以將這些像素曝光於偏移工件102上,使得這些像素接收曝光劑量D1,且分配為曝光劑量D4的像素(換句話說,為亮邊緣像素345)接收曝光量D2。在這樣的實施例中,帶電粒子束系統100以垂直於掃描方向的一方向來偏移帶電粒子束140。例如,在所述的實施例中,帶電粒子束140在y方向上掃描,並且帶電粒子束系統100以x方向使帶電粒子束140(此處為,向右)偏移一個像素,以越過分配為曝光劑量D1的像素與分配為曝光劑量D4的像素之間的邊界。因此,當以與目標圖案的邊緣(在此由分配為曝光劑量D4的一行像素來定義) 平行的方向進行掃描時,帶電粒子束系統100從與掃描線2上分配為曝光劑量D4的一行像素相鄰且在掃描線1上的一列像素奪取曝光能量。
在第11B圖中,帶電粒子束140沿著掃描線2逐像素地掃描,其位於與分配為曝光劑量D1的像素和分配為曝光劑量D4的像素相對應的位置處。對於沿著掃描線2且分配為曝光劑量D1(換句話說,暗像素335)的像素而言,帶電粒子束系統100遮沒但不偏移帶電粒子束140以將這些像素曝光於工件102上,使得這些像素接收曝光劑量D1。對於沿著掃描線2且分配為曝光劑量D4的像素(換句話說,為亮邊緣像素345)而言,帶電粒子束系統100不遮沒也不偏移帶電粒子束140以將這些像素曝光於工件102上,使得這些像素接收另一單位的曝光劑量,以達到曝光劑量D3。透過從與分配為曝光劑量D1的相鄰像素奪取曝光能量,帶電粒子束系統100依據沿著掃描線1和掃描線2曝光工件102來加倍分配為曝光劑量D4的像素所接收的曝光時間(和曝光能量)。
在第11C圖中,帶電粒子束140沿著掃描線3逐像素地掃描,其位於與分配為曝光劑量D1的像素相對應的位置。對於沿著掃描線3而與分配為曝光劑量D4的像素不鄰近的像素(換句話說,為暗像素335)而言,帶電粒子束系統100遮沒但不偏移帶電粒子束140以將這些像素曝光於工件102上,使得這些像素接收曝光劑量D1。對於沿著掃描線3且與分配為曝光劑量D4的像素相鄰的像素(換句話說,相鄰的暗邊緣像素350)而言,帶電粒子束系統100不遮沒但偏移帶電 粒子束140以將這些像素曝光於工件102上,使得這些像素接收曝光劑量D1,且分配為曝光劑量D4的像素(換句話說,為亮邊緣像素345)接收另一單位的曝光劑量,以達到曝光劑量D4。在這樣的實施例中,帶電粒子束系統100以垂直於掃描方向的一方向來偏移電粒子束140。例如,在所述的實施例中,帶電粒子束140在y方向上掃描,並且帶電粒子束系統100以x方向使帶電粒子束140(此處為,向左)偏移一個像素,以越過分配為曝光劑量D1的像素與分配為曝光劑量D4的像素之間的邊界。透過從與掃描線2上分配為曝光劑量D4的一行像素相鄰且在掃描線3上的一列像素奪取曝光能量,帶電粒子束系統100依據沿著掃描線1、掃描線2、和掃描線3曝光工件102來使分配為曝光劑量D4的像素所接收的曝光時間(和曝光能量)變為三倍。
在第11D圖中,帶電粒子束140沿著掃描線4逐像素地掃描,其位於與分配為曝光劑量D1的像素和分配為曝光劑量D2的像素相對應的位置。對於沿著掃描線4且分配為曝光劑量D1的像素(換句話說,為暗像素335)而言,帶電粒子束系統100遮沒但不偏移帶電粒子束140以將這些像素曝光於工件102上,使得這些像素接收曝光劑量D1。對於沿著掃描線4且分配為曝光劑量D2的像素(換句話說,為亮像素340)而言,帶電粒子束系統100不遮沒也不偏移帶電粒子束140以將這些像素曝光於工件102上,使得這些像素接收曝光量D2。儘管未描述出,帶電粒子束系統100繼續沿著掃描線5、掃描線6、和掃描線7掃描工件102,直到在工件102上形成 由劑量分佈600所定義的目標圖案。
參閱第12A-12C圖,帶電粒子束系統100可以用多次操作寫入方式來實現上述圖像對比度增強技術,以進一步增強圖像對比度。在一些實施例中,帶電粒子束系統100可以在工件102上執行第一次曝光(掃描)並且在工件102上執行第二次曝光(掃描),其結合以在其上形成由IC設計佈局所定義的目標圖案。第12A圖示意性地表示當帶電粒子束系統100根據本發明實例的各個方面來執行多次操作時所實現且包括多個曝光劑量的最終劑量分布700。劑量分佈700定義一曝光圖中之多個像素的曝光劑量,其中由x軸和y軸所定義的水平面標識每個像素的位置(例如x坐標和y坐標),且垂直軸識別每個被識別的像素的曝光劑量。每個像素對應於多個曝光區塊705,其中,每個曝光區塊705表示一單位的曝光劑量。在劑量分布700中,像素分配為曝光劑量D1、曝光劑量D2、曝光劑量D3、曝光劑量D4、曝光劑量D5、曝光劑量D6、或曝光量D7。曝光劑量D7大於曝光劑量D6,曝光劑量D6大於曝光劑量D5,曝光劑量D5大於曝光劑量D4,曝光劑量D4大於曝光劑量D3,曝光劑量D3大於曝光劑量D2,曝光劑量D2大於曝光量D1。分配的曝光劑量定義目標圖案的邊緣部分。例如,在所述實施例中,邊緣710由分配為曝光劑量D7的像素所定義(分配為曝光劑量D7的每個像素表示邊緣710的一邊緣部分)所定義,並且邊緣715由分配為曝光劑量D4的像素所定義(分配為曝光劑量D4的每個像素表示邊緣715的一邊緣部分)。
為了實現曝光劑量D1-D7,帶電粒子束系統100可以將劑量分佈700劃分成多於一個的劑量分佈,其中,帶電粒子束系統100根據每個劑量分佈來掃描工件102,以在工件102上形成由劑量分布700所定義的目標圖案。舉例來說,在所述的實施例中,劑量分佈700將曝光區塊705劃分為第一操作曝光區塊705A和第二操作曝光區塊705B,其中,帶電粒子束系統100根據由第一操作曝光區塊705A所定義的曝光分布來執行一次掃描,且根據由第二操作曝光區塊705A所定義的曝光分布來執行第二次掃描。第12B圖示意性地表示根據本發明實施例的各個方面的第一操作曝光分布720,其定義了由第一操作曝光區塊705A所定義的各種曝光劑量。第12C圖示意性地表示根據本發明實施例的各個方面的第二操作曝光分布730,其定義了由第二操作曝光區塊705B定義的各種曝光劑量。在劑量分布720和劑量分布730中,第一操作曝光區塊705A和第二操作曝光區塊705B進一步分類為曝光區塊740和曝光區塊745。曝光區塊740指示帶電粒子束系統100如同上面詳細描述的情況,在位於對應於定義邊緣710和邊緣715的像素的位置時不遮沒也不偏移帶電粒子束140。曝光區塊745指示帶電粒子束系統100如同上詳細描述的情況,遮沒帶電粒子束140且將帶電粒子束140由分配為曝光劑量D1的像素轉移至定義邊緣710和邊緣715的像素。在一些實施例中,基於曝光分布720與曝光分布730,帶電粒子束系統100可以快速地重新分配曝光能量,選擇當帶電粒子束104掃描工件102時其曝光能量分配給定義邊緣710與715的像素的暗像素(在此 為分配為曝光劑量D1的像素)。在一些實施例中,在開始工件102的第一次掃描和第二次掃描之前,帶電粒子束104重新分配來自從暗像素(在此處為分配為曝光劑量D1的像素)的曝光能量。透過結合具有此處所述的圖像對比度增強技術的多次掃描,帶電粒子束系統100可以進一步增強圖像對比度。
本發明提供了各種實施例。本文所揭露的微影系統和微影方法係用於增強微影可印刷性,特別是用於增強圖像對比度。雖然本發明實施例是參考帶電粒子束系統來描述圖像對比度增強技術,但是本發明實施例也考慮以任何操作在光柵模式的微影來實現此處所描述的圖像對比度增強技術。作為一個非限制性的實施例,圖像對比度增強技術可以透過操作於光柵模式的雷射光束微影系統來實現,其中,雷射光束微影系統產生雷射光束(也稱為能量束)將寫入圖案(例如IC圖案)寫入工件。在此種實施例中,雷射束微影系統可以包括例如為表面聲波(surface acoustic wave,SAW)裝置的網格偏移系統,其被配置來使雷射光束偏移一曝光網格的至少一像素,這允許雷射光束微影系統重新定位雷射光束在工件上的著陸位置。
本發明一實施例提出的增強圖像對比度方法包括接收積體電路設計布局,且根據此積體電路設計布局來產生曝光圖。積體電路設計布局包括將形成於工件的目標圖案,且曝光圖包括曝光網格,而此曝光網格劃分為組合以形成目標圖案的多個暗像素以及多個亮像素。此方法更包括調整曝光圖以增加在目標圖案的多個邊緣的曝光劑量。在一些實施例中,調整曝光圖的步驟包括在曝光圖中定位目標圖案的邊緣部分,於其 中,邊緣部分具有一對應的亮像素;以及將來自至少一暗像素的曝光劑量分配給上述對應的亮像素,藉此產生修改的曝光圖。在一些實施例中,此方法更包括使用調整過的曝光圖執行微影製程,例如電子束微影製程。在一些實施例中,曝光圖以及修改的曝光圖用於光柵掃描工件以在其上形成目標圖案。
在一些實施例中,產生曝光圖的步驟包括將暗像素分配給ON遮沒指令並且將亮像素分配給OFF遮沒指令。ON遮沒指令係指示微影系統遮沒能量束,而OFF遮沒指令則指示微影系統不遮沒能量束。在此實現中,將來自至少一暗像素的曝光能量分配給亮像素的步驟可以包括將分配給至少一暗像素的ON遮沒指令改變為OFF遮沒指令,並將ON網格轉移指令分配給至少一暗像素。ON網格偏移指令指示微影系統偏移能量束,使其越過曝光網格中的至少一個像素。在一些實現中,定位邊緣部分的步驟包括識別在ON遮沒指令和OFF遮沒指令之間的轉換。
在一些實施例中,邊緣部分具有一個對應的暗像素,並且分配的步驟包括將來自此對應的暗像素的曝光能量分配給一個對應的亮像素。在一些實施例中,對應的暗像素沿著掃描方向係位於亮像素的之前或之後。在一些實施例中,產生修改的曝光圖的步驟包括產生多個微影寫入指令給暗像素、亮像素和上述對應的亮像素。在這樣的實施例中,當實施時,利用在工件上的單次操作,微影寫入指令實現了給予暗像素的第一曝光劑量、給予亮像素的第二曝光劑量、以及給予上述對應的亮像素的第三曝光劑量。第二曝光劑量大於第一次曝光劑 量,第三曝光劑量大於第二曝光劑量。
本發明一實施例所提出的在工件上寫入積體電路(IC)圖案的方法包括產生能量束以及根據曝光布局將工件曝光於能量束。曝光佈局包括由IC圖案所定義的多個暗像素和多個亮像素。曝光的步驟包括將能量束定位在曝光佈局的一個暗像素所對應的位置、通過不遮沒但將能量束偏移至一亮像素來將暗像素曝光於工件上、將能量束定位在對應亮像素的位置、以及透過不遮沒也不偏移能量束來將亮像素曝光於工件上,暗像素可以與亮像素相鄰。在一些實施例中,能量束偏移以越過曝光佈局的曝光網格的至少一個像素。
在一些實施例中,能量束在垂直於IC圖案的邊緣的方向上掃描,使得能量束沿著掃描方向偏移到亮像素。在一些實施例中,能量束在與IC圖案的邊緣平行的方向上掃描,使得能量束垂直於掃描方向偏移到亮像素。在一些實施例中,能量束沿著與IC圖案的邊緣成直角角度的方向上掃描,使得能量束以與掃描方向成一角度而偏移到亮像素。在一些實施例中,暗像素是第一暗像素,亮像素是第一亮像素,其中,第一暗像素和第二暗像素對應於IC圖案的邊緣。在這種實施例中,曝光的步驟可以進一步包括將能量束定位在曝光佈局的第二暗像素所對應的位置、透過遮沒但不偏移能量束來將第二暗像素曝光於工件上、將能量束定位在第二明亮像素所對應的位置、並且透過不遮沒也不偏移能量束來將第二亮像素曝光於工件上。在一些實施例,能量束是帶電粒子束,例如電子束或離子束。在一些實施例中,能量束是雷射光束。
本發明一實施例提出的微影系統包括微影資料處理模組以及與微影資料處理模組連接的微影曝光模組。微影資料處理模組產生由集成電路(IC)設計佈局定義的目標圖案的曝光圖。曝光圖包括分為多個暗像素與多個亮像素的曝光網格,這些暗像素與亮像素係組合成目標圖案。微影資料處理模組通過將來自暗像素的曝光能量分配給與目標圖案的邊緣對應的亮像素來調整曝光圖。基於經調整的曝光圖,產生用於將目標圖案寫入工件上的能量束,例如帶電粒子束。在一些實施方案中,微影曝光模組包括用於遮沒能量束的遮沒系統和用於偏移能量束穿過曝光網格的至少一個像素的網格偏移系統。在一些實施方案中,對於與目標圖案的邊緣相對應的亮像素而言,當曝光暗像素時,微影曝光模組遮沒能量束並偏移到亮像素。注意,交流耦合包括有助於微影資料處理模組與微影曝光模組的各種部件之間的連接和通信的任何電耦合裝置,機械耦合裝置,其它耦合裝置或其組合。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。

Claims (1)

  1. 一種增強圖像對比度的方法,用於一微影製程,包括:接收一積體電路設計布局,其中,該積體電路設計布局包括將形成於一工件的一目標圖案;根據該積體電路設計布局來產生一曝光圖,其中,該曝光圖包括一曝光網格,該曝光網格劃分為組合以形成該目標圖案的複數暗像素以及複數亮像素;以及調整該曝光圖以增加在該目標圖案的複數邊緣上的曝光劑量,其中,調整該曝光圖的步驟包括:在該曝光圖中定位該目標圖案的一邊緣部分,其中,該邊緣部分具有一對應的亮像素;以及將來自該等暗像素之至少一者的曝光劑量分配給該對應的亮像素,藉此產生一修改的曝光圖。
TW106137361A 2016-12-14 2017-10-30 微影系統及增強圖像對比度與寫入積體電路圖案的方法 TWI733930B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/378,442 US10095116B2 (en) 2016-12-14 2016-12-14 Lithography system and lithography method for improving image contrast
US15/378,442 2016-12-14

Publications (2)

Publication Number Publication Date
TW201834005A true TW201834005A (zh) 2018-09-16
TWI733930B TWI733930B (zh) 2021-07-21

Family

ID=62489128

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106137361A TWI733930B (zh) 2016-12-14 2017-10-30 微影系統及增強圖像對比度與寫入積體電路圖案的方法

Country Status (3)

Country Link
US (1) US10095116B2 (zh)
CN (1) CN108227395B (zh)
TW (1) TWI733930B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10942444B2 (en) * 2019-05-01 2021-03-09 Nxp Usa, Inc. Optical control modules for integrated circuit device patterning and reticles and methods including the same

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264711A (en) 1979-12-10 1981-04-28 Burroughs Corporation Method of compensating for proximity effects in electron-beam lithography
US5393987A (en) 1993-05-28 1995-02-28 Etec Systems, Inc. Dose modulation and pixel deflection for raster scan lithography
US6316164B1 (en) 1999-03-16 2001-11-13 N. William Parker Proximity effect correction method through uniform removal of fraction of interior pixels
US6361911B1 (en) 2000-04-17 2002-03-26 Taiwan Semiconductor Manufacturing Company Using a dummy frame pattern to improve CD control of VSB E-beam exposure system and the proximity effect of laser beam exposure system and Gaussian E-beam exposure system
US7098468B2 (en) 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
GB2404783B (en) 2003-08-01 2005-12-14 Leica Microsys Lithography Ltd Dual-mode electron beam lithography machine
US7427765B2 (en) 2005-10-03 2008-09-23 Jeol, Ltd. Electron beam column for writing shaped electron beams
CN101221370B (zh) * 2008-01-24 2010-06-02 上海微电子装备有限公司 硅片边缘曝光系统及其光强控制方法
JP5388478B2 (ja) * 2008-05-20 2014-01-15 株式会社東芝 半導体装置
US9405203B2 (en) 2008-09-23 2016-08-02 Applied Materials, Inc. Pixel blending for multiple charged-particle beam lithography
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
CN101957556B (zh) * 2009-07-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
US8378319B2 (en) 2010-03-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for generating direct-write pattern
US8399157B2 (en) * 2010-12-23 2013-03-19 Intel Corporation Lithography mask having sub-resolution phased assist features
US8464186B2 (en) 2011-01-21 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Providing electron beam proximity effect correction by simulating write operations of polygonal shapes
EP2575159B1 (en) 2011-09-30 2016-04-20 Carl Zeiss Microscopy GmbH Particle beam system and method for operating the same
US8601408B2 (en) 2011-10-10 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for replacing a pattern in a layout
US8530121B2 (en) 2012-02-08 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-grid exposure method
US8835082B2 (en) 2012-07-31 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for E-beam lithography with multi-exposure
US8941085B2 (en) 2013-03-14 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography systems and methods including time division multiplex loading
US9081312B2 (en) 2013-05-14 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns with a single exposure by E-beam lithography
WO2015009619A1 (en) * 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
KR102197873B1 (ko) * 2013-08-29 2021-01-04 삼성전자주식회사 전자 빔을 이용하는 패턴 형성 방법 및 이를 수행하는 노광 시스템

Also Published As

Publication number Publication date
CN108227395B (zh) 2021-12-14
CN108227395A (zh) 2018-06-29
US20180164688A1 (en) 2018-06-14
US10095116B2 (en) 2018-10-09
TWI733930B (zh) 2021-07-21

Similar Documents

Publication Publication Date Title
US9568907B2 (en) Correction of short-range dislocations in a multi-beam writer
US9799487B2 (en) Bi-directional double-pass multi-beam writing
US9653263B2 (en) Multi-beam writing of pattern areas of relaxed critical dimension
US8278635B2 (en) Global point spreading function in multi-beam patterning
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
CN110941149B (zh) 用于电子束微影及增加生产量的方法
US6433348B1 (en) Lithography using multiple pass raster-shaped beam
US20150311031A1 (en) Multi-Beam Tool for Cutting Patterns
JPH02114512A (ja) 荷電粒子ビーム露光を用いた半導体装置の製造方法
KR102380475B1 (ko) 다중 빔 라이터의 단거리 변위의 보정
KR20160124811A (ko) 오버랩 도즈 및 피처 감소에 의한 개선된 스티칭
KR101782335B1 (ko) 묘화 데이터 생성 방법, 멀티 하전 입자빔 묘화 장치 및 패턴 검사 장치
TWI733930B (zh) 微影系統及增強圖像對比度與寫入積體電路圖案的方法
JP2020181902A (ja) マルチ荷電粒子ビーム描画装置
JP4468752B2 (ja) 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
JP7110831B2 (ja) マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6350204B2 (ja) 描画データ検証方法、プログラム、及びマルチ荷電粒子ビーム描画装置
JP2005302868A (ja) 電子ビーム描画方法および装置
JP5649869B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2008117820A (ja) 電子ビーム描画データの作成方法、作成装置、及び作成プログラムと電子ビーム描画装置