CN108227395A - 增强图像对比度的方法 - Google Patents

增强图像对比度的方法 Download PDF

Info

Publication number
CN108227395A
CN108227395A CN201710998726.2A CN201710998726A CN108227395A CN 108227395 A CN108227395 A CN 108227395A CN 201710998726 A CN201710998726 A CN 201710998726A CN 108227395 A CN108227395 A CN 108227395A
Authority
CN
China
Prior art keywords
exposure
pixel
charged particle
particle beam
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710998726.2A
Other languages
English (en)
Other versions
CN108227395B (zh
Inventor
张世明
骆文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108227395A publication Critical patent/CN108227395A/zh
Application granted granted Critical
Publication of CN108227395B publication Critical patent/CN108227395B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本公开实施例提供了一种增强图像对比度的方法,用于增强光刻可印刷性,尤其是增强图像对比度的系统与方法。此方法包括接收集成电路(IC)设计布局且根据此集成电路设计布局来产生曝光图。IC设计布局包括将形成于工件的目标图案,且曝光图包括曝光网格,而此曝光网格划分为组合以形成目标图案的多个暗像素和多个亮像素。此方法还包括调整曝光图以增加在目标图案的多个边缘上的曝光剂量。在一些实施例中,调整步骤包括在曝光图中定位目标图案的边缘部分,其中,边缘部分具有一对应的亮像素;以及将来自至少一暗像素的曝光剂量分配给上述对应的亮像素,藉此产生修改的曝光图。

Description

增强图像对比度的方法
技术领域
本发明实施例关于一种光刻系统与光刻方法,且特别涉及一种用来增加图像对比度的光刻系统与光刻方法。
背景技术
随着集成电路(integrated circuit,IC)技术不断地向较小特征尺寸发展,例如32纳米(nanometer),28纳米,20纳米及以下,IC设计变得更具挑战性。举例来说,当制造IC装置时,IC装置的性能严重的受到光刻可印刷性(lithography printability)能力的影响,这表示在晶片上形成的最终晶片图案与由IC设计布局定义的目标图案高度的相符合。已经引入了各种方法(例如浸润式光刻(immersion lithography)、多重曝光光刻(multiple patterning lithography)、极紫外光光刻(extreme ultraviolet(EUV)lithography)、以及和带电粒子束光刻(charged particle beam lithography)),以增强光刻可印刷性。尤其是,涉及使用不带掩模的带电粒子束来将IC图案写入工件上的带电粒子束光刻技术,可以形成小于光解析度的IC特征。然而,带电粒子束在扫描工件极限时的散射行为通常导致最终的晶片图案具有较差的图像对比度。因此,虽然现有的光刻系统和光刻方法一般已经足够用于预期目的,但是它们在所有方面并不完全地符合要求。
发明内容
本发明的一实施例提供一种增强图像对比度的方法,用于光刻工艺。此方法包括接收集成电路(IC)设计布局且根据此集成电路设计布局来产生曝光图。IC设计布局包括将形成于工件的目标图案,且曝光图包括曝光网格,而此曝光网格划分为组合以形成目标图案的多个暗像素和多个亮像素。此方法还包括调整曝光图以增加在目标图案的多个边缘上的曝光剂量。在一些实施例中,调整步骤包括在曝光图中定位目标图案的边缘部分,其中,边缘部分具有一对应的亮像素;以及将来自至少一暗像素的曝光剂量分配给上述对应的亮像素,藉此产生修改的曝光图。
为让本发明实施例的上述目的、特征及优点能更明显易懂,下文特举一较佳实施例,并配合所附的附图,作详细说明如下。
附图说明
本发明实施例根据以下的详细说明并配合附图说明书附图做完整公开。应注意的是,根据本产业的一般作业,各图示用于对其进行说明目的且未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1表示根据本发明实施例的各个方面的带电粒子束系统的简化示意图。
图2表示当根据本发明实施例的各个方面来实施(例如,由图1的带电粒子束系统来实施)光栅扫描以执行带电粒子束光刻时的工件的简化示意性上视图。
图3表示根据本发明实施例的各个方面由光刻系统(例如,图1的带电粒子束系统)所实施的光刻方法的流程图。
图4表示根据本发明实施例的各个方面的IC设计布局的示意图。
图5表示根据本发明实施例的各个方面的曝光图的简化示意图。
图6表示根据本发明实施例的各个方面,被调整以实现增强的图像对比度的曝光图(例如,图5的曝光图)的简化示意图。
图7示意性地表示根据本发明实施例的各个方面,沿图6中的线B-B的曝光图的剂量曲线。
图8表示根据本发明实施例的各个方面,可由光刻方法(例如图3中光刻方法)实现用以增加图像对比度的方法的流程图。
图9表示根据本发明实施例的各个方面,被调整以实现增强的图像对比度的曝光图(例如,图6的曝光图)的简化示意图。
图10A-10F示意性地表示根据本发明实施例的各个方面,扫描工件以实现包括多种曝光剂量的剂量分布的能量束。
第11A-11D图示意性地表示根据本发明实施例的各个方面,扫描工件以实现包括多种曝光剂量的另一剂量分布的能量束。
图12A示意性地表示当光刻系统根据本发明实例的各个方面来执行多次操作时所实现且包括多个曝光剂量的最终剂量分布。
图12B示意性地表示根据本发明实施例的各个方面,其定义了由图12A中最终剂量分布的一部分所定义各种曝光剂量的第一操作曝光分布。
图12C示意性地表示根据本发明实施例的各个方面,其定义了由图12A中最终剂量分布的一部分所定义的各种曝光剂量的第二操作曝光分布。
附图标记说明:
1…7~扫描线 100~带电粒子束系统;
102~工件; 104~记录介质;
106~电粒子束数据处理单元(DPU);
108~带电粒子束曝光单元(EXU);
110~通信链路; 112~存储器;
114~带电粒子束源; 116~带电粒子束;
118~多光束机构; 120、120A~带电粒子束;
122~带电粒子束控制器; 124~成像组件;
125~网格偏移系统; 126~控制模块;
128、129~通信链路; 130~平台;
132~移动方向; 140~带电粒子束;
150~曝光区域; 152~曝光子区域;
160、162、164、166~方向; 200~光刻方法;
210、220、230、240~步骤方块;
300~IC设计布局; 302、304、306~IC特征;
308、310、312~周围线; 320~曝光图;
330~曝光网格; 335~暗像素;
340~亮像素; 345~亮边缘像素;
350~暗边缘像素; 360~剂量分布;
400~方法; 410、420、430~步骤方块;
500~剂量分布; 510~平台移动方向;
512~光束移动方向; 600~剂量分布;
605~曝光区块; 610~网格偏移系统;
700~最终剂量分布; 705~曝光区块;
705A~第一操作曝光区块; 705B~第二操作曝光区块;
710、715~边缘; 720、730~曝光分布;
740、745~曝光区块; D1、D2、D3~曝光剂量;
DOSE~曝光剂量。
具体实施方式
以下的公开内容提供许多不同的实施例或范例,以实施本发明实施例的不同特征,而本说明书以下的公开内容是叙述各个构件及其排列方式的特定范例,以求简化发明的说明。当然,这些特定的范例并非用以限定本发明实施例。例如,若是本说明书以下的公开内容叙述了将一第一特征形成于一第二特征之上或上方,即表示其包含了所形成的上述第一特征与上述第二特征是直接接触的实施例,亦包含了尚可将附加的特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与上述第二特征可能未直接接触的实施例。另外,本发明实施例的说明中不同范例可能使用重复的参考符号和/或用字。这些重复符号或用字系为了简化与清晰的目的,并非用以限定各个实施例和/或所述外观结构之间的关系。此外,本说明书所公开的组件可以以不同于本说明书所示的示例性实施例的方式被安排、组合或配置,而不脱离本发明实施例的范围。应当理解,本领域中技术人员将能够设计出各种虽然本文未明确描述但体现了本发明实施例的原理的等同物。
图1是表示根据本发明实施例的各个方面的带电粒子束系统100的简化示意图。带电粒子束系统100可以实现本文所述的方法,在工件102上写入图案,例如集成电路(integrated circuit,IC)图案。工件102包括基底(例如,半导体基底)、罩模(也称作为光罩(photomask)或掩模(reticle))、或在其上进行处理以产生被配置为形成IC图案和/或IC特征的材料层的任何基底。在所述的实施例中,记录介质(recording medium)104(例如对带电粒子束具有敏感反应的光阻层)设置在工件102上。带电粒子束系统100将图案写入记录介质104中,此图案将随后转印到工件102。为了清楚起见,图1已被简化以更好地理解本发明实施例的发明构思。可以在带电粒子束系统100中添加额外特征,并且对于带电粒子束系统100的其他实施例,可以修改、替换、或排除下述的一些特征。
在图1中,带电粒子束系统100包括带电粒子束数据处理单元(DPU)106和带电粒子束曝光单元(EXU)108。DPU 106通过通信链路110与EXU108通信地耦接,使得DPU 106与EXU108可以彼此互相通信。DPU 106被配置为从可能在DPU 106内或远程定位并与DPU 106通信的数据储存媒体(例如,非挥发数据储存媒体)中读取图案数据。DPU 106包括存储器112,其中DPU 106将从数据储存媒体获得的图案数据载入到存储器112中。在一些实施例中,图案数据包括图案布局,例如IC设计布局。DPU 106包括图案产生器,其处理图案数据并产生一图案写入指令集,例如与图案布局相关联的图案写入指令集。DPU 106将图案写入指令集发送到EXU 108,这图案写入指令集控制与配置EXU 108的各种组件,以将与图案写入指令集对应的图案写入至工件102上。如本文所述,DPU 106被配置来产生用以增加图像对比度的多图案写入指令,使得图案产生器可以处理图案数据并产生可由EXU 108实现的图案写入指令集,以在工件102上形成呈现增强的图像对比度的图案。
EXU 108包括带电粒子束源114,其用于产生带电粒子束116,例如光子束、电子束、或离子束。在一些实施例中,带电粒子束系统100是电子束(electron mean,e-beam)系统,其中,带电粒子束源114产生电子束,例如由IMS Nanofabrication AG(Austria(奥地利),Vienna(维也纳),)、KLA-Tencor公司(Californiz(加利福尼亚州),Milpitas(米尔皮塔斯))、或Leica Microsystems gmbH(Germany(德国),耶拿(Jenna))所制造的电子束直接写入(e-beam direct write,EBDW)系统。在一些实施例中,带电粒子束源114是具有电子产生机构(例如,热电子发射)的电子枪。在一特定实施例中,电子枪包括被设计和偏压以热发射电子的钨(或其它合适的材料)丝。带电粒子束116被聚焦以入射到多束机构118(例如,模板),其从带电粒子束116产生多个带电粒子束120。举例来说,多光束机构118包括用于定义带电粒子束116的形状和/或分布的孔隙阵列。孔隙阵列可以包括被配置来产生带电粒子束阵列的各种开口。在一些实施例中,带电粒子束116会入射到孔隙阵列,以作为具有均匀空间分布的大带电粒子束斑点,其中孔隙阵列将带电粒子束116分裂成多带电粒子束120。在一些实施例中,孔隙阵列包括多可调整的开口,使得尺寸、形状、位置和/或其他开口特性可以被修改以实现带电粒子束120的期望分布。开口可以随着时间动态地调整。带电粒子束120的数量可以根据带电粒子束系统100的要求、带电粒子束光刻工艺以及/或将被形成在工件102上的IC图案而变化。在一些实施例中,如下文进一步所描述的,带电粒子光束120是以光栅模式(raster mode)在工件102上扫描的高斯光束(例如,具有高斯电流强度分布的圆形光束)。带电粒子束系统100可以包括成像组件(例如各种静电透镜和/或电磁透镜),用以将带电粒子束116聚焦到多光束机构118上。
EXU 108还包括带电粒子束控制器122,其控制在工件102上的带电粒子束120(特别是在记录介质104上)的形状以和/或分布。在一些实施例中,成像组件124(例如,包括各种静电透镜和/或电磁透镜的光学系统)将通过带电粒子束控制器122的带电粒子束120聚焦到工件102上,以实现期望的成像效果。带电粒子束控制器122包括用于使带电粒子束120打开或关闭(通常称为遮没(blanking))的遮没系统、用于(例如,以向量扫描模式或光栅扫描模式)在工件102上扫描带电粒子束120的偏移(deflection)系统、以及为了实现工件102上的带电粒子束120的期望分布而需要的任何其它带电粒子束系统组件。在一些实施例中,遮没系统包括至少一个遮没器(blanker)和至少一个孔隙。例如,遮没器可包括静电偏移器(例如,一组电极板),此静电偏移器由与从DPU 106所接收的图案写入指令相关联的控制信号(例如,电性控制信号)所控制。当静电偏移器通电时(例如,当电压施加于该组电极板时),遮没器阻止带电粒子束120通过工件102(例如,通过一电场将带电粒子束从轴上扫走,直到带电粒子束被下游孔隙中断)。当静电偏移器不通电时,遮没器允许带电粒子束120通过并曝光工件102的一部分。在一些实施例中,遮没系统可以单独地遮没每个带电粒子束120。在一些实施例中,偏移系统包括磁性地(例如使用导电线圈)或静电地(例如,使用导电板)在两个正交方向偏移带电粒子束120的扫描器,使得带电粒子束120在工件100的表面上扫描。在一些实施例中,偏移系统可以单独地偏移每个带电粒子束120。在一些实施例中,偏移系统包括网格偏移系统,其被配置来偏移带电粒子束140使其越过(across)一曝光网格的至少一个像素,如下文所详述。例如,如图1所示,偏移系统包括设置在成像组件124和工件102之间的网格偏移系统125。网格偏移系统125可以单独地偏移每个带电粒子束120,使得网格偏移系统125可以偏移带电粒子束120的一者(例如带电粒子束120A)到工件102上的期望的着陆位置。在一些实施例中,网格偏移系统125可以沿着将要形成在工件102上的图案的边缘,将带电粒子束120偏移到着陆位置。在一些实施例中,遮没系统和偏移系统被整合到被配置来遮没以和/或偏移带电粒子束120的单一模块中。在一些实施例中,带电粒子束控制器122对带电粒子束120的一部分进行遮没,使得网格偏移系统125接收带电粒子束120的剩余部分,且这些剩余部分可以被偏移。
控制模块126与带电粒子束光刻系统100的各种组件整合并通信地耦接。在所述的实施例中,控制模块126通信地耦接到DPU 106和带电粒子束控制器122。控制模块126基于从DPU 106所接收的图形写入指令集,通过通信链路128发送电性控制信号至带电粒子束控制器122且通过通信链路129发送电性控制信号至网格偏移系统125,使得带电粒子束控制器122根据接收自控制模块126的电性控制信号来配置遮没系统、偏移系统(包括网格偏移系统125)、以及其它组件。
平台130例如使用真空系统以和/或其它合适的固定机构来保持并固定工件102。在处理期间,平台130在各个方向上移动(由箭头132来表示),使得带电粒子束120入射到工件102上的记录介质104中。平台130和带电粒子束控制器122(特别是遮没系统和偏移系统)被配置为在工件102各处一致地(coordinately)扫描带电粒子束120。带电粒子束120(例如,通过成像组件124)被聚焦到记录介质104上,使得目标图案(例如,由IC设计布局所定义)直接写入到记录介质104中,而不使用掩模(mask)。如下文进一步的描述,带电粒子束系统100被配置以通过增加目标图案的边缘部分的剂量来将具有增强的图像对比度的目标图案直接写入到记录介质104。当以光栅模式操作时,带电粒子束系统100可以以一单次操作(single pass)来实现这种增强的图像对比度。在工件102已被扫描之后,执行显影处理以去除记录介质104的曝光(或未曝光)部分,从而在可在记录介质104中形成可被传送到工件102的图案。举例来说,经图案化的记录介质104在随后的蚀刻程序、离子注入程序、或沉积程序中作为罩模,以形成工件102的图案化材料层。在本发明实施例中,带电粒子束系统100可以包括其它组件,例如对准系统(alignment system)以及/或准直仪(collimator)。然而,如上所述,带电粒子束系统100被简化以更好地理解本文所公开的实施例。
带电粒子束系统100可以实现向量扫描或光栅扫描。为了以下公开的目的,带电粒子束系统100被配置为光栅扫描系统(通常被称为光栅写入器)。图2是表示当根据本发明实施例的各个方面来实施光栅扫描以执行带电粒子束光刻时的工件(例如工件102)的简化示意性上视图。在图2所示,带电粒子束系统,例如带电粒子束系统100,沿着扫描方向用多带电粒子束120(统称为一带电粒子束140)扫描工件102。例如,在所描述的实施例中,带电粒子束140在x方向上扫描工件102。工件102被划分成多曝光区域150,每个曝光区域定义限定将被带电粒子束140曝光的工件102的一区域。在带电粒子束光刻工艺期间,IC图案会转移至工件102中对应于曝光区域150的位置(区域)上。在一些实施方案中,每个曝光区域150定义一区域,以形成至少一个IC晶粒,此区域在工件102上重复地出现。在一些实施方式中,曝光区域150定义了带电粒子束140可以在不移动平台(例如平台130)的情况下写入的最大区域。在一些实施例中,每个曝光区域150进一步被划分成任何合适大小的曝光子区域152,其中,曝光子区域152定义了在每次曝光期间电粒子束140将被限制所在的一曝光区域。
在光栅扫描期间,带电粒子束系统100以带电粒子束140逐行地扫描工件102,根据由图案写入指令集定义的曝光图(图案)所需来遮没以及/或偏移(例如由DPU 106所产生的)带电粒子束140,使得整个工件102被扫描并由带电粒子束140来直接写入。例如,在所示实施例中,工件102(通过平台130)沿着x轴方向在方向130上移动,且和写入指令(例如,遮没指令以及/或偏移指令)控制带电粒子束140将期望的IC图案写入每个曝光区域150中。对于一特定曝光区域150,带电粒子束系统100将带电粒子放置在特定曝光区域150中由曝光图定义的每一像素所对应的多位置上。当带电粒子束140接近工件102的边缘时,平台130继续移动,直到带电粒子束140扫描超出工件102边缘一足够距离,以促使沿着方向162(例如y轴方向)改变至另一列的曝光区域150。然后工件102在曝光期间沿x轴方向(与方向160相反)在方向164上移动,直到带电粒子束140再次到达工件102的一边缘,并且工件102沿方向166移动,例如沿着y轴方向,以沿着另一列的曝光区域150来定位带电粒子束140。带电粒子束系统100可以重复该过程,直到将期望的IC图案写入在工件102上所定义的每个曝光区域150中。为了清楚起见,已经简化了图2以能更好地理解本发明实施例的发明构思。
图3是表示根据本发明实施例的各个方面的光刻方法200的流程图,例如带电粒子束光刻方法的流程图。在一些实施例中,带电粒子束系统100实现光刻方法200,以将目标图案(例如为IC图案)写入至工件(例如为工件102)上,工件具有形成在其上的记录介质,例如记录介质104。在一些实施例中,DPU 106以及/或EXU 108执行光刻方法200的各种步骤。光刻方法200可以带电粒子束系统100来实现,以写入例如通过增加在IC图案边缘的曝光剂量而具有增强的图像对比度的IC图案。这种图像对比度的增强可被实现而不影响带电粒子束系统100的生产力(throughput),这允许使用单次操作来增加在边缘部分的曝光剂量。为了清楚起见,图3已被简化,以能更好地理解本发明实施例的发明构思。可以在光刻方法200之前、期间、和之后提供额外步骤,并且对于光刻方法200的其它实施例而言,可以修改、替换、或排除所描述的一些步骤。
在步骤方块210中,由例如为带电粒子束系统100之类的光刻系统,接收将形成于工件(例如工件102)上的目标图案的IC设计布局。在一些实施例中,DPU 106接收来自设计室的IC设计布局。IC设计布局呈现在具有目标图案的信息的一个或多个数据文件中。例如,IC设计布局以图形数据库系统文件格式(Graphic Database System file format)(如GDS或GDSII)、开放艺术品交换系统标准文件格式(Open Artwork System InterchangeStandard file format)(如OASIS或OAS)、或其他合适的文件格式接收。IC设计布局包括基于要制造的IC产品的规格为IC产品所设计的各种电路图案(由几何形状表示)。这些电路图案对应于各种材料层(例如金属层、介电层、以及/或半导体层)中所形成的多几何图案,而这些几何图案组合来形成将于工件102上制造的IC产品的IC特征。
图4是表示根据本发明实施例的各个方面的IC设计布局300的示意图。IC设计布局300包括由表示IC特征(例如IC特征302、IC特征304、和IC特征306)的各种几何图案所定义的一目标图案。这些IC特征构成IC装置的一部分,其将形成或定义于基底(例如,硅基底)中以及/或于配置在基底的各种半导体层中。IC特征表示一主动区域/特征、一栅极特征(例如栅极电极)、一源极区域/特征、一漏极区域/特征、一内连线特征、接合垫特征、其他特征、或前述特征的组合。IC设计布局300可以包括用于提供成像效果、工艺增进、以及和/或识别信息的辅助特征(未示出)。IC特征302、IC特征304、和IC特征306分别由周围线(perimeter)308、周围线310、和周围线312来定义。为了清楚起见,已经简化了图4以更好地理解本发明实施例的发明构思。可以在IC设计布局300中添加额外特征,并且可以针对IC设计布局300的其他实施例修改、替换、或排除上述的一些特征。
再次参见图3,在步骤方块220中,根据例如为IC设计布局300的IC设计布局来产生曝光图(曝光布局)。图5是表示根据本发明实施例的各个方面的曝光图320的简化示意图。曝光图320包括一目标图案,例如IC设计布局300所定义的目标图案(包括IC特征302、IC特征304、和IC特征306)。在一些实施例中,由DPU 106所产生的图案写入指令集定义曝光图320,如下文进一步描述。曝光图320包括曝光网格330,其用于定义将目标图案写入工件(例如为工件102)上的曝光状态(曝光条件)。举例来说,曝光网格330包括排列成一网格图案的多像素的二维(2D)阵列,此网格图案具有多列与多行,其中,每个像素具有一曝光剂量(每单位面积所沉积的能量的大小)和一位置地址(对应于其在曝光网格330内的坐标)。2D像素阵列的每个像素具有一尺寸,例如由一相应长度和一相应宽度所定义的面积。尽管本发明实施例涵盖了像素具有变化的形状和/或尺寸的实施例,在所述的实施例中,这些像素具有相同的形状以及/或相同的尺寸。在一些实施例中,每个像素表示能量束(例如为带电粒子束140)所能实现的最小解析度。在一些实施例中,像素在笛卡尔坐标系统(Cartesiancoordinate system)中被表示为点或正方形。
在一些实施例中,在光刻工艺期间,带电粒子束系统100以曝光网格330为基础来扫描每个曝光区域150,使得由IC设计布局300定义的目标图案被转移到每个曝光区域150。为了转移目标图案至曝光区域(例如曝光区域150)上,这些像素会被指定为暗像素335或亮像素340。在所示的实施例中,所定义的IC特征的周围线(例如,IC特征302、IC特征304、和IC特征306分别的周围线308、周围线310、和周围线312)外的像素被指定为暗像素335,并且在所定义的IC特征的周围线内的像素被指定为亮像素340。暗像素335被分配到曝光剂量D1,而亮像素340被分配到曝光剂量D2,其中曝光剂量D2大于曝光剂量D1。在一些实施例中,曝光剂量D1是由光刻系统可实现的最小强度(例如,通过带电粒子束系统100遮没带电粒子束140而可实现),并且曝光剂量D2是由光刻系统可实现的最大强度(例如,通过带电粒子束系统100不遮没带电粒子束140而可实现)。在一些实施例中,曝光剂量D1是预设的曝光强度,并且曝光剂量D2大于预设曝光强度的任何曝光强度。
(例如,由DPU 106)产生一遮没指令给为每个像素,使得EXU 108可以实现每个像素分配到的曝光剂量(在此处为曝光剂量D1或曝光剂量D2)。遮没指令指定能量束(例如带电粒子束140)是否被遮没(关闭,turned off)或未被遮没(开启,turned on)。在所述的实施例中,暗像素335被分配了一关闭(OFF)遮没指令,亮像素340被分配了开启(ON)遮没指令。通常,带电粒子束系统100接着将实现由曝光图320定义的遮没指令,以逐像素地扫描每个曝光区域150。当将暗像素335曝光于工件102时遮没带电粒子束140,而当亮像素340曝光于工件102时遮没带电粒子束,从而将由IC设计布局300定义的目标图案转移到工件102的每个曝光区域150。在带电粒子束光刻工艺序期间引起的邻近效应(proximity effect)限制了由带电粒子束系统实施图5所示的曝光布局320所能实现的光刻解析度320,这导致工件102上的最终晶片图案与由IC设计布局300定义的目标图案之间存在不期望的变异。邻近效应是起因于当带电粒子束140穿过工件102(特别是记录介质104)时散射的电子,使得聚焦在工件102的一特定位置上的曝光能量的量与曝光图320中定义的曝光剂量不同。尤其是,以与IC设计布局300中目标图案的边缘部分相对应的亮像素340(例如,靠近周围线308、周围线310、和周围线312的亮像素340)来曝光的工件102的位置,经常接收比实现曝光剂量D2而所需的量更少的曝光能量,这导致工件102上的最终晶片图案具有差的图像对比度。
为了补救这样的效果,可以在边缘部分增加曝光剂量,使得在IC设计布局中定义的IC特征的边缘部分接收到的曝光剂量大于IC特征内接收的曝光剂量。再次参阅图3,在步骤方块230中,调整曝光图(布局)以增加在IC设计布局所定义的边缘部分的曝光量。例如,增加在IC特征302、IC特征304、和IC特征306的边缘部分的曝光剂量。图6是表示根据本发明实施例的各个方面,被调整以实现增强的图像对比度的曝光图320的简化示意图。在图6所示,曝光网格330中的像素进一步被指定为亮边缘像素345,其被分配到大于曝光剂量D1与曝光剂量D2的曝光剂量D3。在一些实施例中,在所定义的IC特征的周围线(例如,IC特征302、IC特征304、和IC特征306分别的周围线308、周围线310、和周边312)内且包括至少一相邻案像素335的像素被指定为亮边缘像素345。在一些实施例中,重叠于所定义的IC特征的周围线且包括至少一相邻案像素335的像素被指定为亮边缘像素345。在一些实施例中,在预设重叠百分比或预设重叠临界值内与所定义的的IC特征的周围线重叠的像素被指定为亮边缘像素345。在一些实施例中,通过在步骤方块220中分析分配给像素的遮没指令来定位亮边缘像素345。例如,DPU 106可以定位ON遮没指令与OFF遮没指令之间的边界,其中与一ON遮没指令和一OFF遮没指令之间的边界相关联的亮像素340令被指定为亮边缘像素345。图7示意性地表示根据本发明实施例的各个方面,沿图6中的线B-B的曝光图320的剂量曲线360。剂量分布360根据一水平轴与一垂直轴来定义,其中,此水平轴沿着x方向识别曝光图320中的像素,而此垂直轴指示沿x方向的每个识别的像素的曝光剂量。在剂量分布曲线360中,亮边缘像素345被指定为n个像素,亮像素340被识别为n+i(其中i=1,2,3...),以及暗像素335被识别为n-j(其中j=1,2,3...)。如图所示,通过增加亮边缘像素345的曝光剂量,剂量曲线360增加了目标图案的图像对比度和成像解析度。
由于带电粒子束系统100被配置用于光栅扫描,所以带电粒子束系统100不能独立地调整带电粒子束140以实现改变曝光剂量。例如,尽管带电粒子束系统100可以在扫描期间通过遮没或不遮没带电粒子束140来实现曝光剂量D1和曝光剂量D2,但是带电粒子束系统100不能停止并调节带电粒子束140以在扫描期间以曝光剂量D3来曝光亮边缘像素345。通常,为了实现曝光剂量D3(并因此增加亮边缘像素345的曝光剂量),带电粒子束系统100执行多次扫描。例如,在一些实施例中,带电粒子束系统100对工件102执行第一次扫描,于其中,带电粒子束系统100逐像素地扫描每个曝光场150。当将暗像素335曝光于工件102时遮没带电粒子束140,且当将亮像素340和亮边缘像素345曝光于工件102时不遮没带电粒子束140。然后,带电粒子束系统100对工件102执行第二扫描,于其中,带电粒子束系统100逐像素地扫描每个曝光场150。当将暗像素335和亮像素340曝光于工件102时遮没带电粒子束140,且当将亮边缘像素345曝光于工件102时不遮没带电粒子束140。通过组合第一扫描和第二扫描以实现增加在目标图案的边缘部分的曝光剂量(例如,实现图7所示的剂量分布曲线360),这种多次扫描过程了写入时间,从而降低带电粒子束系统100的生产力。
用来实现在目标图案的边缘部分的曝光剂量D3的替代方案是将带电粒子束系统100配置为可变形光束(variable shaped beam,VSB)光刻系统,其允许曝光强度控制,使得带电粒子束系统100可以配置带电粒子束140而以曝光剂量D1、曝光剂量D2、和曝光剂量D3来曝光工件12。然而,VSB光刻系统通过增加在需要增加曝光剂量的像素(例如,需要曝光剂量D3的亮边缘像素345)上的曝写时间(dwell time)(也称为停留时间)来实现增加的曝光剂量。这需要额外的写入时间,也降低了生产力。因此,当考虑到为亮边缘像素345实现增加的剂量所需的写入时间时,带电粒子束系统100面临了是配置为VSB系统或光栅扫描系统的相同挑战,即降低的生产力。
为了克服这种限制,本发明实施例提出了一种光刻系统和光刻方法,用于在保持(或甚至减少)写入时间的同时,增加由IC设计布局所定义的目标图案的边缘部分的曝光剂量,从而增强图像对比度而不降低生产力。如下所述,光刻系统(例如为带电粒子束系统100)从暗像素335“夺取(rob)”曝光能量,将原本打算给暗像素335的曝光能量引导到亮边缘像素345。例如,不同于在曝光暗像素335时遮没能量束(例如,带电粒子束140)以防止曝光能量到达工件102,光刻系统选择性地再利用该曝光能量以增加在亮边缘像素345上的曝光时间(和曝光能量)。通过使用原本打算给暗像素335的曝光能量,光刻系统不必多次扫描亮边缘像素245以增加曝光能量。因此,光刻系统可以通过光栅扫描工件102(通过增加目标图案的边缘的曝光剂量)来实现增强的图像对比度。这可以在不降低光刻系统(例如为带电粒子束系统100)的生产力的情况下实现。不同的实施例可能具有不同的优点,并且没有特定优点是任何实施例所必需的。
图8是表示根据本发明实施例的各个方面,可由光刻方法(例如图3中光刻方法200的步骤方块230)实现用以增加图像对比度的方法400的流程图。在一些实施方式中,带电粒子束系统100实现方法400。举例来说,DPU 106以及/或EXU 108可以执行方法400的各种步骤。方法400可以由例如为带电粒子束系统100的光刻系统来实现,以例如通过增加IC图案的边缘部分的曝光剂量来写入具有增强的图像对比度的IC图案。这种图像对比度增强可被实现而不影响光刻系统(例如带电粒子束系统100)的生产力,允许在单次操作(扫描)中增加IC图案的边缘部分的曝光剂量。为了清楚起见,已经简化了图8,以更好地理解本发明实施例的发明构思。可以在方法400之前、之中、和之后提供额外的步骤,并且在方法400的其它实施例中,可以修改、替换、或排除所描述的一些步骤。
在步骤方块410中,根据例如为IC设计布局300的IC设计布局来产生用于一曝光图(例如,曝光图320)中多个像素的一遮没指令和一网格偏移指令。遮没指令指示当曝光一给定像素时,是否开启(不遮没)或关闭(遮没)能量束(例如为带电粒子束140)。举例来说,OFF遮没指令指示带电粒子束系统100在曝光一给定像素时关闭(遮没)带电粒子束140;而ON遮没指令指示带电粒子束系统100在曝光一给定像素时开启(不遮没)带电粒子束140。网格偏移指令指示当曝光该给定像素时,使否将能量束(例如为带电粒子束140)偏移到曝光网格(例如曝光网格330)的另一像素。举例来说,OFF网格偏移指令指示带电粒子束系统100在曝光一给定像素时不在曝光网格330上偏移来自带电粒子束140的曝光能量;而ON网格偏移指令则指示带电粒子束系统10在曝光一给定像素时在曝光网格330上偏移来自带电粒子束140的曝光能量。参阅图5以及/或图6,暗像素335预设被分配一ON遮没指令和一OFF网格偏移指令,并且亮像素340(如果指定的话,还有亮边缘像素345)被分配一OFF遮没指令和OFF网格偏移指令。在一些实施例中,DPU 106产生一遮没指令和一网格偏移指令给为曝光网格330的每个像素。在一些实施例中,未识别亮边缘像素345,且DPU 106产生一遮没隐指令和一网格偏移指令只给暗像素335和亮像素340。在一些实施例中,当在方法200的步骤方块220中产生遮没指令时,在步骤方块410中仅产生网格偏移指令。
在步骤方块420中,方法400继续进行定位由IC设计布局所定义的目标图案的边缘部分,例如IC特征302、IC特征304、和IC特征306的边缘部分。边缘部分对应于一暗像素(例如,暗像素335其中之一)和亮像素(例如,亮像素340其中之一)之间的边界。实现用于定位目标图案的边缘部分的任何过程,于其中,定位包括识别具有至少一个相邻暗像素335的亮像素340。在一些实施例中。如图6,识别亮边缘像素345,并且相邻于亮边缘像素345的暗边缘像素335被指定为曝光图320中的相邻暗边缘像素。举例来说,图9是表示根据本发明实施例的各个方面的曝光图320的简化示意图,其中,相邻于亮边缘像素345的暗像素335被指定为相邻暗边缘像素350。在一些实施例中,接近亮边缘像素345的暗像素335(例如,距离亮边缘像素345两个、三个、或更多个像素的暗像素335)被指定为相邻暗边缘像素350。在一些实现中,参阅图5以及/或图6,当在一特定暗像素335和一特定亮像素340之间的转变被定位时,(例如,通过将一给定亮像素340指定为亮边缘像素345之一)特定亮像素340被指定为边缘部分。在一些实施例中,参阅图5以及/或图6,当一ON遮没指令与一OFF遮没指令之间的转变被定位时,分配到此ON遮没指令的像素被指定为边缘部分。
在步骤方块430中,将分配给对应于边缘部分的暗像素的曝光能量分配给对应边缘部分的亮像素。在一些实施例中,分配曝光能量是通过修改(调整)关于对应边缘部分的暗像素的遮没指令和网格偏移指令来实现。例如,亮边缘像素345被分配来自相邻暗像素350的曝光能量。在一些实施例中,DPU 106将一ON遮没指令和一ON网格偏移指令分配给相邻暗像素350(不同于在步骤方块410中预设分配给暗像素335的原始ON遮没指令和OFF网格偏移指令),使得带电粒子束系统100打开带电粒子束140,并且当曝光相邻暗像素350时将带电粒子束140偏移到亮边缘像素345。因此,带电粒子光束系统100通过将带电粒子束140在亮边缘像素345上的曝写时间加倍,即可在单次操作(扫描)中使亮边缘像素345的曝光剂量加倍。带电粒子束系统100因此从相邻暗像素350“夺取”额外的时间(或额外的曝光剂量)给亮边缘像素345,以便将曝光能量从OFF网格(相邻暗像素350)偏移到ON网格(亮边缘像素345)。在一些实施例中,当接近亮边缘像素345的暗像素335(例如,距离亮边缘像素345两个、三个、或更多个像素的暗像素335)被指定为相邻暗边缘像素350时,带电粒子束100可以增加亮边缘像素345的曝光剂量,以进一步到达比带电粒子束140的曝光强度大二倍、三倍、甚至四倍的曝光剂量。
再次参阅图3,方法200可以在步骤方块240中继续,其中,使用经调整的曝光图来执行光刻工艺。例如,如本文所述,带电粒子束系统100对工件102执行带电粒子束光刻工艺。当以与IC设计布局定义的目标图案的边缘相关联(例如,平行于、垂直于、成非直角角度(例如45°))的任何方向来扫描工件120时,带电粒子束系统100可以实现上述的图像对比度增强技术。
参阅图10A-10F,当扫描垂直于由IC设计布局定义的目标图案的扫描工件102时,带电粒子束系统100可以实现上述图像对比度增强技术。图10A-10F示意性地表示根据本发明实施例的各个方面,扫描工件以实现包括多种曝光剂量的剂量分布500的能量束(例如带电粒子束140)。剂量分布500定义沿着一扫描方向的多个像素的曝光剂量,其中,一水平轴识别沿着此扫描方向的每个像素的位置(例如x坐标),一垂直轴指示每个识别的像素的曝光剂量。在所述的实施例中,识别的像素包括暗像素335、亮像素340、亮边缘像素345、和相邻暗边缘像素350。每个像素对应于多个曝光区块502,其中,每个曝光区块502表示曝光剂量DOSE的一个单位。例如,将曝光剂量D1分配给暗像素335和相邻暗边缘像素350(由无曝光区块502来表示),将曝光剂量D2分配给亮像素340(由一个曝光块502表示),曝光剂量D3分配给亮边缘像素345(由两个曝光块502表示)。曝光剂量D3大于曝光剂量D2,曝光剂量D2大于曝光剂量D1。如图所指出的,如箭头510所示的平台移动(或由箭头512所示的光束移动)垂直于目标图案的边缘,例如垂直于相邻暗边缘像素350和亮边缘像素345的边界。
在图10A-10F中,带电粒子束系统100逐像素地扫描工件102,其根据剂量分布500来遮没和偏移带电粒子束140。带电粒子束系统100通过相邻暗像素345夺取曝光能量给亮边缘像素345来增加目标图案的边缘(由亮边缘像素345来定义)的曝光剂量,从而增强图像对比度而不降低生产力。此可藉当定位直接在亮边缘像素之前或之后的一暗像素(在此为相邻暗边缘像素)所对应的位置时不遮没且偏移带电粒子束140来实现。通过沿着扫描方向从暗像素夺取曝光能量,带电粒子束系统100可以在关于剂量分目500中识别的像素的单次操作中增加目标图案的曝光剂量。尽管所述的实施例说明仅不遮没和偏移相邻暗边缘像素350,本发明实施例也考虑了当定位了任何暗像素335所对应的位置时带电粒子束系统100不遮没和偏移带电粒子束140,使得亮边缘像素345接收来自曝光布局锁定硬的任何暗像素的额外曝光能量。
在图10A中,带电粒子束140位于暗像素335所对应的位置,并且带电粒子束系统100遮没带电粒子束140(如虚线所示)而不偏移以将暗像素335曝光在工件102上,使得暗像素335接收曝光剂量D1。在图10B中,带电粒子束140位于相邻暗像素350所对应的位置,并且带电粒子束系统100不遮没(如实线所示)但偏移带电粒子束140以将相邻暗像素350曝光在工件102上,使得相邻暗像素350接收曝光剂量D1,亮边缘像素345接收曝光剂量D2。在此实施例中,带电粒子束系统100使带电粒子束140向前偏移一个像素以越过相邻暗像素350与亮边缘像素345之间的边界(换句话说,带电粒子束140向前偏移一曝光网格的至少一像素以越过明-暗边界)。在图10C中,带电粒子束140位于亮边缘像素345所对应的位置,并且带电粒子束系统100不遮没也不偏移带电粒子束140以将亮边缘像素345曝光于工件102上。因此,亮边缘像素345再次曝光于带电粒子束140,使得亮边缘像素345接收曝光量D3。通过从相邻暗像素350(在图10B中)抢夺曝光能量,带电粒子束系统100加倍由亮边缘像素345所接收的曝光时间(和曝光能量)。
在图10D中,带电粒子束140位于亮像素340所对应的位置,并且带电粒子束系统100不遮没也不偏移带电粒子束140以将亮像素340曝光于工件102上,使得亮像素340接收曝光剂量D2。在第10E图中,带电粒子束140位于亮边缘像素345所对应的位置,并且带电粒子束系统100不遮没也不偏移带电粒子束140以将亮边缘像素345曝光于工件102上,使得亮边缘像素345接受曝光剂量D2。在图10F中,带电粒子束140位于相邻暗像素350所对应的位置,并且带电粒子束系统100不遮没但偏移带电粒子束140以将相邻暗像素350曝光于工件102上,使得相邻暗像素350接受曝光剂量D1。在此实施例中,带电粒子束系统100使带电粒子束140向后偏移一个像素以越过相邻暗像素350与亮边缘像素345之间的边界(换句话说,带电粒子束140向后偏移一曝光网格的至少一像素以越过暗-明的边界)。再一次地,带电粒子束系统100从相邻暗边缘像素350夺取曝光能量。因此,亮边缘像素345再次曝光于带电粒子束140,使得亮边缘像素345接收曝光剂量D3。通过从相邻暗像素350(在图10F中)夺取曝光能量,带电粒子束系统100加倍由亮边缘像素345所接收的曝光时间(和曝光能量)。
参阅图11A-11D,当扫描平行于由IC设计布局所定义的目标图案的边缘的工件102时,带电粒子束系统100可以实现上述图像对比度增强技术。例如,图11A-11D示意性地表示根据本发明实施例的各个方面,扫描工件以实现包括多种曝光剂量的剂量分布600的能量束(例如带电粒子束140)。剂量分布600定义一曝光图的像素的曝光剂量,其中,由x轴和y轴所定义的水平面识别每个像素的位置(例如x坐标和y坐标),且垂直轴识别每个被识别像素的曝光剂量。每个像素对应于多个曝光区块605,其中,每个曝光区块605表示一单位的曝光剂量。在剂量分布600中,像素分配为曝光剂量D1、曝光剂量D2、曝光剂量D3、或曝光剂量D4。曝光剂量D4大于曝光剂量D3,曝光剂量D3大于曝光剂量D2,且曝光剂量D2大于曝光剂量D1。分配的曝光剂量定义目标图案的边缘部分。例如,在所述实施例中,分配为曝光剂量D4的像素指定目标图案的多个边缘部分,其组合起来以形成目标图案的边缘。
在图11A-11D中,带电粒子束系统100沿着扫描线1-7逐像素地扫描工件102,于其中基于剂量分布600来遮没和偏移带电粒子束140。带电粒子束系统100通过从分配为最小曝光剂量的像素(例如,分配为曝光剂量D1的像素)夺取曝光剂量来增加目标图案的边缘(例如,分配为曝光剂量D4的像素)的曝光剂量,藉此增强图像对比度但不降低生产力。例如,当在一特定扫描线上定位出与分配为曝光剂量D1的像素相对应的位置时,带电粒子束系统100不遮没带电粒子束140,但偏移带电粒子束140至在一相邻扫描线上分配为曝光剂量D4的像素。通过从在附近扫描线上分配为曝光剂量D1的像素夺取曝光能量,带电粒子束系统100可以在对剂量曲线600识别的像素的单次扫描中,增加目标图案的边缘的曝光剂量。尽管所述的实施例说明不遮没带电粒子束且仅仅偏移来自与分配为曝光剂量D4的像素相邻且分配为曝光剂量D1的像素的曝光能量,本发明实施例考虑了当位于对应曝光剂量D1的任何像素的位置时,带电粒子束系统100不遮没带电粒子束但偏移带电粒子束140,使得分配为曝光剂量D4的像素在接收来自曝光布局中分配为曝光剂量D1的任何像素的额外曝光能量。在第11A-11D图中,带电粒子束系统100包括网格偏移系统610(例如,一组静电板),其偏移带电粒子束140以越过一曝光网格的至少一个像素。
在图11A中,带电粒子束140沿着扫描线1逐像素地扫描其位于与分配为曝光剂量D1的像素相对应的位置。对于沿着扫描线1而与分配为曝光剂量D4的像素不邻近的像素(换句话说,为暗像素335)而言,带电粒子束系统100遮没但不偏移电粒子束140以偏移将这些像素曝光于工件102上,使得这些像素接收曝光剂量D1。对于沿着扫描线1且与分配为曝光剂量D4的像素相邻的像素(换句话说,为相邻暗边缘像素350)而言,带电粒子束系统100不遮没但偏移带电粒子束140以将这些像素曝光于偏移工件102上,使得这些像素接收曝光剂量D1,且分配为曝光剂量D4的像素(换句话说,为亮边缘像素345)接收曝光量D2。在这样的实施例中,带电粒子束系统100以垂直于扫描方向的一方向来偏移带电粒子束140。例如,在所述的实施例中,带电粒子束140在y方向上扫描,并且带电粒子束系统100以x方向使带电粒子束140(此处为,向右)偏移一个像素,以越过分配为曝光剂量D1的像素与分配为曝光剂量D4的像素之间的边界。因此,当以与目标图案的边缘(在此由分配为曝光剂量D4的一行像素来定义)平行的方向进行扫描时,带电粒子束系统100从与扫描线2上分配为曝光剂量D4的一行像素相邻且在扫描线1上的一列像素夺取曝光能量。
在图11B中,带电粒子束140沿着扫描线2逐像素地扫描,其位于与分配为曝光剂量D1的像素和分配为曝光剂量D4的像素相对应的位置处。对于沿着扫描线2且分配为曝光剂量D1(换句话说,暗像素335)的像素而言,带电粒子束系统100遮没但不偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收曝光剂量D1。对于沿着扫描线2且分配为曝光剂量D4的像素(换句话说,为亮边缘像素345)而言,带电粒子束系统100不遮没也不偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收另一单位的曝光剂量,以达到曝光剂量D3。通过从与分配为曝光剂量D1的相邻像素夺取曝光能量,带电粒子束系统100依据沿着扫描线1和扫描线2曝光工件102来加倍分配为曝光剂量D4的像素所接收的曝光时间(和曝光能量)。
在图11C中,带电粒子束140沿着扫描线3逐像素地扫描,其位于与分配为曝光剂量D1的像素相对应的位置。对于沿着扫描线3而与分配为曝光剂量D4的像素不邻近的像素(换句话说,为暗像素335)而言,带电粒子束系统100遮没但不偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收曝光剂量D1。对于沿着扫描线3且与分配为曝光剂量D4的像素相邻的像素(换句话说,相邻的暗边缘像素350)而言,带电粒子束系统100不遮没但偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收曝光剂量D1,且分配为曝光剂量D4的像素(换句话说,为亮边缘像素345)接收另一单位的曝光剂量,以达到曝光剂量D4。在这样的实施例中,带电粒子束系统100以垂直于扫描方向的一方向来偏移电粒子束140。例如,在所述的实施例中,带电粒子束140在y方向上扫描,并且带电粒子束系统100以x方向使带电粒子束140(此处为,向左)偏移一个像素,以越过分配为曝光剂量D1的像素与分配为曝光剂量D4的像素之间的边界。通过从与扫描线2上分配为曝光剂量D4的一行像素相邻且在扫描线3上的一列像素夺取曝光能量,带电粒子束系统100依据沿着扫描线1、扫描线2、和扫描线3曝光工件102来使分配为曝光剂量D4的像素所接收的曝光时间(和曝光能量)变为三倍。
在图11D中,带电粒子束140沿着扫描线4逐像素地扫描,其位于与分配为曝光剂量D1的像素和分配为曝光剂量D2的像素相对应的位置。对于沿着扫描线4且分配为曝光剂量D1的像素(换句话说,为暗像素335)而言,带电粒子束系统100遮没但不偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收曝光剂量D1。对于沿着扫描线4且分配为曝光剂量D2的像素(换句话说,为亮像素340)而言,带电粒子束系统100不遮没也不偏移带电粒子束140以将这些像素曝光于工件102上,使得这些像素接收曝光量D2。尽管未描述出,带电粒子束系统100继续沿着扫描线5、扫描线6、和扫描线7扫描工件102,直到在工件102上形成由剂量分布600所定义的目标图案。
参阅图12A-12C,带电粒子束系统100可以用多次操作写入方式来实现上述图像对比度增强技术,以进一步增强图像对比度。在一些实施例中,带电粒子束系统100可以在工件102上执行第一次曝光(扫描)并且在工件102上执行第二次曝光(扫描),其结合以在其上形成由IC设计布局所定义的目标图案。图12A示意性地表示当带电粒子束系统100根据本发明实例的各个方面来执行多次操作时所实现且包括多个曝光剂量的最终剂量分布700。剂量分布700定义一曝光图中的多个像素的曝光剂量,其中由x轴和y轴所定义的水平面标识每个像素的位置(例如x坐标和y坐标),且垂直轴识别每个被识别的像素的曝光剂量。每个像素对应于多个曝光区块705,其中,每个曝光区块705表示一单位的曝光剂量。在剂量分布700中,像素分配为曝光剂量D1、曝光剂量D2、曝光剂量D3、曝光剂量D4、曝光剂量D5、曝光剂量D6、或曝光量D7。曝光剂量D7大于曝光剂量D6,曝光剂量D6大于曝光剂量D5,曝光剂量D5大于曝光剂量D4,曝光剂量D4大于曝光剂量D3,曝光剂量D3大于曝光剂量D2,曝光剂量D2大于曝光量D1。分配的曝光剂量定义目标图案的边缘部分。例如,在所述实施例中,边缘710由分配为曝光剂量D7的像素所定义(分配为曝光剂量D7的每个像素表示边缘710的一边缘部分)所定义,并且边缘715由分配为曝光剂量D4的像素所定义(分配为曝光剂量D4的每个像素表示边缘715的一边缘部分)。
为了实现曝光剂量D1-D7,带电粒子束系统100可以将剂量分布700划分成多于一个的剂量分布,其中,带电粒子束系统100根据每个剂量分布来扫描工件102,以在工件102上形成由剂量分布700所定义的目标图案。举例来说,在所述的实施例中,剂量分布700将曝光区块705划分为第一操作曝光区块705A和第二操作曝光区块705B,其中,带电粒子束系统100根据由第一操作曝光区块705A所定义的曝光分布来执行一次扫描,且根据由第二操作曝光区块705A所定义的曝光分布来执行第二次扫描。图12B示意性地表示根据本发明实施例的各个方面的第一操作曝光分布720,其定义了由第一操作曝光区块705A所定义的各种曝光剂量。图12C示意性地表示根据本发明实施例的各个方面的第二操作曝光分布730,其定义了由第二操作曝光区块705B定义的各种曝光剂量。在剂量分布720和剂量分布730中,第一操作曝光区块705A和第二操作曝光区块705B进一步分类为曝光区块740和曝光区块745。曝光区块740指示带电粒子束系统100如同上面详细描述的情况,在位于对应于定义边缘710和边缘715的像素的位置时不遮没也不偏移带电粒子束140。曝光区块745指示带电粒子束系统100如同上详细描述的情况,遮没带电粒子束140且将带电粒子束140由分配为曝光剂量D1的像素转移至定义边缘710和边缘715的像素。在一些实施例中,基于曝光分布720与曝光分布730,带电粒子束系统100可以快速地重新分配曝光能量,选择当带电粒子束104扫描工件102时其曝光能量分配给定义边缘710与715的像素的暗像素(在此为分配为曝光剂量D1的像素)。在一些实施例中,在开始工件102的第一次扫描和第二次扫描之前,带电粒子束104重新分配来自从暗像素(在此处为分配为曝光剂量D1的像素)的曝光能量。通过结合具有此处所述的图像对比度增强技术的多次扫描,带电粒子束系统100可以进一步增强图像对比度。
本发明提供了各种实施例。本文所公开的光刻系统和光刻方法用于增强光刻可印刷性,特别用于增强图像对比度。虽然本发明实施例是参考带电粒子束系统来描述图像对比度增强技术,但是本发明实施例也考虑以任何操作在光栅模式的光刻来实现此处所描述的图像对比度增强技术。作为一个非限制性的实施例,图像对比度增强技术可以通过操作于光栅模式的激光光束光刻系统来实现,其中,激光光束光刻系统产生激光光束(也称为能量束)将写入图案(例如IC图案)写入工件。在此种实施例中,激光束光刻系统可以包括例如为表面声波(surface acoustic wave,SAW)装置的网格偏移系统,其被配置来使激光光束偏移一曝光网格的至少一像素,这允许激光光束光刻系统重新定位激光光束在工件上的着陆位置。
本发明一实施例提出的增强图像对比度方法包括接收集成电路设计布局,且根据此集成电路设计布局来产生曝光图。集成电路设计布局包括将形成于工件的目标图案,且曝光图包括曝光网格,而此曝光网格划分为组合以形成目标图案的多个暗像素以及多个亮像素。此方法还包括调整曝光图以增加在目标图案的多个边缘的曝光剂量。在一些实施例中,调整曝光图的步骤包括在曝光图中定位目标图案的边缘部分,于其中,边缘部分具有一对应的亮像素;以及将来自至少一暗像素的曝光剂量分配给上述对应的亮像素,藉此产生修改的曝光图。在一些实施例中,此方法还包括使用调整过的曝光图执行光刻工艺,例如电子束光刻工艺。在一些实施例中,曝光图以及修改的曝光图用于光栅扫描工件以在其上形成目标图案。
在一些实施例中,产生曝光图的步骤包括将暗像素分配给ON遮没指令并且将亮像素分配给OFF遮没指令。ON遮没指令指示光刻系统遮没能量束,而OFF遮没指令则指示光刻系统不遮没能量束。在此实现中,将来自至少一暗像素的曝光能量分配给亮像素的步骤可以包括将分配给至少一暗像素的ON遮没指令改变为OFF遮没指令,并将ON网格转移指令分配给至少一暗像素。ON网格偏移指令指示光刻系统偏移能量束,使其越过曝光网格中的至少一个像素。在一些实现中,定位边缘部分的步骤包括识别在ON遮没指令和OFF遮没指令之间的转换。
在一些实施例中,边缘部分具有一个对应的暗像素,并且分配的步骤包括将来自此对应的暗像素的曝光能量分配给一个对应的亮像素。在一些实施例中,对应的暗像素沿着扫描方向系位于亮像素的之前或之后。在一些实施例中,产生修改的曝光图的步骤包括产生多个光刻写入指令给暗像素、亮像素和上述对应的亮像素。在这样的实施例中,当实施时,利用在工件上的单次操作,光刻写入指令实现了给予暗像素的第一曝光剂量、给予亮像素的第二曝光剂量、以及给予上述对应的亮像素的第三曝光剂量。第二曝光剂量大于第一次曝光剂量,第三曝光剂量大于第二曝光剂量。
本发明一实施例所提出的在工件上写入集成电路(IC)图案的方法包括产生能量束以及根据曝光布局将工件曝光于能量束。曝光布局包括由IC图案所定义的多个暗像素和多个亮像素。曝光的步骤包括将能量束定位在曝光布局的一个暗像素所对应的位置、通过不遮没但将能量束偏移至一亮像素来将暗像素曝光于工件上、将能量束定位在对应亮像素的位置、以及通过不遮没也不偏移能量束来将亮像素曝光于工件上,暗像素可以与亮像素相邻。在一些实施例中,能量束偏移以越过曝光布局的曝光网格的至少一个像素。
在一些实施例中,能量束在垂直于IC图案的边缘的方向上扫描,使得能量束沿着扫描方向偏移到亮像素。在一些实施例中,能量束在与IC图案的边缘平行的方向上扫描,使得能量束垂直于扫描方向偏移到亮像素。在一些实施例中,能量束沿着与IC图案的边缘成直角角度的方向上扫描,使得能量束以与扫描方向成一角度而偏移到亮像素。在一些实施例中,暗像素是第一暗像素,亮像素是第一亮像素,其中,第一暗像素和第二暗像素对应于IC图案的边缘。在这种实施例中,曝光的步骤可以进一步包括将能量束定位在曝光布局的第二暗像素所对应的位置、通过遮没但不偏移能量束来将第二暗像素曝光于工件上、将能量束定位在第二明亮像素所对应的位置、并且通过不遮没也不偏移能量束来将第二亮像素曝光于工件上。在一些实施例,能量束是带电粒子束,例如电子束或离子束。在一些实施例中,能量束是激光光束。
本发明一实施例提出的光刻系统包括光刻数据处理模块以及与光刻数据处理模块连接的光刻曝光模块。光刻数据处理模块产生由集成电路(IC)设计布局定义的目标图案的曝光图。曝光图包括分为多个暗像素与多个亮像素的曝光网格,这些暗像素与亮像素组合成目标图案。光刻数据处理模块通过将来自暗像素的曝光能量分配给与目标图案的边缘对应的亮像素来调整曝光图。基于经调整的曝光图,产生用于将目标图案写入工件上的能量束,例如带电粒子束。在一些实施方案中,光刻曝光模块包括用于遮没能量束的遮没系统和用于偏移能量束穿过曝光网格的至少一个像素的网格偏移系统。在一些实施方案中,对于与目标图案的边缘相对应的亮像素而言,当曝光暗像素时,光刻曝光模块遮没能量束并偏移到亮像素。注意,交流耦合包括有助于光刻数据处理模块与光刻曝光模块的各种部件之间的连接和通信的任何电耦合装置,机械耦合装置,其它耦合装置或其组合。
虽然本发明已以较佳实施例公开如上,然其并非用以限定本发明,任何本领域技术人员在不脱离本发明的精神和范围内,当可作变动与润饰,因此本发明的保护范围当视以权利要求所界定者为准。

Claims (1)

1.一种增强图像对比度的方法,用于一光刻工艺,包括:
接收一集成电路设计布局,其中,该集成电路设计布局包括将形成于一工件的一目标图案;
根据该集成电路设计布局来产生一曝光图,其中,该曝光图包括一曝光网格,该曝光网格划分为组合以形成该目标图案的多暗像素以及多亮像素;以及
调整该曝光图以增加在该目标图案的多边缘上的曝光剂量,其中,调整该曝光图的步骤包括:
在该曝光图中定位该目标图案的一边缘部分,其中,该边缘部分具有一对应的亮像素;以及
将来自该等暗像素的至少一者的曝光剂量分配给该对应的亮像素,藉此产生一修改的曝光图。
CN201710998726.2A 2016-12-14 2017-10-20 光刻系统及增强图像对比度与写入集成电路图案的方法 Active CN108227395B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/378,442 2016-12-14
US15/378,442 US10095116B2 (en) 2016-12-14 2016-12-14 Lithography system and lithography method for improving image contrast

Publications (2)

Publication Number Publication Date
CN108227395A true CN108227395A (zh) 2018-06-29
CN108227395B CN108227395B (zh) 2021-12-14

Family

ID=62489128

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710998726.2A Active CN108227395B (zh) 2016-12-14 2017-10-20 光刻系统及增强图像对比度与写入集成电路图案的方法

Country Status (3)

Country Link
US (1) US10095116B2 (zh)
CN (1) CN108227395B (zh)
TW (1) TWI733930B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10942444B2 (en) * 2019-05-01 2021-03-09 Nxp Usa, Inc. Optical control modules for integrated circuit device patterning and reticles and methods including the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101221370A (zh) * 2008-01-24 2008-07-16 上海微电子装备有限公司 硅片边缘曝光系统及其光强控制方法
CN101957556A (zh) * 2009-07-16 2011-01-26 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
CN104423179A (zh) * 2013-08-29 2015-03-18 三星电子株式会社 使用电子束的图案化方法和配置为执行该方法的曝光系统

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264711A (en) 1979-12-10 1981-04-28 Burroughs Corporation Method of compensating for proximity effects in electron-beam lithography
US5393987A (en) 1993-05-28 1995-02-28 Etec Systems, Inc. Dose modulation and pixel deflection for raster scan lithography
US6316164B1 (en) 1999-03-16 2001-11-13 N. William Parker Proximity effect correction method through uniform removal of fraction of interior pixels
US6361911B1 (en) 2000-04-17 2002-03-26 Taiwan Semiconductor Manufacturing Company Using a dummy frame pattern to improve CD control of VSB E-beam exposure system and the proximity effect of laser beam exposure system and Gaussian E-beam exposure system
US7098468B2 (en) 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
GB2404783B (en) 2003-08-01 2005-12-14 Leica Microsys Lithography Ltd Dual-mode electron beam lithography machine
US7427765B2 (en) 2005-10-03 2008-09-23 Jeol, Ltd. Electron beam column for writing shaped electron beams
JP5388478B2 (ja) * 2008-05-20 2014-01-15 株式会社東芝 半導体装置
US9405203B2 (en) 2008-09-23 2016-08-02 Applied Materials, Inc. Pixel blending for multiple charged-particle beam lithography
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
US8378319B2 (en) 2010-03-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for generating direct-write pattern
US8399157B2 (en) * 2010-12-23 2013-03-19 Intel Corporation Lithography mask having sub-resolution phased assist features
US8464186B2 (en) 2011-01-21 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Providing electron beam proximity effect correction by simulating write operations of polygonal shapes
EP2575159B1 (en) 2011-09-30 2016-04-20 Carl Zeiss Microscopy GmbH Particle beam system and method for operating the same
US8601408B2 (en) 2011-10-10 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for replacing a pattern in a layout
US8530121B2 (en) 2012-02-08 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-grid exposure method
US8835082B2 (en) 2012-07-31 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for E-beam lithography with multi-exposure
US8941085B2 (en) 2013-03-14 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography systems and methods including time division multiplex loading
US9081312B2 (en) 2013-05-14 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns with a single exposure by E-beam lithography
WO2015009619A1 (en) * 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101221370A (zh) * 2008-01-24 2008-07-16 上海微电子装备有限公司 硅片边缘曝光系统及其光强控制方法
CN101957556A (zh) * 2009-07-16 2011-01-26 中芯国际集成电路制造(上海)有限公司 掩模版图修正方法、掩模版制作方法和光学邻近校正方法
CN104423179A (zh) * 2013-08-29 2015-03-18 三星电子株式会社 使用电子束的图案化方法和配置为执行该方法的曝光系统

Also Published As

Publication number Publication date
TW201834005A (zh) 2018-09-16
US20180164688A1 (en) 2018-06-14
TWI733930B (zh) 2021-07-21
US10095116B2 (en) 2018-10-09
CN108227395B (zh) 2021-12-14

Similar Documents

Publication Publication Date Title
EP3096342B1 (en) Bi-directional double-pass multi-beam writing
TWI285295B (en) Illumination optimization in lithography
KR100961019B1 (ko) 묘화 방법 및 하전 입자 빔 묘화 장치
US6433348B1 (en) Lithography using multiple pass raster-shaped beam
US5103101A (en) Multiphase printing for E-beam lithography
JP3647128B2 (ja) 電子ビーム露光装置とその露光方法
JP2011199279A (ja) ターゲット上へのマルチビーム露光のための方法
CN110941149B (zh) 用于电子束微影及增加生产量的方法
KR20160111866A (ko) 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
JPH1064812A (ja) 電子ビーム露光方法及びそれを用いたデバイス製造方法
US5256881A (en) Mask and charged particle beam exposure method using the mask
JP7178841B2 (ja) 限定的位置付けグリッドを用いるターゲットの照射方法
TW201303524A (zh) 用於多子束微影設備的分裂圖案的方法
KR20000017174A (ko) 전자 빔 이미징을 사용하는 장치 제조를 위한 리소그래피 공정 및 리소그래피 마스크
JP3552344B2 (ja) 荷電粒子線によるパターン転写方法および転写装置
CN108227395A (zh) 增强图像对比度的方法
CN112764324A (zh) 光刻系统的扫描方法和光刻系统
CN106019821B (zh) 具有多个柱的电子束光刻工艺
JP3913250B2 (ja) 電子ビーム露光装置とその露光方法
JP4468752B2 (ja) 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
US7365829B2 (en) Method and apparatus for image formation
JP3218468B2 (ja) 電子線描画装置
JP3728315B2 (ja) 電子ビーム露光装置、電子ビーム露光方法、および、デバイス製造方法
JP2005302868A (ja) 電子ビーム描画方法および装置
TW201802580A (zh) 遮蔽版的檢查方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant