TW201814393A - 製造積體電路的方法 - Google Patents

製造積體電路的方法 Download PDF

Info

Publication number
TW201814393A
TW201814393A TW106128077A TW106128077A TW201814393A TW 201814393 A TW201814393 A TW 201814393A TW 106128077 A TW106128077 A TW 106128077A TW 106128077 A TW106128077 A TW 106128077A TW 201814393 A TW201814393 A TW 201814393A
Authority
TW
Taiwan
Prior art keywords
layout
component
modified
cutting
cutting pattern
Prior art date
Application number
TW106128077A
Other languages
English (en)
Inventor
吳昀霖
許志瑋
郭正誠
林華泰
江嘉評
陳桂順
龍元祥
蔡晏佐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201814393A publication Critical patent/TW201814393A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Abstract

一種製造積體電路的方法,包括接收具有在兩個第二區域之間的第一區域的積體電路佈局,佈局包括具有第一部件的第一層與在第一區域中具有第二、第三部件的第二、第三層,第二、第三部件共同形成第一部件的切割圖案;以及透過光罩設計工具修改第二、第三部件,產生已修改第二、第三部件,其共同形成用於第一部件的已修改切割圖案,第二、第三部件的修改滿足至少一個條件:相鄰之已修改第二(第三)部件之間的總間距大於相鄰之第二(第三)部件之間的總間距,以及已修改第二(第三)部件的總長度小於第二(第三)部件的總長度。

Description

製造積體電路的方法
本發明實施例是有關於積體電路的製造方法,特別是有關於應用切割圖案的積體電路製造方法。
半導體積體電路工業經歷了指數型的增長。積體電路之材料與設計的技術進步,已經產生了數個世代的積體電路,其中每一個世代具有比上一世代更小且更複雜的電路。這種縮小化過程通常可提高生產效率和降低相關成本,但是也增加了處理和製造積體電路的複雜性。
舉例而言,當製造具有高密度的積體電路裝置時(例如具有鰭式場效應電晶體(FinFET)的裝置),通常使用心軸切割雙重圖案化技術(mandrel-cut double patterning technique)。心軸切割雙重圖案化技術通常使用兩種圖案。第一個圖案定義具有相對均勻之圖案間距和尺寸的心軸圖案,而第二個圖案定義切割圖案。切割圖案用以去除心軸圖案之不被需要的部分、衍生物(derivative)或兩者。使用此種技術通常可改善光微影製程窗口。然而,目前的心軸切割雙重圖案化技術仍有進步的空間。例如,一些切割圖案之設計在圖案之間具有不必要且複雜的圖案佈局及/或窄的端對端(end-to-end)空間,使得光罩和晶圓的製作變得困難。
因此,切割圖案的設計需要被改善。
本發明實施例提供一種製造積體電路的方法。方法包括接收積體電路的佈局,佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向地定向。佈局包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域且延伸至兩個第二區域。佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。佈局更包括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與第三部件共同形成用於第一部件的切割圖案。方法更包括透過光罩設計工具修改第二部件與第三部件,產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改,滿足以下用以改善佈局之光罩與晶圓的可製造性的條件之至少其中之一:(1)相鄰之修改後之第二部件之間的總間距大於相鄰之第二部件之間的總間距;(2)修改後之第二部件的總長度小於第二部件的總長度;(3)相鄰之修改後之第三部件之間的總間距大於相鄰之第三部件之間的總間距;以及(4)修改後之第三部件的總長度小於第三部件的總長度。
本發明實施例提供一種製造積體電路的方法。方法包括接收積體電路的佈局,佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向地定 向。佈局包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域且與第二區域相交。佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。佈局更包括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與第三部件共同形成用於第一部件的切割圖案。方法更包括透過光罩設計工具修改第二部件與第三部件,藉以改善佈局之光罩與晶圓的可製造性,並且產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改包括:分別用第二層和第三層之第一區域中的區塊替換第二部件與第三部件,其中每個區塊僅切割一個第一部件,其中第二層和第三層中的區塊交替地設置在第一部件上,且區塊是修改後之第二部件以及修改後之第三部件,其中本方法滿足以下條件之至少其中之一:修改後之第二部件的總長度小於第二部件的總長度,以及修改後之第三部件的總長度小於第三部件的總長度。
本發明實施例提供一種製造積體電路的方法。方法包括接收積體電路的佈局,佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向地定向。佈局包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域與第二區域。佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。佈局更包 括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與第三部件共同形成用於第一部件的切割圖案。方法更包括透過電腦化光罩設計工具修改第二部件與第三部件,藉以改善佈局之光罩與晶圓的可製造性,並且產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改,滿足以下條件之至少其中之一:相鄰之修改後之第二部件之間的總間距大於相鄰之第二部件之間的總間距,以及相鄰之修改後之第三部件之間的總間距大於相鄰之第三部件之間的總間距。第二部件與第三部件的修改包括:當第一部件之其中之一與第二部件之其中之一以及第三部件之其中之一重疊時,減少第二部件之其中之一的長度或減少第三部件之其中之一的長度,使第二部件之其中之一或第三部件之其中之一不與第一部件之其中之一重疊。
100‧‧‧積體電路製造系統
120‧‧‧設計公司
122‧‧‧積體電路設計佈局
124‧‧‧資料檔案
130‧‧‧光罩公司
132‧‧‧光罩資料準備模組
138‧‧‧心軸切割圖案準備模組
144‧‧‧光罩製作模組
146‧‧‧光罩
150‧‧‧晶圓廠
152‧‧‧晶圓
154‧‧‧心軸切割圖案化程序
160‧‧‧積體電路裝置
200、300、400、500、700‧‧‧積體電路佈局
201、221‧‧‧圖案
202‧‧‧原始佈局輪廓
204‧‧‧輪廓
206、226‧‧‧模擬輪廓
208、228、318、328‧‧‧間隔
220‧‧‧積體電路佈局
222‧‧‧原始輪廓
224‧‧‧光學鄰近修正之輪廓
X、Y‧‧‧方向
302‧‧‧第一區域
304‧‧‧第二區域
306、307、308‧‧‧部件
310‧‧‧通孔
312、314、A、B、402、502、504‧‧‧切割圖案
Wm‧‧‧寬度
Pm‧‧‧間距
C‧‧‧區塊
352、354、371、372、373、374、375、376、377、378、379‧‧‧虛線框
OD‧‧‧氧化物擴散區
MD‧‧‧氧化物擴散區上之金屬
CMDA‧‧‧切割圖案A
CMDB‧‧‧切割圖案B
MP‧‧‧多晶矽區上之金屬
VG/VD‧‧‧(閘極/汲極上)通孔
600、800‧‧‧佈局
506、508‧‧‧邊緣距離
CD‧‧‧臨界尺寸
404、406‧‧‧區域
142‧‧‧GDSII檔案
170‧‧‧光罩設計系統
172‧‧‧處理器
174‧‧‧系統記憶體
176‧‧‧大量儲存裝置
178‧‧‧通訊模組
1000‧‧‧方法
1002-1008‧‧‧操作
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖是依據本發明實施例之積體電路製造系統的示意圖以及相關之積體電路製造流程。
第2A、2B圖是依據本發明實施例之兩個不同的佈局圖案以及相對應的光罩圖案。
第3A、3B、3C、3D、3E圖是依據本發明實施例,描繪在佈局修改程序之不同階段內的積體電路佈局。
第4A、4B、4C、4D圖是依據本發明實施例,描繪在另一佈局修改程序之不同階段內的積體電路佈局。
第5A、5B圖是依據本發明實施例,描繪在佈局修改程序之前以及之後的積體電路佈局。
第6A圖是依據本發明實施例之積體電路佈局。
第6B圖描繪透過應用於第6A圖的佈局修改程序所產生的範例。
第6C圖描繪透過應用於第6A圖的佈局修改程序所拒絕的範例。
第7A、7B、7C圖是依據本發明實施例,描繪在佈局修改程序之前以及之後的另一積體電路佈局。
第8A圖是依據本發明實施例之積體電路佈局。
第8B、8C、8D圖描繪透過應用於第8A圖的佈局修改程序所拒絕的範例。
第9圖是依據本發明實施例,描繪被第1圖之光罩廠使用之光照設計工具的範例。
第10圖是依據本發明實施例之設計或修改切割圖案的方法流程圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用 以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本發明實施例是有關於製造積體電路(integrated circuit(IC))的方法,更加具體而言,本發明實施例是有關於將心軸切割雙重圖案化技術應用於積體電路的製作。
第1圖是積體電路製造系統100的實施例以及相關的積體電路製造流程,且可以受益於本發明實施例的各種內容。積體電路製造系統100包括多個實體,例如設計公司120、光罩公司130和積電路製造商(或晶圓廠150)。上述實體在設計、開發和製造週期及/或有關於製造積體電路裝置160的服務中彼此相互作用。上述多個實體透過通訊網路連接,而通訊網 路可以是單一網路或各種不同的網路(例如內部網路和網際網路),並且可以包括有線及/或無線通訊通道。每個實體可以與其他實體進行互動,並且可以對其他實體提供服務及/或從其他實體接收服務。設計公司120、光罩公司130以及晶圓廠150中的一或多個可以由單一公司所擁有,甚至可以共同存在於共同設施中並且使用共同資源。簡而言之,設計公司120產生存儲在資料檔案124中的積體電路設計佈局122;光罩公司130使用資料檔案124產生一或多個光罩146;且晶圓廠150使用光罩146製造一或多個晶圓152,進而產生積體電路裝置160。下文內容將對每個實體提出更詳細的描述。
設計公司120(或設計團隊)產生積體電路設計佈局122,且積體電路設計佈局122包括為積體電路裝置160設計的各種幾何圖案。幾何圖案對應於組成積體電路裝置160之各種元件的金屬、氧化物或半導體層的圖案。舉例而言,積體電路設計佈局122的一部分可包括積體電路部件(IC features)(例如主動區、閘極電極、源極和漏極部件、局部(或層位(level)0)連結線(local interconnect line)、金屬線或內連線(interlayer interconnect)之通孔)以及用於形成在半導體基底(例如矽晶圓)中或之上的接合襯墊(bonding pad)的開口(opening)。設計公司120執行適當的設計程序以形成積體電路設計佈局122。設計過程可以包括邏輯設計、實體設計及/或放置與佈線。積體電路設計佈局122呈現於具有幾何圖案資訊的一或多個資料檔案124中。舉例而言,資料檔案124可以是GDSII檔案格式、DFII檔案格式或其他合適的檔案格式。
光罩公司130使用積體電路設計佈局122來製造一或多個光罩146,且光罩146被用於製造積體電路裝置160的各個層。光罩公司130執行光罩資料準備模組132(其中積體電路設計佈局122被轉換為可被光罩寫入器實體地寫入的形式)以及光罩製作模組144(其中由光罩資料準備模組132所準備的資料被修改以符合特定的光罩寫入器及/或光罩製造商,進而被製造)。在一實施例中,光罩資料準備模組132和光罩製作模組144被表示為分離的元件。然而,光罩資料準備模組132和光罩製作模組144可以統稱為光罩資料準備模組。
在目前的先進製程中,積體電路設計佈局122通常需要符合一組製造規則,以便適當地在下游被製造(包括於光罩製作模組144)。以鰭式場效電晶體(FinFET)製程為例,鰭式主動區被設計為矩形部件且縱向地定向(oriented lengthwise)在一個方向上,而閘極電極被設計為矩形部件(俯視圖)且縱向地定向以垂直於鰭式主動區。此外,局部連結線經常用於連接各電晶體之間的源極和汲極區域,並且被設置在與閘極電極相同的堆疊層位。換句話說,局部連結線和閘電極均佈置在內連線(inter-layer interconnect)之下。為了擴大製程窗口(process window),在本發明實施例中,局部連結線是透過心軸切割圖案化技術(mandrel-cut patterning techniques)來製造。心軸圖案(mandrel pattern)可被設計為具有特定間距的複數平行矩形部件。切割圖案可被設計為定向以垂直於心軸圖案的矩形部件。此外,切割圖案可以被分成兩個或更多個子集,其中每個子集製作成單獨的光罩。在一實施例中,光罩資料準備模組132包 括心軸切割圖案準備模組138。心軸切割圖案準備模組138檢查積體電路設計佈局122的心軸以及切割圖案,並且修改切割圖案以改善佈局的可製造性。光罩資料準備模組132可包括未在第1圖中顯示的額外模組,例如光學鄰近校正(optical proximity correction(OPC))、光罩規則檢查器、微影處理檢查器以及其他分辨率增強技術(RET)。
第2A圖描繪具有各種矩形佈局圖案201之積體電路佈局200的示意圖。積體電路佈局200是積體電路設計佈局122(第1圖)的一個實施例。第2A圖進一步描繪了圖案201的不同輪廓,包括原始佈局輪廓(outline)202O、由光學鄰近校正修改的輪廓204以及模擬輪廓(contour)206。原始佈局輪廓202由設計公司120所產生。輪廓204由光罩資料準備模組132中的光學鄰近校正引擎(未示於圖式中)所產生,且相近地表示光罩146上的對應圖案。模擬輪廓206由光罩公司130中的模擬器(未示於圖式中)基於輪廓204以及晶圓廠150執行之製程所產生。模擬輪廓206接近地表示在晶圓152上形成的最終圖案。如圖所示,圖案201的輪廓(以及形狀)在整個光罩和晶片製造過程中變化很大。第2A圖亦描繪沿著方向X之介於相鄰的圖案201之間的間隔208(或間隙)。由於圖案201的形狀的變化,間隔208可能在上述製造過程期間變窄。這可能導致圖案之間不具有充分的間距,進而對光罩製作和晶片製作造成挑戰。例如,圖案之間的間距不足可能導致圖案短路(連接)。在一實施例中,圖案201是切割圖案,用以切割被定向以垂直於圖案201之心軸圖案。切割圖案201的短路意味著某些心軸圖案可能被錯誤地切割 (或保留)。
面對這種問題的一種方法是將圖案201分成兩個或多個子集。舉例而言,一個子集可以包括沿方向X的其他每一個圖案201,而另一個子集可以包括剩餘的圖案201。每個子集被製作成單獨的光罩146。在一些實施例中,上述方法擴大任何光罩146中的相鄰圖案201之間的間隔,從而擴大製程窗口。
第2B圖描繪面對上述問題的另一種方法。如第2B圖所示,積體電路佈局220包括被設計為正方形或近似正方形的各種圖案221。積體電路佈局220是積體電路設計佈局122(第1圖)的一個實施例。圖案221的各種輪廓包括由設計公司120產生的原始輪廓222、光學鄰近修正之輪廓224以及模擬輪廓226。如第2B圖所示,圖案221的各種輪廓彼此緊密匹配。換句話說,圖案221的形狀在整個光罩和晶圓製造過程中基本上保持相同。因此,沿著方向X的相鄰圖案221之間的間隔228,在整個光罩和晶圓製造過程中基本上保持相同。在一些實施例中,此方法具有小的及/或正方形圖案,可有益處地減少光罩146的數量,並且減少由晶圓廠150所執行之微影製程的數量,進而降低了製造成本。
在一些實施例中,心軸切割圖案準備模組138在製造或修改切割圖案時,使用一或兩個上述方法,藉以改善佈局的可製造性。第3A-3E圖是根據本發明實施例,描繪心軸切割圖案準備模組138之佈局修改的各個階段中的積體電路佈局300。第4A-4D圖是根據本發明實施例,描繪心軸切割圖案準備 模組138之佈局修改的各個階段中的積體電路佈局400。第5A-5B圖是根據本發明實施例,描繪在心軸切割圖案準備模組138之佈局修改之前與之後的積體電路佈局500。第7A-7C圖是根據本發明實施例,描繪在心軸切割圖案準備模組138之佈局修改之前與之後的積體電路佈局700。積體電路佈局300、400、500以及700是積體電路設計佈局122(第1圖)的一些實施例。第6A-6C圖和第8A-8D圖描繪可被心軸切割圖案準備模組138接受或拒絕的一些情況。心軸切割圖案準備模組138的各種面向會在下文中搭配第3A-8D圖來進行討論。
如第3A圖所示,心軸切割圖案準備模組138接收積體電路佈局300。積體電路佈局300包括介於兩個第二區域304之間的第一區域302。在一實施例中,第一區域302用於放置和佈置電源線,諸如正電源線、負電源線及/或接地線。進一步地,在本實施例中,第二區域304用於放置標準單元,例如AND、OR、XOR、NAND以及反相器。第一區域302和第二區域304沿方向X縱向地定向。積體電路佈局300可以包括其他區域(未示於圖中),例如I/O單元區域。此外,除了電力線配置以及標準單元放置以外,第一區域302和第二區域304可被使用於其他類型的電路特徵。
積體電路佈局300包括用於形成各種電路特徵的複數個層。在一實施例中,積體電路佈局300包括第一層,且第一層具有沿著方向Y(垂直於方向X)縱向地定向的部件306。在此實施例中,部件306穿過第一區域302並延伸至兩個第二區域304。在一些實施例中,一些部件306可延伸至一個第二區域 304中,但不是延伸至兩個第二區域304。此外,在一實施例中,部件306具有大致上均勻的寬度Wm,且彼此間隔大致上均勻的間距Pm。具有均勻的尺寸與間距的部件306,可有助於改善微影製程窗口。積體電路佈局300更包括部件308。部件308沿著方向Y縱向地定向,並且不連接到第二區域304中的標準單元。在一個實施例中,部件308是虛擬部件(dummy feature)。在另一個實施例中,部件308是一個長連結(long interconnect)。積體電路佈局300更包括在第一區域302中的通孔(或通孔部件)310。每一個通孔310被設置在部件306之一者上,並將對應之部件306連接到較上層位的連結(未示於圖中)。
在一實施例中,部件306是對應於局部連結線的心軸圖案。在另一個實施例中,每個局部連結線連接到其中一個第二區域304的標準單元,而不是兩個第二區域304。為了實現這一點,積體電路佈局300更包括一或多個切割層,且一或多個切割層具有將部件306切割成兩個或更多個部分(segments)的切割圖案。在所述之實施例中,積體電路佈局300包括兩個切割層。第一切割層包括第一區域302中的切割圖案A以及第二區域304中的切割圖案312。第二切割層包括第一區域302中的切割圖案B和第二區域304中的切割圖案314。將切割圖案A、切割圖案B、切割圖案312和切割圖案314分離至兩個切割層的操作,可透過心軸切割圖案準備模組138、光罩資料準備模組132中的另一模組(未示於圖中)或設計公司120來執行。在一些實施例中,部件306可以是用於其他電路特徵的心軸圖案(而非用於局部連結線)。舉例而言,部件306可以是用於鰭式結構的 心軸圖案。
在一實施例中,每個切割圖案312和切割圖案314是在方向X上縱向地定向的矩形部件。相對地,因為通孔310不能被切割圖案A和切割圖案B切割,切割圖案A、切割圖案B具有比切割圖案312、切割圖案314相對更複雜的佈局。複雜的佈局對於光罩及/或晶圓製作是一個挑戰。
第3A圖描繪兩個相鄰切割圖案A之間的間隔318,其中之一是細長型部件。第3A圖亦描繪兩個相鄰切割圖案B之間的間隔328,上述兩個切割圖案B都是細長型部件。間隔318和間隔328各自具有約為間距Pm的尺寸(沿著方向X)。如第2A圖所討論之內容,間隔318和間隔328在光罩和晶圓製造過程中可能造成困難。心軸切割圖案準備模組138修改積體電路佈局300以改進製程窗口。舉例而言,透過使用較小或方形切割圖案來代替細長型的切割圖案A、B,如第3B-3E圖所示。
如第3B所示,心軸切割圖案準備模組138使用第一區域302中的區塊(block)來替換切割圖案A和切割圖案B。為了便於理解,第一切割層中的區塊被標記為「A」,且第二切割層中的區塊被標記為「B」。區塊亦為部件306的切割圖案(或修改後之切割圖案)。每個切割圖案A或切割圖案B的寬度大約等於間距Pm,並且每一個切割圖案A和切割圖案B僅切割一個部件306。此外,切割圖案A和切割圖案B交替地佈置在部件306上,如第3B圖所示。除此之外,在此階段中,心軸切割圖案準備模組138將一個區塊設置在部件308上。此區塊將在另一步驟中被移除。
進一步地,心軸切割圖案準備模組138檢查是否有任何一個切割圖案A和切割圖案B與第一區域302中的通孔310重疊。若有區塊與第一區域302中的通孔310重疊,則心軸切割圖案準備模組138將第一區域302中的上述區塊移位,使上述區塊不與通孔重疊。如第3B圖所示,從左側起算的第三塊切割圖案B與通孔310重疊。心軸切割圖案準備模組138將此切割圖案B向下移動,使得此切割圖案B不與通孔310重疊,如圖3D所示。3D。如第3D圖所描繪之內容,在俯視圖中,切割圖案B被移位以與切割圖案A對齊。由於切割圖案A和切割圖案B位於不同的切割層,因此切割圖案A和切割圖案B之間的間距不是光罩和晶圓製作所需關注的部分。
在另一個實施例中,上述移位操作是透過兩個操作來實現:去除相對應的區塊,並且在相同切割層中的不同位置添加另一個區塊。如第3B圖所示,心軸切割圖案準備模組138可將從左側起算的第三切割圖案B移除,產生如第3C圖所示的積體電路佈局300。繼之,心軸切割圖案準備模組138在與切割圖案A對齊的位置(在俯視圖中)添加新的切割圖案B,藉以切割相同的部件306,產生如第3D圖所示之積體電路佈局300。心軸切割圖案準備模組138檢查並且確保新的切割圖案B不與通孔310重疊。
心軸切割圖案準備模組138亦檢查是否有任何一個切割圖案A和切割圖案B,切割不應該被切割的部件,如第3A圖所示。舉例而言,第3B圖中最右側的切割圖案B圖切割部件308,而部件308在第3A圖中並未被切割。一旦發現此區塊, 心軸切割圖案準備模組138將此區塊從佈局中移除,產生如第3E圖所示的積體電路佈局300。上述操作可確保修改後的佈局(例如第3E圖之內容)與修改之前的佈局(例如第3A圖之內容)匹配。
如第3E圖所示,在心軸切割圖案準備模組138完成第3B-3E圖以及上述內容的操作之後,可獲得修改後的積體電路佈局300。比較第3A圖和第3E圖所示之積體電路佈局300,可產生如下文所述之觀察內容。第一,修改後之佈局的相鄰切割圖案A之間的總間距(在第3E圖中約為3*Pm),大於修改前之佈局的相鄰切割圖案A之間的總間距(在第3A圖中約為2*Pm);第二,修改後之佈局的切割圖案A的總長度(在第3E圖中約為4*Pm),小於修改前之佈局的切割圖案A的總長度(在第3A圖中約為5*Pm);第三,修改後之佈局的相鄰切割圖案B之間的總間距(在第3E圖中約為2*Pm),大於修改前之佈局的相鄰切割圖案B之間的總間距(在第3A圖中約為1*Pm);第四,修改後之佈局的切割圖案B的總長度(在第3E圖中約為3*Pm),小於修改前之佈局的切割圖案B的總長度(在第3A圖中約為4*Pm)。
比較第3A圖以及第3E圖所示的佈局,即使上述兩個佈局具有相同之第一切割層中的最小間距,第3E圖的修改後之佈局更加容易製作,因為第3E圖之切割圖案A比第3A圖之切割圖案A短。相似地,即使上述兩個佈局具有相同之第二切割層中的最小間距,第3E圖的修改後之佈局更加容易製作。上述第一至第四觀察結果表示修改後之佈局改善了修改前之佈局的光罩及/或晶圓可製造性。在一些實施例中,心軸切割圖案 準備模組138修改積體電路設計佈局122,藉以實現上述第一至第四觀察結果中的一或多個觀察結果。
在一些實施例中,心軸切割圖案準備模組138可以採用兩個或更多個切割層。第3B-3E圖描繪具有兩個切割層的實施例,而第4A-4D圖描繪具有三個切割層的實施例。基於第3B-3E圖以及第4A-4D圖之內容,本領域技術人員可以得出心軸切割圖案準備模組138的其他實施例。第4A-4D圖之實施例將於下文中描述。
如第4A圖所示,心軸切割圖案準備模組138分別用三個切割層(第一、第二以及第三切割層)中的切割圖案A、B、C替換原來放置在第一區域302中的切割圖案。每一個切割圖案A、B、C具有大約為間距Pm的長度。切割圖案A、B、C交替地放置在部件306上,並且在此操作中不考慮通孔310和連續的部件308。
進一步地,心軸切割圖案準備模組138檢查是否有任何一個切割圖案A、B、C與通孔310重疊。若有區塊與通孔310重疊,則心軸切割圖案準備模組138將上述區塊移除。舉例而言,第4A圖中從左側起算之第一切割圖案C與第三切割圖案B在此操作中被移除,產生第4B圖所示之積體電路佈局400。
如第4C圖所示,心軸切割圖案準備模組138將切割圖案B(從左側起算的第三切割圖案B)設置到部件306上。基於預修改前之佈局(未示於圖中),此部件306需要被切割。上述操作有效地將在上述部件306上的第三切割圖案B,從與通孔310(第4A圖)重疊的位置移動到不與通孔310重疊的另一位置 (第4C圖)。
心軸切割圖案準備模組138亦檢查切割圖案A、B、C中的任一切割圖案,是否切割在修改前之佈局中未切割的部件。舉例而言,第4C圖中最右側的切割圖案A切割不應該被切割的部件308。一旦找到此種切割圖案,心軸切割圖案準備模組138將此切割圖案從佈局中移除,產生如第4D圖所示之積體電路佈局400。上述操作可以確保修改後之佈局與修改前之佈局相匹配。
在一些實施例中,心軸切割圖案準備模組138可以採用各種其他技術,藉以實現在第3E圖中討論的上述第一至第四觀察內容。在一個實施例中,心軸切割圖案準備模組138不如第3A-4D圖所示之內容,使用切割區塊替換原始切割圖案。相對地,心軸切割圖案準備模組138判別相鄰切割圖案之間的臨界間隔(例如間隔小於2*Pm),並且檢查與臨界間隔相鄰的心軸部件中的任何一個心軸部件,是否與不同切割層之多於一個的切割圖案重疊。如果發現這種心軸部件,則心軸切割圖案準備模組138減少其中之一個切割圖案的長度,使得此切割圖案不再切割此心軸部件。上述操作效地減少了相應切割層中的切割圖案的總長度,並且增加了相應切割層中的切割圖案的間隔。第5A-5B圖以及第7A-7C圖描繪心軸切割圖案準備模組138可以實現上述技術的兩個實施例。
如第5A圖所示,積體電路佈局500包括相似於積體電路佈局300(第3A圖)所述之部件的各種部件。積體電路佈局500更包括一些部件307。上述部件307具有在第一區域302中的 端部,並且延伸至兩個第二區域304的其中之一,而不是兩個第二區域304。在一實施例中,針對部件307上的切割圖案的修改與針對部件306上的切割圖案的修改不同。
在一個實施例中,心軸切割圖案準備模組138判別積體電路佈局500中的臨界間隔,例如第一切割層中的間隔318和第二切割層中的間隔328。每一個間隔318和間隔328具有大致等於心軸部件307和部件306之間距Pm的寬度。與間隔318和間隔328相鄰的相應切割圖案是長形圖案。如第2A圖所示,此種佈局給光罩和晶圓製作帶來困難。
心軸切割圖案準備模組138亦識別兩個部件307和部件306。兩個部件307和部件306分別與間隔318和間隔328相鄰,並且被切割圖案A和切割圖案B切割。如第5A圖之虛線框352和虛線框354所示,虛線框352和虛線框354各自具有沿方向X之大約為間距Pm的寬度。由於心軸部件被兩個切割圖案切割,所以切割圖案的其中之一可被去除。如下文所述,心軸切割圖案準備模組138決定哪個切割圖案被去除。
對於虛線框354,減少虛線框354中的切割圖案B的長度以增加間隔328的寬度。同時,減少虛線框354中的切割圖案A的長度不會增加間隔318或間隔328的寬度。因此,切割圖案B在虛線框354中的部分被去除,且在虛線框354中的切割圖案A被保留。
對於虛線框352執行相同的分析。切割圖案A在虛線框352中的部分被移除,而在虛線框352中的切割圖案B被保留。所產生的積體電路佈局500如第5B圖所示,相較於第5A 圖,間隔318和間隔328皆被擴大。心軸切割圖案準備模組138可以使用相同的方法增加其他臨界間隔。
通過執行上述操作,可滿足以下條件之至少其中之一:(1)修改後之佈局的相鄰切割圖案A之間的總間距,大於修改前之佈局的相鄰切割圖案A之間的總間距;(2)修改後之佈局的切割圖案A的總長度,小於修改前之佈局的切割圖案A的總長度;(3)修改後之佈局的相鄰切割圖案B之間的總間距,大於修改前之佈局的相鄰切割圖案B之間的總間距;(4)修改後之佈局的切割圖案B的總長度,小於修改前之佈局的切割圖案B的總長度。
在一實施例中,由於虛線框352與部件307有關(在第一區域302中具有一端部),所以心軸切割圖案準備模組138執行進一步分析,藉以驗證如第6A-6C圖所示之上述切割圖案移除的判定。如第6A圖所示,佈局600包括部件307,且部件307具有由切割圖案502和切割圖案504切割的一端部。第6B圖描繪已去除切割圖案504的修改後之佈局。部件307的端部被切割圖案502充分覆蓋,且在切割圖案內的邊緣距離(margin)506大於或等於臨界尺寸CD。因此,第6B圖的修改後之佈局600可被心軸切割圖案準備模組138所接受。第6C圖描繪已去除切割圖案502的修改後之佈局。部件307的端部沒有被切割圖案504適當地覆蓋,切割圖案504內的邊緣距離508小於臨界尺寸CD。邊緣距離不足可能會導致部件307的端部未被適當地切割的情況。因此,第6C圖的修改後之佈局600會被心軸切割圖案準備模組138所拒絕。若切割圖案504沒有覆蓋部件307的端部且切 割圖案502覆蓋部件307的端部,則會執行相同的接受(第6B圖)和拒絕(第6C圖)的判斷。如第5A、5B圖所示,虛線框352之切割圖案的移除與第6B圖所示的情況類似,因此是可被接受的。另一方面,如果心軸切割圖案準備模組138判斷切割圖案的移除是不可被接受的,則心軸切割圖案準備模組138可撤消上述改變並且尋求其他方式來增加製程窗口。
第7A、7B、7C圖描繪另一實施例,其中心軸切割圖案準備模組138透過識別臨界間隔、識別切割圖案可移除的部分以及相對應地去除部分切割圖案來修改佈局。第7A、7B、7C圖之內容如下文所述。
如第7A圖所示,心軸切割圖案準備模組138接收具有第一區域302、第二區域304、部件306、第一切割層中的切割圖案A以及第二切割層中的切割圖案B的積體電路佈局700。心軸切割圖案準備模組138識別臨界間隔,例如第一切割層中的間隔318,以及第二層中的間隔328(第7A圖可顯示或可不顯示所有臨界間隔)。特別的是左下方臨界間隔328,其中切割圖案B可以被延伸以去除臨界間隔328。在一實施例中,心軸切割圖案準備模組138將切割圖案B延伸以消除臨界間隔328。所產生的積體電路佈局700如第7B圖所示。應當注意,其他臨界間隔也可以透過上述該方法去除。
進一步地,心軸切割圖案準備模組138識別切割圖案A和切割圖案B的可移除部分,如虛線框371、372、373、374、375、376、377、378和379所示。每個虛線框在本實施例中具有約為間距Pm的寬度。
繼之,心軸切割圖案準備模組138決定要去除虛線框371-379中的切割圖案A、切割圖案B的哪個可移除部分,進而將其移除。在一實施例中,虛線框372、374、375、376和379中的切割圖案A的部分被去除;且虛線框371、373和377中的切割圖案B的部分被去除。所產生之積體電路佈局700如第7C圖所示。應當注意,在另一實施例中,虛線框378中的切割圖案A或切割圖案B也可以被移除。
繼之,心軸切割圖案準備模組138可執行如6A-6C圖所示的檢查。
繼之,心軸切割圖案準備模組138可以執行其他檢查,藉以確保修改後之佈局在電路功能方面,可與修改前之佈局相匹配。第8A-8D圖描繪一些其他的檢查。第8A圖描繪透過心軸切割圖案準備模組138修改之前的佈局800(僅切割圖案)。第8B-8D圖分別描繪被心軸切割圖案準備模組138拒絕的修改後之切割圖案佈局。如第8B圖所示,切割圖案402被放置在佈局的一個區域中,且上述區域不應該被切割。在第8C圖中,去除區域404中的所有切割圖案,使區域404中沒有切割圖案。如第8D圖所示,區域406中的單一切割圖案被去除,使區域406中不留下切割圖案。
如第1圖所示,在光罩資料準備模組132修改積體電路設計佈局122之後,在光罩製作模組144期間基於修改後之積體電路佈局來製造光罩146或一組光罩146。舉例而言,電子束(e-beam)或多重電子束技術被用以在光罩(mask、photomask或reticle)上形成圖案(基於修改後之積體電路設計佈局)。光罩 146可透過各種技術形成。在一個實施例中,光罩146是使用二進制(binary)技術形成的。進一步地,在本實施例中,光罩圖案包括不透明區域和透明區域。用以曝光塗覆在晶圓上的影像敏感材料層(例如光阻劑)的輻射光線(例如紫外線(UV)),被不透明區域阻擋並且透過透明區域。在一個實施例中,二進制光罩包括透明基底(例如,熔融石英(fused quartz))和塗覆在光罩的不透明區域中的不透明材料(例如鉻(chromium))。在另一實施例中,光罩146透過相移(phase shift)技術來形成。在相移光罩(phase shift mask(PSM))中,形成在光罩上的圖案的各種特徵,被配置為具有適當的相位差以增強解析度以及影像品質。在各種實施例中,相移光罩可為本領域已知的衰減型(attenuated)PSM或交替型(alternating)PSM。在另一實施例中,光罩146為反射光罩(reflective mask)。舉例而言,反射光罩可以包括低熱膨脹材料(low thermal expansion material LTEM)層、低熱膨脹材料層上的反射多層(multilayer(ML))、反射多層上的吸收層以及其它層。反射多層被設計以反射輻射,例如具有約1-100nm波長的極紫外(EUV)光。吸收層被設計以具有特定光罩圖案,特定光罩圖案可決定輻射如何被反射或吸收。在一實施例中,由模組(光罩資料準備模組132)所準備的心軸和切割圖案被形成在光罩146上。特別地,心軸圖案、修改後之切割圖案A和修改後之切割圖案B可形成在分開的光罩中。除了具有心軸和切割圖案的層之外,光罩146可以包括其它層。由於心軸切割圖案準備模組138產生具有已放大之製程窗口(用於光罩製作)的佈局,所以光罩146的品質得到改善。
晶圓廠150(例如半導體代工廠)使用光罩146來製造積體電路裝置160。晶圓廠150是積體電路製造業,可以包括用於製造各種不同積體電路產品的大量製造設備。舉例而言,可能具有第一製造設備,用於積體電路產品的前端製造(亦即front-end-of-line(FEOL)製造);第二製造設備可提供用於積體電路產品之連接與封裝的後端製造(亦即back-end-of-line(BEOL)製造);以及第三製造設備可為代工業務,提供其他服務。在一實施例中,使用光罩146來製造半導體晶圓152,藉以形成積體電路裝置160。半導體晶圓152包括可在本體上形成材料層的矽基底或其他合適的基底。其他合適的基底材料包括另一種合適的基本半導體,例如金剛石或鍺;合適的化合物半導體,例如碳化矽(silicon carbide)、砷化銦(indium arsenide)或磷化銦(indium phosphide);或合適的合金半導體,例如碳化矽鍺(silicon germanium carbide)、磷化鎵砷(gallium arsenic phosphide)或磷化鎵銦(gallium indium phosphide)。半導體晶圓152還可以包括各種摻雜區域、鰭式部件、閘電極、介電質部件和多層連結。
在一實施例中,半導體晶圓152透過晶圓廠150使用包括心軸切割圖案化程序154的各種製成來製造。舉例而言,心軸切割圖案化程序154在晶圓152上形成一層材料,並對上述層執行微影製程以形成心軸圖案。微影製程使用具有心軸圖案(例如部件306、307)的光罩146。在一實施例中,心軸圖案可以是局部連結線。進一步地,心軸切割圖案化程序154使用具有修改後之切割圖案A的光罩146執行微影處理,藉以產生第 一組蝕刻光罩元件;並且透過第一組蝕刻光罩元件蝕刻心軸圖案。繼之,心軸切割圖案化程序154使用具有修改後之切割圖案B的光罩146執行另一微影處理,藉以產生第二組蝕刻光罩元件;並且透過第二組蝕刻光罩元件蝕刻心軸圖案。透過上述方式,修改後之切割圖案A、B共同地切割心軸圖案。透過光罩資料準備模組132對切割圖案A、B的增強,用於微影製程的製程窗口被放大。在另一個實施例中,心軸切割圖案化程序154可以使用具有修改後之切割圖案A的光罩146來執行微影製程,藉以產生第一組蝕刻光罩元件;使用具有修改後之切割圖案B的光罩146執行另一微影製程,藉以產生與第一組蝕刻光罩元件合併的第二組蝕刻光罩元件;並且透過第一、第二組蝕刻光罩元件蝕刻心軸圖案。運用光罩146的各種其它實施例可以替代地或額外地由晶圓廠150實現。
第9圖根據本發明實施例,描繪比第1圖更詳細的光罩公司130的示意圖。在一實施例中,光罩公司130包括光罩設計系統(或光罩設計工具)170。光罩設計系統170可被操作以執行第1圖之光罩資料準備模組132所描述的功能。光罩設計系統170是諸如計算機、服務器、工作站或其它合適的設備的訊息處理系統。光罩設計系統170包括通信地耦接至系統記憶體174、大量儲存裝置176和通訊模組178的處理器172。系統記憶體174對處理器172提供非暫態的電腦可讀儲存裝置,以利處理器執行計算機指令。系統記憶體的實施例可包括隨機存取記憶體,例如動態隨機存取記憶體(DRAM)、同步動態隨機存取記憶體(SDRAM)、固態記憶體裝置及/或本領域已知的各種其他 記憶體裝置。電腦程式、指令和資料備存儲在大量儲存裝置176上。大量存儲裝置的實施例可以包括硬碟、光碟、磁光碟(magneto-optical discs)、固態儲存裝置及/或其他已知的大量儲存裝置。通訊模組178可被操作以將資料(例如積體電路設計佈局檔案)與積體電路製造系統100中的其他元件(諸如設計公司120)進行通訊。通訊模組的實施例可包括乙太網路卡、802.11 WiFi裝置、蜂巢式資料無線電(cellular data radios)及/或本領域已知的其它合適的裝置。
在運作中,光罩設計系統170被配置以操作積體電路設計佈局122,包括產生心軸圖案、切割圖案及/或修改切割圖案以改善光罩和晶圓製造的製程窗口。舉例而言,在一個實施例中,心軸切割圖案準備模組138可被實現為在光罩設計系統170中執行的軟體指令。在這樣的實施例中,光罩設計系統170從設計公司120接收包含積體電路設計佈局122(或者不同的實施例的積體電路佈局300、400、500、700)的第一GDSII檔案(資料檔案124)。繼之,光罩設計系統170使用與前述心軸切割圖案準備模組138有關的各種技術來修改佈局。在佈局被修改以改善可製造性之後,光罩設計系統170向光罩製作模組144傳送第二GDSII檔案142。第二GDSII檔案142包括具有心軸圖案和修改後之切割圖案的設計佈局。在另一實施例中,積體電路設計佈局122可用不同的檔案格式在積體電路製造系統100的元件之間傳輸,例如DFII、CIF、OASIS或任何其它合適的黨案類型。此外,光罩設計系統170和光罩公司130可以在其他實施例中包括額外的及/或不同的元件。透過使用本發明實 施例中與切割圖案修改有關的操作,光罩設計系統170能夠產生用於光罩及/或晶圓製作的更高品質的佈局。
參考第10圖之內容,第10圖為根據本發明實施例,描繪使用心軸切割雙重圖案化技術來製造積體電路裝置的方法1000的流程圖。方法1000可以透過系統100(第1圖)的整體或部分內容來實現。應當理解,可以在方法1000之前、之中和之後提供額外的操作,且在額外的實施例中,一些所述的操作可以被替代、消除或移動。方法1000為一個範例,並不用以對本發明實施例產生在申請專利範圍中明確敘述之內容以外的限制。方法1000包括操作1002、1004、1006、1008。這些操作的許多內容已在前述第1-9圖中描述。為求簡單明瞭,這些操作將在下文中概括描述。
在操作1002中,方法1000接收目標積體電路設計佈局。目標積體電路設計佈局具有心軸層以及兩個或更多個切割層。舉例而言,目標積體電路設計佈局可以是如上述的積體電路佈局300、積體電路佈局400、積體電路佈局500或積體電路佈局700,或者其它合適的佈局。
在操作1004中,方法1000修改兩個或更多個切割層中的切割圖案,藉以改進這些層用於光罩及/或晶圓製作的可製造性。在一個實施例中,操作1004包括用切割區塊(或修改後之切割圖案)替換切割圖案、移動切割區塊以避免切割通孔部件以及去除不重要的切割區塊。此實施例以及其他實施例已在第3A-3E圖以及第4A-4D圖中討論。在另一個實施例中,操作1004包括識別臨界間隔、識別切割圖案的可移除部分以及 去除切割圖案的某些可移除部分。此實施例以及其他實施例已在第5A-5B圖以及第7A-7C圖中討論。
在操作1006中,方法1000基於修改後之積體電路佈局來產生光罩。特別地,在一實施例中,操作1006為心軸圖案和修改後之切割圖案產生個別的光罩。
在操作1008中,方法1000在製造一個或多個晶圓時,使用光罩進行微影製程。
儘管非用於限制之目的,本發明實施例為積體電路設計和製造提供了許多益處。舉例而言,本發明實施例提供有效率以及有效用的方法以應用於積體電路佈局之設計或修改切割圖案。在一些實施例中,所得到的切割圖案在切割圖案的相鄰端之間具有增大的間距。增大的間距提高了佈局在光罩及/或晶圓製造期間的可製造性。在一些實施例中,所得到的切割圖案具有較小的幾何尺寸,且在製造過程中基本上保持不變。這也提高了佈局在光罩及/或晶圓製造期間的可製造性。
本發明實施例提供一種製造積體電路的方法。此方法包括接收積體電路的佈局,此佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向地定向。佈局更包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域且延伸至兩個第二區域。此佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。此佈局更包括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與 第三部件共同形成用於第一部件的切割圖案。此方法更包括透過光罩設計工具修改第二部件與第三部件,產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改,滿足以下用以改善佈局之光罩與晶圓的可製造性的條件之至少其中之一:(1)相鄰之修改後之第二部件之間的總間距大於相鄰之第二部件之間的總間距;(2)修改後之第二部件的總長度小於第二部件的總長度;(3)相鄰之修改後之第三部件之間的總間距大於相鄰之第三部件之間的總間距;以及(4)修改後之第三部件的總長度小於第三部件的總長度。
在一些實施例中,第二部件與第三部件的修改滿足條件(1)與條件(2)之至少其中之一,並且滿足條件(3)與條件(4)之至少其中之一。
在一些實施例中,第二部件與第三部件的修改包括:分別用第二層和第三層之第一區域中的區塊替換第二部件與第三部件,其中每個區塊僅切割一個第一部件,其中第二層和第三層中的區塊交替地設置在第一部件上,且區塊是修改後之第二部件以及修改後之第三部件。
在一些實施例中,此佈局更包括與第一區域中的第一部件重疊的通孔部件。此方法更包括:檢查是否有任何區塊與通孔部件重疊,以及當區塊之其中之一與通孔部件之其中之一重疊時,將上述區塊之其中之一沿著第二方向移動,使得上述區塊之其中之一被保留在第一區域中時不與上述通孔部件之其中之一重疊。
在一些實施例中,此佈局更包括第四層,且第四層具有在第一區域中的第四部件,其中第四部件沿著第一方向縱向地定向。第二、第三和第四部件共同形成用於第一部件的切割圖案。此方法更包括:修改第四部件,產生修改後之第四部件。修改後之第二、第三、第四部件共同形成用於第一部件的修改後之切割圖案。第四部件的修改以及第二、第三部件的修改包括:分別用第二、第三、第四層的第一區域中的區塊替換第二、第三、第四部件,其中每個區塊僅切割一個第一部件。第二、第三、第四層中的區塊層被交替地設置在第一部件上,且區塊是修改後之第二、第三、第四部件。
在一些實施例中,此佈局更包括與第一區域中的第一部件重疊的通孔部件。此方法更包括:當區塊之其中之一與通孔部件之其中之一重疊時,將上述區塊之其中之一沿著第二方向移動,使得上述區塊之其中之一被保留在第一區域中時不與上述通孔部件之其中之一重疊。
在一些實施例中,第二、第三部件的修改包括:在第一部件之其中之一與第二部件之其中之一、第三部件之其中之一重疊的情況下,減少上述第二部件之其中之一或上述第三部件之其中之一的長度,使得上述第二部件之其中之一或上述第三部件之其中之一不與上述第一部件之其中之一重疊。
在一些實施例中,第一層更具有沿著第二方向縱向地定向的第四部件。第四部件具有在第一區域中的端部,並且延伸至兩個第二區域其中之一。第二部件與第三部件的修改包括:在第四部件的端部與第二部件之其中之一、第三部件之 其中之一重疊的情況下,上述第二部件之其中之一所提供之切割第四部件之端部的邊緣距離,大於上述第三部件之其中之一所提供之切割第四部件之端部的邊緣距離,減少上述第三部件之其中之一的長度以使得上述第三部件之其中之一不與第四部件重疊。
在一些實施例中,第一層更具有沿著第二方向縱向地定向的第四部件。第四部件具有在第一區域中的端部,並且延伸至兩個第二區域其中之一。第二部件與第三部件的修改包括:在第二部件之其中之一與第四部件的端部重疊,而第三部件之其中之一與第四部件重疊但不與第四部件的端部重疊的情況下,減少上述第三部件之其中之一的長度以使得上述第三部件之其中之一不與第四部件重疊。
在一些實施例中,此方法更包括:製造具有第一層的第一光罩;製造具有第二層的第二光罩,其中第二層具有修改後之第二部件;以及製造具有第三層的第三光罩,其中第三層具有修改後之第三部件。
在一些實施例中,此方法更包括:使用第一光罩對基底進行第一圖案化處理,藉此在基底上形成一或多個部件;使用第二光罩對基底執行第二圖案化處理,藉此去除一或多個部件的第一部分;以及使用第三光罩對基底執行第三圖案化處理,藉此去除一或多個部件的第二部分。
本發明實施例提供一種製造積體電路的方法。此方法包括接收積體電路的佈局,此佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向 地定向。此佈局更包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域且與第二區域相交。此佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。此佈局更包括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與第三部件共同形成用於第一部件的切割圖案。此方法更包括透過光罩設計工具修改第二部件與第三部件,藉以改善佈局之光罩與晶圓的可製造性,並且產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改包括:分別用第二層和第三層之第一區域中的區塊替換第二部件與第三部件,其中每個區塊僅切割一個第一部件,其中第二層和第三層中的區塊交替地設置在第一部件上,且區塊是修改後之第二部件以及修改後之第三部件,其中本方法滿足以下條件之至少其中之一:修改後之第二部件的總長度小於第二部件的總長度,以及修改後之第三部件的總長度小於第三部件的總長度。
在一些實施例中,第一部件沿著第一方向以第一間距分佈,並且每個區塊具有沿第一方向且等於第一間距的長度。
在一些實施例中,此佈局更包括與第一區域中的第一部件重疊的通孔部件。此方法更包括:檢查是否有任何區塊與通孔部件重疊,以及當區塊之其中之一與通孔部件之其中 之一重疊時,將上述區塊之其中之一沿著第二方向移動,使得上述區塊之其中之一被保留在第一區域中時不與上述通孔部件之其中之一重疊。
在一些實施例中,通孔部件包括源極/汲極接點(contact)或閘極接點。
在一些實施例中,第二層和第三層上的區塊大抵上沿著兩條平行線設置。
本發明實施例提供一種製造積體電路的方法。此方法包括接收積體電路的佈局,此佈局具備介於兩個第二區域之間的一第一區域,且第一區域與第二區域沿著第一方向縱向地定向。此佈局更包括第一層。第一層具備第一部件,且第一部件沿著垂直於第一方向的第二方向縱向地定向。第一部件穿越第一區域與第二區域。此佈局更包括第二層。第二層具備在第一區域中的第二部件,且第二部件沿著第一方向縱向地定向。佈局更包括第三層。第三層具備在第一區域中的第三部件,且第三部件沿著第一方向縱向地定向。第二部件與第三部件共同形成用於第一部件的切割圖案。此方法更包括透過電腦化光罩設計工具修改第二部件與第三部件,藉以改善佈局之光罩與晶圓的可製造性,並且產生修改後之第二部件與修改後之第三部件,其中修改後之第二部件與修改後之第三部件共同形成用於第一部件的修改後之切割圖案。第二部件與第三部件的修改,滿足以下條件之至少其中之一:相鄰之修改後之第二部件之間的總間距大於相鄰之第二部件之間的總間距,以及相鄰之修改後之第三部件之間的總間距大於相鄰之第三部件之間 的總間距。第二部件與第三部件的修改包括:當第一部件之其中之一與第二部件之其中之一以及第三部件之其中之一重疊時,減少上述第二部件之其中之一的長度或減少上述第三部件之其中之一的長度,使上述第二部件之其中之一或上述第三部件之其中之一不與上述第一部件之其中之一重疊。
在一些實施例中,第一層更具有沿著第二方向縱向地定向的第四部件。第四部件具有在第一區域中的端部,並且延伸至第二區域其中之一。第二部件與第三部件的修改包括:在第四部件的端部與第二部件之其中之一、第三部件之其中之一重疊的情況下,上述第二部件之其中之一所提供之切割第四部件之端部的邊緣距離,大於上述第三部件之其中之一所提供之切割第四部件之端部的邊緣距離,減少上述第三部件之其中之一的長度以使得上述第三部件之其中之一不與第四部件重疊。
在一些實施例中,第一層更具有沿著第二方向縱向地定向的第四部件。第四部件具有在第一區域中的端部,並且延伸至第二區域其中之一。第二部件與第三部件的修改包括:在第二部件之其中之一與第四部件的端部重疊,而第三部件之其中之一與第四部件重疊但不與第四部件的端部重疊的情況下,減少上述第三部件之其中之一的長度以使得上述第三部件之其中之一不與第四部件重疊。
在一些實施例中,此佈局更包括與第一區域中的第一部件重疊的通孔部件,第二、第三部件不與通孔部件重疊,且修改後之第二、第三部件不與通孔部件重疊。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (1)

  1. 一種製造積體電路的方法,包括:接收該積體電路的一佈局,該佈局具備介於兩個第二區域之間的一第一區域,且該第一區域與該等第二區域沿著一第一方向縱向地定向;其中,該佈局包括一第一層,該第一層具備複數第一部件,且該等第一部件沿著垂直於該第一方向的一第二方向縱向地定向,其中該等第一部件穿越該第一區域且延伸至該等第二區域;其中,該佈局更包括一第二層,該第二層具備在該第一區域中的複數第二部件,且該等第二部件沿著該第一方向縱向地定向;其中,該佈局更包括一第三層,該第三層具備在該第一區域中的複數第三部件,且該等第三部件沿著該第一方向縱向地定向;其中,該等第二部件與該等第三部件共同形成用於該等第一部件的複數切割圖案;以及透過一光罩設計工具修改該等第二部件與該等第三部件,產生複數修改後之第二部件與複數修改後之第三部件,其中該等修改後之第二部件與該等修改後之第三部件共同形成用於該等第一部件的複數修改後之切割圖案;其中,該等第二部件與該等第三部件的修改,滿足以下用以改善該佈局之光罩與晶圓的可製造性的複數條件之至少其中之一: 條件(1):相鄰之該等修改後之第二部件之間的總間距大於相鄰之該等第二部件之間的總間距;條件(2):該等修改後之第二部件的總長度小於該等第二部件的總長度;條件(3):相鄰之該等修改後之第三部件之間的總間距大於相鄰之該等第三部件之間的總間距;以及條件(4):該等修改後之第三部件的總長度小於該等第三部件的總長度。
TW106128077A 2016-09-30 2017-08-18 製造積體電路的方法 TW201814393A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662402790P 2016-09-30 2016-09-30
US62/402,790 2016-09-30
US15/411,613 2017-01-20
US15/411,613 US10282504B2 (en) 2016-09-30 2017-01-20 Method for improving circuit layout for manufacturability

Publications (1)

Publication Number Publication Date
TW201814393A true TW201814393A (zh) 2018-04-16

Family

ID=61758762

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106128077A TW201814393A (zh) 2016-09-30 2017-08-18 製造積體電路的方法

Country Status (3)

Country Link
US (3) US10282504B2 (zh)
CN (1) CN107887381A (zh)
TW (1) TW201814393A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716970B (zh) * 2018-08-17 2021-01-21 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11232248B2 (en) 2018-09-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram and system for same
TWI770425B (zh) * 2018-09-28 2022-07-11 台灣積體電路製造股份有限公司 製造半導體元件之方法及用於製造半導體元件之系統

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10579771B2 (en) * 2017-06-14 2020-03-03 Samsung Electronics Co., Ltd. Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit
US11545495B2 (en) * 2017-06-29 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Preventing gate-to-contact bridging by reducing contact dimensions in FinFET SRAM
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11138360B2 (en) * 2018-10-31 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with filler cell region, method of generating layout diagram and system for same
US10833003B1 (en) 2019-05-31 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with backside power rails
KR20210027742A (ko) 2019-09-03 2021-03-11 삼성전자주식회사 반도체 장치 및 레이아웃 설계 방법
CN112650020A (zh) * 2019-10-11 2021-04-13 中芯国际集成电路制造(上海)有限公司 修正掩膜图案的方法
US11532556B2 (en) 2019-12-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for transistors having backside power rails
US11450665B2 (en) 2020-03-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with self-aligned backside power rail
CN113053820A (zh) 2020-03-30 2021-06-29 台湾积体电路制造股份有限公司 半导体结构和形成集成电路结构的方法
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11222892B2 (en) 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9257439B2 (en) * 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716970B (zh) * 2018-08-17 2021-01-21 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11232248B2 (en) 2018-09-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram and system for same
TWI770425B (zh) * 2018-09-28 2022-07-11 台灣積體電路製造股份有限公司 製造半導體元件之方法及用於製造半導體元件之系統
US11741288B2 (en) 2018-09-28 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram, system for same and semiconductor device

Also Published As

Publication number Publication date
CN107887381A (zh) 2018-04-06
US11392745B2 (en) 2022-07-19
US20180096090A1 (en) 2018-04-05
US10853552B2 (en) 2020-12-01
US10282504B2 (en) 2019-05-07
US20210089697A1 (en) 2021-03-25
US20190258770A1 (en) 2019-08-22

Similar Documents

Publication Publication Date Title
US11392745B2 (en) Method for improving circuit layout for manufacturability
US11748540B2 (en) Method and structure for mandrel and spacer patterning
TWI603143B (zh) 光學鄰近修正之執行方法
US9697325B2 (en) System and method for optimization of an imaged pattern of a semiconductor device
TWI656607B (zh) 積體電路佈局方法、結構及系統
US11062075B2 (en) Integrated circuit and method for manufacturing same
US11232248B2 (en) Routing-resource-improving method of generating layout diagram and system for same
CN110880505A (zh) 传输门结构、操作ic制造系统的方法和布局图生成系统
US20200134133A1 (en) Power rail with non-linear edge
TWI701713B (zh) 積體電路製造方法
TW202347706A (zh) 積體電路中的半導體單元、主動區配置以及製造方法
US10324369B2 (en) Methods for generating a mandrel mask
CN104808435A (zh) 一种opc中双掩膜版的检测方法
US20230057293A1 (en) Method and Structure for Mandrel Patterning
TWI810664B (zh) 阻抗降低之半導體裝置及其製造方法
TWI840836B (zh) 半導體結構及圖案布局的製造方法
US20240046021A1 (en) Method of fin selection for improved performance in semiconductor devices
US20220414309A1 (en) Method and structure for mandrel patterning
US20230367946A1 (en) Methods for forming pattern layout, mask, and semiconductor structure
JP4840517B2 (ja) 露光方法、並びに、半導体装置及びその製造方法
TW202347454A (zh) 混合鰭式裝置及其製造方法