TW201734895A - 針對半導體應用由低解析度影像產生高解析度影像 - Google Patents

針對半導體應用由低解析度影像產生高解析度影像 Download PDF

Info

Publication number
TW201734895A
TW201734895A TW106100209A TW106100209A TW201734895A TW 201734895 A TW201734895 A TW 201734895A TW 106100209 A TW106100209 A TW 106100209A TW 106100209 A TW106100209 A TW 106100209A TW 201734895 A TW201734895 A TW 201734895A
Authority
TW
Taiwan
Prior art keywords
resolution images
low resolution
sample
image
low
Prior art date
Application number
TW106100209A
Other languages
English (en)
Other versions
TWI734724B (zh
Inventor
張晶
葛瑞斯 淑玲 陳
克里斯 畢海斯卡
凱斯 威爾斯
柏楠
平 谷
理升 高
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201734895A publication Critical patent/TW201734895A/zh
Application granted granted Critical
Publication of TWI734724B publication Critical patent/TWI734724B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T3/00Geometric image transformations in the plane of the image
    • G06T3/40Scaling of whole images or parts thereof, e.g. expanding or contracting
    • G06T3/4053Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution
    • G06T3/4076Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution using the original low-resolution images to iteratively correct the high-resolution images
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24133Distances to prototypes
    • G06F18/24137Distances to cluster centroïds
    • G06F18/2414Smoothing the distance, e.g. radial basis function networks [RBFN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T11/002D [Two Dimensional] image generation
    • G06T11/60Editing figures and text; Combining figures or text
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T3/00Geometric image transformations in the plane of the image
    • G06T3/40Scaling of whole images or parts thereof, e.g. expanding or contracting
    • G06T3/4053Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/40Extraction of image or video features
    • G06V10/44Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
    • G06V10/443Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components by matching or filtering
    • G06V10/449Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters
    • G06V10/451Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters with interaction between the filter responses, e.g. cortical complex cells
    • G06V10/454Integrating the filters into a hierarchical structure, e.g. convolutional neural networks [CNN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/19173Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/24Character recognition characterised by the processing or recognition method
    • G06V30/248Character recognition characterised by the processing or recognition method involving plural approaches, e.g. verification by template match; Resolving confusion among similar patterns, e.g. "O" versus "Q"
    • G06V30/2504Coarse or fine approaches, e.g. resolution of ambiguities or multiscale approaches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Multimedia (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biomedical Technology (AREA)
  • Molecular Biology (AREA)
  • Chemical & Material Sciences (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Databases & Information Systems (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Mathematical Physics (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)

Abstract

本發明提供用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之方法及系統。一個系統包含經組態用於獲取一樣本之一或多個低解析度影像之一或多個電腦子系統。該系統亦包含藉由該一或多個電腦子系統實行之一或多個組件。該一或多個組件包含一模型,該模型包含經組態用於產生該一或多個低解析度影像之一表示之一或多個第一層。該模型亦包含經組態用於由該一或多個低解析度影像之該表示產生該樣本之一高解析度影像之一或多個第二層。

Description

針對半導體應用由低解析度影像產生高解析度影像
本發明大體上係關於用於針對半導體應用由低解析度影像產生高解析度影像之方法及系統。
以下描述及實例不憑藉其包含於此章節中而被視為係先前技術。 製造諸如邏輯及記憶體裝置之半導體裝置通常包含使用大量半導體製造程序處理諸如一半導體晶圓之一基板以形成半導體裝置之各種特徵及多個層級。例如,微影係涉及將一圖案自一倍縮光罩轉印至配置於一半導體晶圓上之一光阻之一半導體製造程序。半導體製造程序之額外實例包含但不限於化學機械拋光(CMP)、蝕刻、沈積及離子植入。可在一單一半導體晶圓上之一配置中製造多個半導體裝置,且接著將其分離成個別半導體裝置。 在一半導體製造程序期間之各個步驟使用檢查程序以偵測樣本上之缺陷以驅動製造程序中之更高良率及因此更高利潤。檢查始終係製造半導體裝置之一重要部分。然而,隨著半導體裝置之尺寸減小,檢查對於成功製造可接受半導體裝置變得更加重要,此係因為更小缺陷可導致裝置故障。 缺陷再檢測通常涉及重新偵測本身藉由一檢查程序偵測之缺陷及使用一高倍率光學系統或一掃描電子顯微鏡(SEM)而以一更高解析度產生關於缺陷之額外資訊。因此,在樣本(其中已藉由檢查偵測缺陷)上之離散位置處執行缺陷再檢測。藉由缺陷再檢測產生之缺陷之更高解析度資料更適用於判定缺陷之屬性,諸如輪廓、粗糙度、更精確之大小資訊等。 在一半導體製造程序期間之各個步驟亦使用計量程序來監測且控制程序。計量程序與檢查程序不同之處在於,不同於其中在樣本上偵測缺陷之檢查程序,計量程序用於量測無法使用當前所使用之檢查工具判定之樣本之一或多個特性。例如,計量程序用於量測樣本之一或多個特性(諸如在一程序期間形成於樣本上之特徵之一尺寸(例如,線寬、厚度等)),使得可自該一或多個特性判定程序之效能。另外,若樣本之一或多個特性係不可接受的(例如,在該(等)特性之一預定範圍外),則可使用樣本之一或多個特性之量測以更改程序之一或多個參數,使得由該程序製造之額外樣本具有(若干)可接受特性。 計量程序與缺陷再檢測程序不同之處亦在於,不同於其中在缺陷再檢測中重訪由檢查偵測之缺陷之缺陷再檢測程序,可在未偵測缺陷之位置處執行計量程序。換言之,不同於缺陷再檢測,在樣本上執行一計量程序之位置可獨立於在樣本上執行之一檢查程序之結果。特定言之,可獨立於檢查結果選擇執行一計量程序之位置。 因此,如上文描述,歸因於執行檢查(光學及有時電子束檢查)之有限解析度,通常需要樣本以針對在該樣本上偵測到之缺陷之缺陷再檢測而產生額外更高解析度影像,此可包含驗證該等經偵測缺陷、對該等經偵測缺陷分類及判定該等缺陷之特性。另外,通常需要更高解析度影像來判定如在計量中形成於該樣本上之圖案化特徵之資訊,而不管在該等圖案化特徵中是否偵測到缺陷。因此,缺陷再檢測及計量可係耗時程序,其需要使用實體樣本自身及產生更高解析度影像所需之額外工具(除檢查器外)。 然而,缺陷再檢測及計量並非可簡單消除以省時且省錢之程序。例如,歸因於執行檢查程序之解析度,一般言之,檢查程序並不產生可用於判定經偵測缺陷之資訊(其足以對缺陷分類及/或判定該等缺陷之一肇因)之影像信號或資料。例如,歸因於執行檢查程序之解析度,一般言之,檢查程序並不產生可用於以足夠精確度判定形成在樣本上之圖案化特徵之資訊之影像信號或資料。 因此,開發不具有上文描述之缺點之一或多者之用於產生一樣本之一高解析度影像之系統及方法將為有利的。
各種實施例之下列描述絕不應解釋為限制隨附發明申請專利範圍之標的物。 一項實施例係關於一種經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之系統。該系統包含經組態用於獲取一樣本之一或多個低解析度影像之一或多個電腦子系統。該系統亦包含藉由該一或多個電腦子系統實行之一或多個組件。該一或多個組件包含一模型,該模型包含經組態用於產生該一或多個低解析度影像之一表示之一或多個第一層。該模型亦包含經組態用於由該一或多個低解析度影像之該表示產生該樣本之一高解析度影像之一或多個第二層。可如本文中描述般進一步組態該系統。 一額外實施例係關於另一種經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之系統。此系統如上文所描述般組態。此系統亦包含經組態用於產生該樣本之該一或多個低解析度影像之一成像子系統。在此實施例中,該(等)電腦子系統經組態用於從該成像子系統獲取該一或多個低解析度影像。該系統之此實施例可如本文中描述般進一步組態。 另一實施例係關於一種電腦實施方法,其用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像。該方法包含獲取一樣本之一或多個低解析度影像。該方法亦包含藉由將該一或多個低解析度影像輸入至一模型之一或多個第一層中而產生該一或多個低解析度影像之一表示。另外,該方法包含基於該表示產生該樣本之一高解析度影像。藉由該模型之一或多個第二層執行產生該高解析度影像。藉由一或多個電腦系統執行該獲取、產生該表示及產生該高解析度影像步驟。藉由該一或多個電腦系統實行一或多個組件,且該一或多個組件包含該模型。 可如本文中進一步描述般進一步執行上文描述之方法之步驟之各者。另外,上文描述之方法之實施例可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。此外,可藉由本文中描述之該等系統之任一者執行上文描述之方法。 另一實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上實行以執行用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之一電腦實施方法之程式指令。該電腦實施方法包含上文描述之方法之步驟。可如本文中描述般進一步組態該電腦可讀媒體。可如本文中進一步描述般執行該電腦實施方法之步驟。另外,該電腦實施方法(可針對其執行該等程式指令)可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。
如在本文中可交換地使用之術語「設計」、「設計資料」及「設計資訊」大體上係指一IC之實體設計(佈局)及透過複雜模擬或簡單幾何及布林(Boolean)運算自該實體設計導出的資料。另外,藉由一倍縮光罩檢測系統獲取之一倍縮光罩之一影像及/或其導出物可用作用於設計之一「代理」或「若干代理」。此一倍縮光罩影像或其之一導出物可在使用一設計之本文中描述之任何實施例中充當對於設計佈局之一取代物。設計可包含2009年8月4日頒予Zafar等人之共同擁有之美國專利第7,570,796號及2010年3月9日頒予Kulkarni等人之共同擁有之美國專利第7,676,077號中描述之任何其他設計資料或設計資料代理,該兩個專利以宛如全文陳述引用之方式併入本文中。另外,設計資料可係標準單元庫資料、整合佈局資料、一或多個層之設計資料、設計資料之導出物及完全或部分晶片設計資料。 另外,本文中描述之「設計」、「設計資料」及「設計資訊」係指藉由半導體裝置設計者在一設計程序中產生且因此可在將設計印刷於諸如倍縮光罩及晶圓之任何實體樣本上之前良好地用於本文中描述之實施例中之資訊及資料。 現參考圖式,應注意,圖未按比例繪製。特定言之,在很大程度上放大圖之一些元件之尺度以強調元件之特性。亦應注意,該等圖未按相同比例繪製。已使用相同元件符號指示可經類似組態之展示於一個以上圖中之元件。除非本文中另有說明,否則所描述且展示之任何元件可包含任何適合市售元件。 一項實施例係關於一種經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之系統。如本文中使用之術語樣本之「低解析度影像」一般定義為一影像,其中未解析形成於產生該影像之樣本之區域中之全部圖案化特徵。例如,若產生一低解析度影像之樣本之區域中之一些圖案化特徵之大小足夠大以使其可解析,則其可在低解析度影像中解析。然而,低解析度影像並非按使影像中之全部圖案化特徵可解析之一解析度產生。以此方式,如本文中使用之術語「低解析度影像」並不含有關於樣本上之圖案化特徵之資訊(其足以使低解析度影像用於諸如缺陷再檢測(其可包含缺陷分類及/或驗證)及計量之應用)。另外,如本文中使用之術語「低解析度影像」一般係指由檢查系統產生之影像,其通常具有相對較低解析度(例如,低於缺陷再檢測及/或計量系統)以便具有相對快速之處理能力。 「低解析度影像」亦可係「低解析度」,其中其具有低於本文中描述之一「高解析度影像」之一解析度。如本文中使用之術語「高解析度影像」一般可定義為一影像,其中使用相對高之精確度解析樣本之全部圖案化特徵。以此方式,在高解析度影像中解析針對其產生一高解析度影像之樣本之區域中之全部圖案化特徵而不管其大小。因而,如本文中使用之術語「高解析度影像」含有關於樣本之圖案化特徵之資訊,其足以使高解析度影像用於諸如缺陷再檢測(其可包含缺陷分類及/或驗證)及計量之應用。另外,如本文中使用之術語「高解析度影像」一般係指在例行操作期間無法藉由檢查系統產生之影像,其經組態以犧牲解析能力而增大處理能力。 如本文中進一步描述,一或多個低解析度影像可包含(例如)樣本之光學影像,且高解析度影像可包含樣本之一電子束影像(例如,一掃描電子顯微鏡(SEM)影像)或設計資料。另外,如本文中進一步描述,產生樣本之高解析度影像可使用諸如一深度學習模型之一模型執行。因此,如本文中進一步描述,實施例可經組態用於使用一深度學習技術來執行(若干)光學至SEM及/或設計資料變換。 與本文中描述之實施例相反,用於執行一低解析度至高解析度變換之基於實體方法需要一般不容易獲得(諸如用於半導體製造程序中之材料之光學常數、精確堆疊資訊等)之資訊且過於計算密集而非用於良率控制相關程序之一理想解決方案。特定言之,現有方法藉由解決光與半導體佈局之相互作用而將光學影像反轉為電磁場解決方案。此方法需要材料之光學常數、裝置佈局及精確系統回應。三者通常皆不容易獲得。另外,此等方法係計算密集的。因此,無法將變換按比例調整至全晶圓級。 使用基本光學形成方法在另一方向上之變換(即,從設計至光學或SEM至光學)已投入使用。此等變換可用於半導體應用,諸如晶粒對資料庫檢查及光學臨界尺寸(CD)計量量測。 使用一深度學習技術之從(若干)低解析度影像至(若干)高解析度影像之變換係新的。利用先進神經網路之深度學習之最近進展使低解析度至高解析度影像變換成為可能。例如,神經網路之一些當前使用之應用程式係影像反卷積及超解析度影像重建。公開技術係用於攝影影像,且已知公開作品皆不應用至半導體應用。本文中描述之實施例利用此等進展以使用深度學習及/或機器學習技術進行低解析度至高解析度影像變換。為提高變換之穩健性,本文中描述之實施例可使用多模式低解析度影像(諸如通過焦點、跨光譜帶或跨不同偏光)使得結合使用額外低解析度影像資訊來提高可用於變換之低解析度影像資料內容。 另外,已知本文中描述之模型皆未經組態或用於將一個類型之一低解析度影像變換為一不同類型之一高解析度影像。特定言之,據信本文中描述之模型已僅應用至其中增強一攝影影像解析度但未自一個成像技術變換至另一成像技術之情境。例如,在先前使用之方法及系統中,低解析度攝影影像未使用一個攝影技術來產生,而高解析度攝影影像對應於將使用另一攝影技術產生之一影像。相比之下,本文中描述之實施例可使用本文中進一步描述之模型來將樣本之一低解析度光學影像變換為一高解析度電子束影像及/或一高解析度設計資料表示。因此,樣本表示之解析度以及表示模式改變。以此方式,低解析度影像及高解析度影像可具有不同影像類型。然而,在其他例項中,低解析度影像及高解析度影像可具有相同影像類型(例如,如當一低解析度電子束影像經變換為一高解析度電子束影像)。 在圖1中展示一系統之一項實施例,其經組態以由一樣本之一或多個低解析度影像產生樣本之一高解析度影像。該系統包含一或多個電腦子系統(例如,電腦子系統36及(若干)電腦子系統102)及藉由一或多個電腦子系統實行之一或多個組件100。在一些實施例中,該系統包含成像系統(或子系統) 10。在圖1之實施例中,成像系統經組態用於使光掃描遍及樣本之一實體版本或將光引導至該實體版本,同時偵測來自樣本之光以藉此產生樣本之影像。成像系統亦可經組態以使用多個模式執行掃描(或引導)及偵測。 在一項實施例中,樣本係一晶圓。晶圓可包含此項技術中已知的任何晶圓。在另一實施例中,樣本係一倍縮光罩。倍縮光罩可包含此項技術中已知的任何倍縮光罩。 在一項實施例中,成像系統係一基於光學之成像系統。在一個此實例中,在圖1中展示之系統之實施例中,基於光學之成像系統10包含經組態以將光引導至樣本14之一照明子系統。照明子系統包含至少一個光源。例如,如在圖1中展示,照明子系統包含光源16。在一項實施例中,照明子系統經組態以將光按一或多個入射角引導至樣本,一或多個入射角可包含一或多個傾斜角及/或一或多個法向角。例如,如在圖1中展示,來自光源16之光按一傾斜入射角經引導穿過光學元件18,且接著穿過透鏡20至樣本14。傾斜入射角可包含任何合適傾斜入射角,其可取決於(例如)樣本之特性而變化。 成像系統可經組態以在不同時間按不同入射角將光引導至樣本。例如,成像系統可經組態以更改照明子系統之一或多個元件之一或多個特性,使得光可按不同於在圖1中展示之入射角之一入射角引導至樣本。在一個此實例中,成像系統可經組態以移動光源16、光學元件18及透鏡20,使得光按一不同傾斜入射角或一法向(或近法向)入射角引導至樣本。 在一些例項中,成像系統可經組態以在相同時間按一個以上入射角將光引導至樣本。例如,照明子系統可包含一個以上照明通道,照明通道之一者可包含光源16、光學元件18及透鏡20 (如在圖1中展示)且照明通道之另一者(未展示)可包含類似元件(其可經不同或相同組態)或可包含至少一光源及可能一或多個其他組件,諸如本文中進一步描述之組件。若在與其他光相同之時間將此光引導至樣本,則按不同入射角引導至樣本之光之一或多個特性(例如,波長、偏光等等)可為不同的,使得可在(若干)偵測器處將源自按不同入射角照明樣本之光彼此區分。 在另一例項中,照明子系統可包含僅一個光源(例如,在圖1中展示之源16)且可藉由照明子系統之一或多個光學元件(未展示)將來自光源之光分離至不同光學路徑中(例如,基於波長、偏光等等)。接著,可將不同光學路徑之各者中之光引導至樣本。多個照明通道可經組態以在相同時間或不同時間(例如,當使用不同照明通道以依序照明樣本時)將光引導至樣本。在另一例項中,相同照明通道可經組態以在不同時間將具有不同特性之光引導至樣本。例如,在一些例項中,光學元件18可經組態為一光譜濾光器且可以多種不同方式(例如,藉由調換出光譜濾光器)改變光譜濾光器之性質使得可在不同時間將不同波長之光引導至樣本。照明子系統可具有此項技術中已知之用於依序或同時按不同或相同入射角將具有不同或相同特性之光引導至樣本之任何其他適合組態。 在一項實施例中,光源16可包含一寬頻電漿(BBP)光源。以此方式,由光源產生且引導至樣本之光可包含寬頻光。然而,光源可包含任何其他適合光源(諸如一雷射)。雷射可包含此項技術中已知之任何適合雷射且可經組態以產生任何適合波長或此項技術中已知之波長之光。另外,雷射可經組態以產生單色或近單色光。以此方式,雷射可係一窄頻雷射。光源亦可包含產生多個離散波長或波帶之光之一多色光源。 可藉由透鏡20將來自光學元件18之光聚焦至樣本14上。儘管透鏡20在圖1中經展示為一單一折射光學元件,但應理解,實際上,透鏡20可包含組合地將來自光學元件之光聚焦至樣本之數個折射及/或反射光學元件。在圖1中展示且在本文中描述之照明子系統可包含任何其他合適光學元件(未展示)。此等光學元件之實例包含但不限於(若干)偏光組件、(若干)光譜濾波器、(若干)空間濾波器、(若干)反射光學元件、(若干)變迹器、(若干)束分離器、(若干)孔隙及類似物,其可包含此項技術中已知的任何此等合適光學元件。另外,成像系統可經組態以基於待用於成像之照明類型更改照明子系統之元件之一或多者。 成像系統亦可包含經組態以引起光掃描遍及樣本之一掃描子系統。例如,成像系統可包含載物台22,在檢測期間將樣本14安置於載物台22上。掃描子系統可包含任何合適機械及/或機器總成(其包含載物台22),其可經組態以移動樣本,使得光可掃描遍及樣本。另外或替代性地,成像系統可經組態使得成像系統之一或多個光學元件在樣本上方執行某種光掃描。光可以任何合適方式(諸如以一蛇形路徑或以一螺旋路徑)掃描遍及樣本。 成像系統進一步包含一或多個偵測通道。一或多個偵測通道之至少一者包含一偵測器,該偵測器經組態以歸因於藉由系統照明樣本而自樣本偵測光且回應於所偵測光產生輸出。例如,在圖1中展示之成像系統包含兩個偵測通道:一個通道由集光器24、元件26及偵測器28形成且另一個通道由集光器30、元件32及偵測器34形成。如在圖1中展示,兩個偵測通道經組態以按不同收集角度收集及偵測光。在一些例項中,兩個偵測通道經組態以偵測散射光,且偵測通道經組態以偵測按不同角度自樣本散射之光。然而,偵測通道之一或多者可經組態以自樣本偵測另一類型之光(例如,反射光)。 如在圖1中進一步展示,兩個偵測通道經展示定位於紙平面中且照明子系統亦經展示定位於紙平面中。因此,在此實施例中,兩個偵測通道定位(例如,居中)於入射平面中。然而,偵測通道之一或多者可定位於入射平面外。例如,由集光器30、元件32及偵測器34形成之偵測通道可經組態以收集及偵測自入射平面散射之光。因此,此一偵測通道通常可稱為一「側」通道,且此一側通道可在實質上垂直於入射平面之一平面中居中。 儘管圖1展示包含兩個偵測通道之成像系統之一實施例,但成像系統可包含不同數目個偵測通道(例如,僅一個偵測通道或兩個或兩個以上偵測通道)。在一個此例項中,由集光器30、元件32及偵測器34形成之偵測通道可形成如上文描述之一個側通道,且成像系統可包含經形成為定位於入射平面之相對側上之另一側通道之一額外偵測通道(未展示)。因此,成像系統可包含偵測通道,其包含集光器24、元件26及偵測器28且在入射平面中居中且經組態以收集及偵測成法向於或接近法向於樣本表面之(若干)散射角之光。因此,此偵測通道通常可稱為一「頂部」通道,且成像系統亦可包含如上文描述般組態之兩個或兩個以上側通道。因而,成像系統可包含至少三個通道(即,一個頂部通道及兩個側通道),且至少三個通道之各者具有其自身之集光器,該等集光器之各者經組態以收集成不同於其他集光器之各者之散射角之光。 如上文進一步描述,包含於成像系統中之偵測通道之各者可經組態以偵測散射光。因此,在圖1中展示之成像系統可經組態以用於樣本之暗場(DF)成像。然而,該成像系統亦可或替代性地包含經組態以用於樣本之明場(BF)成像之(若干)偵測通道。換言之,該成像系統可包含經組態以偵測自樣本鏡面反射之光之至少一個偵測通道。因此,本文中描述之成像系統可經組態以用於僅DF成像、僅BF成像或DF及BF成像兩者。儘管集光器之各者在圖1中經展示為單一折射光學元件,但應理解,集光器之各者可包含一或多個折射光學元件及/或一或多個反射光學元件。 該一或多個偵測通道可包含此項技術中已知的任何合適偵測器。例如,該等偵測器可包含光電倍增管(PMT)、電荷耦合裝置(CCD)、時延積分(TDI)攝影機及此項技術中已知的任何其他合適偵測器。該等偵測器亦可包含非成像偵測器或成像偵測器。以此方式,若偵測器係非成像偵測器,則偵測器之各者可經組態以偵測散射光之特定特性(諸如強度)但不能經組態以根據成像平面內之位置偵測此等特性。因而,由包含於成像系統之偵測通道之各者中之偵測器之各者產生之輸出可為信號或資料而非影像信號或影像資料。在此等例項中,一電腦子系統(諸如電腦子系統36)可經組態以自諸偵測器之非成像輸出產生樣本影像。然而,在其他例項中,諸偵測器可經組態為成像偵測器,其經組態以產生影像信號或影像資料。因此,該成像系統可經組態以用數種方式產生本文中描述之影像。 應注意,在本文中提供圖1以大體上繪示可包含於本文中描述之系統實施例中或可產生由本文中描述之系統實施例使用之影像之一成像系統或子系統之一組態。顯然,如通常在設計一商業成像系統時執行,本文中描述之成像系統組態可經更改以最佳化成像系統之效能。另外,可使用一現有系統(例如,藉由添加本文中描述之功能性至一現有系統)(諸如商業上可自KLA-Tencor,Milpitas,Calif購得之29xx/39xx及Puma 9xxx系列工具)實施本文中描述之系統。對於一些此等系統,本文中描述之實施例可經提供為系統之選用功能性(例如,除系統之其他功能性以外)。替代性地,本文中描述之成像系統可「從頭開始」設計以提供一全新成像系統。 成像系統之電腦子系統36可以任何合適方式(例如,經由一或多個傳輸媒體,其可包含「有線」及/或「無線」傳輸媒體)耦合至成像系統之偵測器,使得電腦子系統可接收在樣本之掃描期間藉由偵測器產生之輸出。電腦子系統36可經組態以使用偵測器之輸出執行本文中進一步描述之數個功能。 在圖1中展示之電腦子系統(以及本文中描述之其他電腦子系統)在本文中亦可稱為(若干)電腦系統。本文中描述之(若干)電腦子系統或系統之各者可呈各種形式,包含一個人電腦系統、影像電腦、主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。一般言之,術語「電腦系統」可經廣泛定義以涵蓋實行來自一記憶體媒體之指令之具有一或多個處理器之任何裝置。(若干)電腦子系統或系統亦可包含此項技術中已知的任何合適處理器,諸如一平行處理器。另外,(若干)電腦子系統或系統可包含作為獨立或網路工具之具有高速處理及軟體之一電腦平台。 若系統包含一個以上電腦子系統,則不同電腦子系統可彼此耦合,使得可在如本文中進一步描述之電腦子系統之間發送影像、資料、資訊、指令等等。例如,電腦子系統36可藉由任何合適傳輸媒體耦合至(若干)電腦子系統102 (如藉由圖1中之虛線展示),傳輸媒體可包含此項技術中已知的任何合適有線及/或無線傳輸媒體。亦可藉由一共用電腦可讀儲存媒體(未展示)有效地耦合此等電腦子系統之兩者或兩者以上。 儘管成像系統在上文描述為一基於光學或光之成像系統,但成像系統可為一基於電子束之成像系統。在圖1a中展示之一項此實施例中,成像系統包含耦合至電腦子系統124之電子柱122。如在圖1a中亦展示,電子柱包含電子束源126,其經組態以產生藉由一或多個元件130聚焦至樣本128之電子。電子束源可包含(例如)一陰極源或射極尖端,且一或多個元件130可包含(例如)一槍透鏡、一陽極、一束限制孔隙、一閘閥、一束電流選擇孔隙、一物鏡及一掃描子系統,其全部可包含此項技術中已知的任何此等合適元件。 可藉由一或多個元件132將自樣本返回之電子(二次電子)聚焦至偵測器134。一或多個元件132可包含(例如)一掃描子系統,其可為包含於(若干)元件130中之相同掃描子系統。 電子柱可包含此項技術中已知的任何其他合適元件。另外,電子柱可如在以下專利中描述般進一步組態:在2014年4月4日頒予Jiang等人之美國專利第8,664,594號、在2014年4月8日頒予Kojima等人之美國專利第8,692,204號、在2014年4月15日頒予Gubbens等人之美國專利第8,698,093號及在2014年5月6日頒予MacDonald等人之美國專利第8,716,662號,該等案以宛如全文闡述引用的方式併入本文中。 儘管電子柱在圖1a中經展示為經組態使得按一傾斜入射角將電子引導至樣本且按另一傾斜角自樣本散射電子,但應理解,可按任何合適角度將電子束引導至樣本及自樣本散射。另外,如本文中進一步描述,基於電子束之成像系統可經組態以使用多個模式產生樣本影像(例如,具有不同照明角度、收集角度等等)。基於電子束之成像系統之多個模式可在成像系統之任何影像產生參數上不同。 電腦子系統124可如上文描述般耦合至偵測器134。偵測器可偵測自樣本之表面返回之電子,藉此形成樣本之電子束影像。電子束影像可包含任何合適電子束影像。電腦子系統124可經組態以使用藉由偵測器134產生之輸出針對樣本執行在本文中進一步描述之一或多個功能。電腦子系統124可經組態以執行本文中描述之(若干)任何額外步驟。包含在圖1a中展示之成像系統之一系統可如本文中描述般進一步組態。 應注意,在本文中提供圖1a以大體上繪示可包含於本文中描述之實施例中之一基於電子束之成像系統之一組態。如同上文所描述之基於光學之成像系統,本文中描述之基於電子束之成像系統組態可經更改以如在設計一商用成像系統時通常所實行般最佳化成像系統之效能。另外,可使用一現有系統(例如,藉由將本文中描述之功能性添加至一現有系統)實施本文中描述之系統,諸如商業上可自KLA-Tencor購得之eSxxx及eDR-xxxx系列工具。對於一些此等系統,本文中描述之實施例可經提供為系統之選用功能性(例如,除系統之其他功能性以外)。代替性地,本文中描述之系統可「從頭開始」設計以提供一全新系統。 儘管成像系統在上文描述為一基於光學或基於電子束之成像系統,但成像系統可為一基於離子束之成像系統。除可使用此項技術中已知的任何合適離子束源取代電子束源以外,可如在圖2中展示般組態此一成像系統。另外,成像系統可為任何其他合適基於離子束之成像系統,諸如包含於市售聚焦離子束(FIB)系統、氦離子顯微鏡(HIM)系統及二次離子質譜(SIMS)系統中之成像系統。(例如,光或電子) 如上文提及,成像系統經組態以使能量掃描遍及樣本之一實體版本,藉此產生樣本之實體版本之實際影像。以此方式,成像系統可經組態為一「實際」系統而非一「虛擬」系統。例如,一儲存媒體(未展示)及在圖1中展示之(若干)電腦子系統102可經組態為一「虛擬」系統。特定言之,儲存媒體及(若干)電腦子系統並非成像系統10之部分且不具有用於處置樣本之實體版本之任何能力。換言之,在經組態為虛擬系統之系統中,其之一或多個「偵測器」之輸出可為先前藉由一實際系統之一或多個偵測器產生且儲存於虛擬系統中之輸出,且在「掃描」期間,虛擬系統可如同掃描樣本般播放所儲存輸出。以此方式,使用一虛擬系統掃描樣本可看似相同於使用一實際系統掃描一實體樣本,而實際上,「掃描」涉及以相同於可掃描樣本之方式播放針對樣本之輸出。在共同受讓之以下專利中描述經組態為「虛擬」檢測系統之系統及方法:於2012年2月28日頒予Bhaskar等人之美國專利第8,126,255號及於2015年12月29日頒予Duffy等人之美國專利第9,222,895號,該等案之兩者以宛如全文闡述引用的方式倂入本文中。可如在此等專利中描述般進一步組態本文中描述之實施例。例如,可如在此等專利中描述般進一步組態本文中描述之一或多個電腦子系統。另外,可如在上文參考之Duffy之專利案中描述般執行將一或多個虛擬系統組態為一中央計算及儲存(CCS)系統。本文中描述之永久儲存機構可具有分佈式計算及儲存器(諸如CCS架構),但本文中描述之實施例不限於該架構。 如上文進一步提及,成像系統可經組態以使用多個模式產生樣本之影像。一般言之,可藉由用於產生一樣本之影像之成像系統之參數值或用於產生樣本之影像之輸出定義一「 模式」。因此,不同模式在成像系統之成像參數之至少一者之值上可不同。例如,在基於光學之成像系統之一項實施例中,多個模式之至少一者使用用於照明之光之至少一個波長,其不同於用於多個模式之至少一其他者之照明之光之至少一個波長。該等模式在用於不同模式之如本文中進一步描述之照明波長上可不同(例如,藉由使用不同光源、不同光譜濾波器等等)。在另一實施例中,多個模式之至少一者使用成像系統之一照明通道,其不同於用於多個模式之至少一其他者之成像系統之一照明通道。例如,如上文提及,成像系統可包含一個以上照明通道。因而,不同照明通道可用於不同模式。 在一項實施例中,成像系統係一檢測系統。例如,本文中描述之光學及電子束成像系統可經組態為檢測系統。在另一實施例中,成像系統係一缺陷再檢測系統。例如,本文中描述之光學及電子束成像系統可經組態為缺陷再檢測系統。在一進一步實施例中,成像系統係一計量系統。例如,本文中描述之光學及電子束成像系統可經組態為計量系統。特定言之,可在一或多個參數上修改在本文中描述且在圖1及圖1a中展示之成像系統之實施例以取決於其將使用之應用而提供不同成像能力。在一個此實例中,在圖1中展示之成像系統可經組態以具有一更高解析度(若其用於缺陷再檢測或計量而非用於檢測)。換言之,在圖1及圖1a中展示之成像系統之實施例描述用於可以熟習此項技術者將明白之數種方式定製之一成像系統之一些一般及各種組態以產生具有或多或少適合於不同應用之不同成像能力之成像系統。 一或多個電腦子系統經組態用於獲取一樣本之一或多個低解析度影像。可使用本文中描述之成像系統之一者執行(例如,藉由將光或一電子束引導至樣本且自樣本偵測光或一電子束)獲取該(等)低解析度影像。以此方式,可使用實體樣本自身及某種成像硬體來執行獲取該(等)低解析度影像。然而,獲取該(等)低解析度影像不必包含使用成像硬體來使樣本成像。例如,另一系統及/或方法可產生該(等)低解析度影像且可將該(等)所產生之低解析度影像儲存於一或多個儲存媒體(諸如本文中描述之一虛擬檢查系統及本文中描述之另一儲存媒體)中。因此,獲取一或多個低解析度影像可包含從已儲存該(等)低解析度影像之儲存媒體獲取該(等)低解析度影像。 在一些實施例中,一或多個低解析度影像係藉由一檢查系統產生。例如,如在本文中描述,該(等)低解析度影像可藉由經組態以具有一較低解析度來增大其處理能力之一檢查系統產生。檢查系統可係一光學檢查系統或一電子束檢查系統。檢查系統可具有如本文中進一步描述之任何組態。 在一項實施例中,一或多個低解析度影像係藉由一基於電子束之成像系統產生。在另一實施例中,一或多個低解析度影像係藉由一基於光學之成像系統產生。例如,該(等)低解析度影像可藉由本文中描述之基於電子束或基於光學之成像系統之任一者產生。 在一項實施例中,一或多個低解析度影像係使用一成像系統之一單一模式產生。在另一實施例中,一或多個低解析度影像係使用一成像系統之多個模式產生。例如,輸入至本文進一步描述之模型之該(等)低解析度影像可包含僅使用成像系統之一單一模式產生之一單一低解析度影像。或者,輸入至本文中進一步描述之模型之該(等)低解析度影像可包含使用成像系統之多個模式產生之多個低解析度影像(例如,使用一第一模式產生之一第一影像、使用一第二模式產生之一第二影像等)。單一模式及多個模式可包含本文中進一步描述之(若干)模式之任一者。 在一項實施例中,一或多個低解析度影像係使用一成像系統之一焦點參數之多個值產生。例如,如本文中描述,可將按一光學成像系統之焦點之不同值獲取之多個光學影像輸入至本文中進一步描述之模型以增大藉由模型使用低解析度影像作為輸入而執行之變換之穩健性。一或多個低解析度影像可按不同焦點參數值以任何適當方式產生(例如,使用一光學成像系統來執行樣本之多個掃描,各掃描使用成像系統之焦點之一不同值執行)。 在另一實施例中,一或多個低解析度影像係使用一成像系統之一光譜參數之多個值產生。例如,如本文中描述,可將按一光學成像系統之(若干)照明及/或偵測波長之不同值獲取之多個光學影像輸入至本文中進一步描述之模型以增大藉由模型使用低解析度影像作為輸入而執行之變換之穩健性。一或多個低解析度影像可按不同光譜參數值以任何適當方式產生(例如,使用一光學成像系統來執行樣本之多個掃描,各掃描使用成像系統之(若干)照明及/或偵測波長之一不同值執行)。 在一進一步實施例中,一或多個低解析度影像係使用一成像系統之一偏光參數之多個值產生。例如,如本文中描述,可將按一光學成像系統之(若干)照明及/或偵測偏光之不同值獲取之多個光學影像輸入至本文中進一步描述之模型以增大藉由模型使用低解析度影像作為輸入而執行之變換之穩健性。一或多個低解析度影像可按不同偏光參數值以任何適當方式產生(例如,使用一光學成像系統來執行樣本之多個掃描,各掃描使用成像系統之(若干)照明及/或偵測偏光之一不同值執行)。 雖然上文尤其關於光學成像系統描述可用於產生多個低解析度影像之不同參數值之一些實例,但是輸入至本文中進一步描述之模型以增大藉由模型執行之變換之穩健性之多個低解析度影像可包含在樣本之成像期間可具有不同參數值之光學成像系統之任一參數及在樣本之成像期間可具有不同參數值之電子束成像系統之任一參數。例如,可用於產生輸入至模型之多個低解析度影像之一電子束成像系統之一參數之不同值可包含電子源126、一或多個元件130及/或132及偵測器134之一或多個參數。 藉由(若干)電腦子系統(例如,電腦子系統36及/或(若干)電腦子系統102)實行之(若干)組件(例如,在圖1中展示之(若干)組件100)包含模型104。模型包含經組態用於產生一或多個低解析度影像之一表示之一或多個第一層及經組態用於由一或多個低解析度影像之表示產生樣本之一高解析度影像之一或多個第二層。以此方式,本文中描述之實施例可使用本文中描述之模型之一者(例如,一或多個機器學習技術)以用於將一樣本(例如,形成於一晶圓上之半導體裝置)之低解析度影像(例如,(若干)光學表示)變換為一高解析度影像,諸如一電子束(例如,一SEM影像)及/或設計佈局。 在一個此實例中,如在圖2中展示,模型可經組態以將針對一樣本所獲取之低解析度光學影像200變換為樣本之SEM影像202及/或樣本之設計資料204及/或206。如在圖2中展示,低解析度光學影像200可包含多個光學影像,其各按產生光學影像之成像系統之一參數(例如,焦點、波長、偏光)之一不同值獲得。雖然設計資料204及206在圖2中展示為設計資料之一圖示,但由本文中描述之模型產生之設計資料可具有此項技術中已知之任何其他適當格式,諸如設計資料之一向量表示。 在一項實施例中,一或多個第二層經組態用於由樣本之一或多個低解析度影像之表示產生至少一個額外高解析度影像,且高解析度影像及至少一個額外高解析度影像表示使用一高解析度成像系統之不同模式針對樣本產生之不同影像。例如,本文中描述之模型可經組態以產生一單一高解析度影像,其對應於將僅使用一高解析度成像系統之一單一模式針對樣本產生之一高解析度影像。然而,本文中描述之模型可經組態以產生多個高解析度影像,其之各者對應於將使用一高解析度成像系統之多個對應模式針對樣本產生之多個高解析度影像之一者(例如,一第一高解析度影像對應於一第一模式、一第二高解析度影像對應於一第二模式等)。然而應注意,針對本文中描述之一些高解析度影像,僅將產生一單一影像(如自一低解析度影像模擬之設計資料之情況)。高解析度成像系統可包含本文中描述之成像系統之任一者,其可如本文中進一步描述般組態以具有一相對高解析度。 在一些實施例中,高解析度影像表示藉由一高解析度電子束系統產生之樣本之一影像。例如,如本文中進一步描述,高解析度影像可表示藉由一高解析度電子束系統(諸如一SEM)及/或經組態用於缺陷再檢測及/或計量之另一電子束成像子系統針對樣本產生之一高解析度電子束影像。高解析度電子束系統可包含本文中進一步描述之電子束系統之任一者,其可如本文中進一步描述般組態以具有一相對高解析度。 在另一實施例中,高解析度影像表示樣本之設計資料。例如,如在本文中進一步描述,高解析度影像可表示本文針對樣本描述之一設計佈局及/或任何其他設計資料。如本文中描述藉由模型產生之設計資料可包含本文中進一步描述之設計資料之任一者。 在一項實施例中,模型係一深度學習模型。一般言之,「深度學習」(亦稱為深度結構化學習、階層式學習或深度機器學習)係基於嘗試模型化資料中之高階抽象之一組演算法之機器學習之一分支。在一簡單情況中,可存在兩組神經元:接收一輸入信號之神經元及發送一輸出信號之神經元。當輸入層接收一輸入時,其將輸入之一經修改版本傳遞至下一層。在一深度網路中,輸入與輸出之間可存在許多層(且該等層並非由神經元製成但此有助於將該等層視為由神經元製成),從而容許演算法使用多個處理層(由多個線性及非線性變換組成)。 深度學習係基於資料之學習表示之機器學習方法之一更廣泛族之部分。一觀察(例如,一影像)可以許多方式(諸如每個像素之強度值之一向量)或以一更抽象方式(如一組邊緣、特定形狀之區等)呈現。一些表示優於其他表示之處在於,簡化學習任務(例如,面部辨識或面部表情辨識)。深度學習之一個承諾係使用有效演算法來取代手工特徵用於無監督或半監督式特徵學習及階層式特徵提取。 在此領域中之研究嘗試製成更佳之表示且產生模型以自大規模未標記資料學習此等表示。一些表示受神經科學中之進展啟發且鬆散地基於一神經系統中之資訊處理及通信型樣之解譯,諸如嘗試定義各種刺激與腦中之相關聯神經元回應之間的一關係之神經編碼。 各種深度學習架構(諸如深度神經網路、卷積深度神經網路、深度信心網路及遞迴式神經網路)已經應用至如電腦視覺、自動語音辨識、自然語言處理、自動辨識及生物資訊學之領域,其中其已經展示以產生各種任務之最先進技術結果。 在另一實施例中,模型係一機器學習模型。機器學習可大體上經定義為對電腦提供學習能力而無需明確程式化之人工智能(AI)之一類型。機器學習致力於開發可教示自身在曝露至新資料時生長及改變之電腦程式。換言之,機器學習可經定義為「在未經明確程式化之情況下賦予電腦學習能力」之電腦科學之子域。機器學習探索可自資料學習且對資料作出預測之演算法之研究及構造-此等演算法藉由透過自樣本輸入建立一模型來作出資料驅動預測或決定而克服以下嚴格靜態程式指令。 可如在Sugiyama、Morgan Kaufmann之「Introduction to Statistical Machine Learning」2016年,534頁;Jebara之「Discriminative, Generative, and Imitative Learning」, MIT Thesis,2002年,212頁;及Hand等人之「Principles of Data Mining (Adaptive Computation and Machine Learning)」,MIT Press,2001年,578頁(其以宛如全文闡述引用的方式倂入本文中)中描述般進一步組態本文中描述之機器學習。可如在此等參考中描述般進一步組態本文中描述之實施例。 在一些實施例中,模型係一生成模型。一「生成」模型可大體上經定義為本質上概率性之一模型。換言之,一「生成」模型並非執行順向模擬或基於規則之方法之模型,且因而,產生一實際影像(針對其產生一模擬影像)所涉及之程序之物理學之一模型並非必要。代替性地,如本文中進一步描述,可基於一適當訓練資料集學習生成模型(其中可學習其參數)。 在一項實施例中,模型係一深度生成模型。例如,模型可經組態以具有一深度學習架構,其中模型可包含多個層,其執行數個演算法或變換。模型之一個或兩個側上之層數可不同於在本文中描述之圖式中展示之層數。例如,生成模型之編碼器側上之層數係使用案例相依的。另外,解碼器側上之層數係使用案例相依的且可取決於編碼器側上之層數。一般言之,生成模型之一個或兩個側上之層數並不顯著且係使用案例相依的。出於實際目的,兩個側上之層之一適當範圍係從2個層至數十層。 在一進一步實施例中,模型係一神經模型。例如,模型可係具有一組權重之一深度神經網路,該等權重根據已經饋送以訓練模型之資料模型化世界。神經網路可大體上經定義為基於神經單元之一相對大集合之一計算方法,其鬆散地模型化一生物腦使用藉由軸突連接之生物神經元之相對大叢集解決問題之方式。各神經單元與許多其他神經單元連接,且鏈結可強制執行或抑制其對經連接神經單元之激發狀態之效應。此等系統係自我學習且經訓練而非明確程式化且在解決方案或特徵偵測難以按一傳統電腦程式表達之領域中具有優勢。 神經網路通常由多個層構成,且信號路徑從前部橫越至後部。神經網路之目標係以與人腦相同之方式解決問題,儘管若干神經網路遠遠更加抽象。當代神經網路項目通常使用數千至數百萬神經單元及數百萬連接工作。神經網路可具有此項技術中已知之任何適當架構及/或組態。 在另一實施例中,模型係一卷積神經模型(CNN)。例如,本文中描述之實施例可利用深度學習概念(諸如一CNN)以解決通常棘手之表示轉換問題(例如,演現)。模型可具有此項技術中已知之任何CNN組態或架構。 本文中描述之實施例可或可不經組態用於訓練用於由(若干)低解析度影像產生一高解析度影像之模型。例如,另一方法及/或系統可經組態以產生一經訓練模型,接著可藉由本文中描述之實施例存取且使用該經訓練模型。 一般言之,訓練模型可包含獲取資料(例如,低解析度影像及高解析度影像兩者,其可包含本文中描述之低解析度影像及高解析度影像之任一者)。例如,在一組預定義檢查模式(其中各模式藉由光譜、焦點、孔徑等之一組合界定,且其中模式之數目可等於或大於1)之情況下,每個受關注區(ROI)之每個模式之一個影像可自或使用一檢查工具收集。以類似方式,在一或多個預定義再檢測/檢查模式(其可以任何適當方式定義)之情況下,每個ROI之每個模式之一個SEM影像可自或使用SEM工具收集。 接著,可執行模型訓練,其可包含選擇一神經網路架構(例如,層及類型),諸如本文中進一步描述之神經網路架構之一者。接著,可使用輸入元組之一清單及預期輸出元組建構一訓練、測試及驗證資料集。輸入元組可具有(使用模式1獲取之影像、使用模式2獲取之影像、…、使用模式n獲取之影像)之形式。輸出元組可係下列一者:1)設計資料;2)使用一或多個選定模式獲取之SEM影像;或3)設計資料及使用一或多個選定模式獲取之SEM影像。接著,可使用訓練資料集來訓練模型(例如,神經網路)。可使用一測試資料集以調諧模型中之超參數。預期經訓練之模型執行使用 (若干)選定輸入檢查模式獲取之(若干)低解析度影像與選定輸出設計資料及/或使用(若干)SEM模式獲取之(若干)高解析度影像之間的一轉換。 可如在藉由Zhang等人於2016年6月7日申請之美國專利申請案第15/176,139號及藉由Bhaskar等人於2016年12月29日申請之美國專利申請案第15/394,790號(該等案以引用之方式併入)中描述般進一步執行訓練模型。可如在此等專利申請案中描述般進一步組態本文中描述之實施例。 在運行時間,可針對(若干)選定模式收集(若干)檢查影像。可將(若干)檢查影像之一或多者輸入至經訓練模型以針對(若干)不同SEM成像模式預測所要設計資料及/或(若干) SEM影像。 在一項實施例中,該一或多個第一層包含其後接著一編碼器之一或多個卷積及匯集層,且該一或多個第二層包含其後接著一或多個卷積及匯集層之一解碼器。在一項此類實施例中,藉由該一或多個第一層產生之一或多個低解析度影像之表示包含一或多個低解析度影像之一緊密表示。以此方式,該模型可係一生成神經網。在圖3中展示一項此類實施例。 在此實施例中,至模型之輸入係(若干)低解析度影像300,其可包含按低解析度及如本文中描述之一光學成像系統之一參數之多個值產生之多個光學影像。該參數可係本文中描述之參數之任一者。因此,該(等)低解析度影像可經定義為Ilow_res (x, y, i),其中Ilow_res 係位置(x, y)處之第i模式之像素值。因此,Ilow_res (:, :, i)可用於表示第i模式之低解析度影像。另外,如上文提及,一模式可藉由用於產生該(等)低解析度影像之成像系統之光譜、焦點、孔徑等參數之一組合定義。以此方式,若存在3個光譜參數值、2個焦點參數值及4個孔徑參數值(可針對其產生低解析度影像),則光學模式之總數係3*2*4=24。因此,i可在1至24之範圍中。然而,(若干)低解析度影像300可包含本文中描述之其他低解析度影像之任一者,諸如一低解析度電子束影像及/或僅使用一成像系統之一單一模式產生之一低解析度影像。 可將(若干)低解析度影像輸入至CNN(低解析度) 302,其可包含一或多個組卷積及匯集層。卷積及匯集層可具有此項技術中已知之任何適當組態。CNN(低解析度)經組態用於產生(若干)輸入低解析度影像之各者之特徵。例如,若將多模式影像輸入至CNN(低解析度),則CNN(低解析度)可產生輸入低解析度影像之各者之多模式特徵。特徵可包含此項技術中已知之可自輸入推斷且用於產生本文中進一步描述之輸出之任何適當特徵。例如,特徵可包含每個像素之強度值之一向量。特徵亦可包含本文中描述之任何其他類型之特徵,例如,純量值之向量、獨立分佈之向量、聯合分佈或此項技術中已知之任何其他適當特徵類型。 可將藉由CNN(低解析度)判定之特徵輸入至編碼器304,其可包含一或多個編碼器層,其經組態以產生(若干)低解析度影像之緊密表示306。編碼器可經組態為一全連接層且可經實施為一卷積層。因此,編碼器可經實施為具有數個層之一相對小CNN。編碼器亦可經組態為一普通自動編碼器、一去雜訊自動編碼器、一變分自動編碼器或此項技術中已知之任何其他適當編碼器組態。藉由編碼器產生之緊密表示可具有此項技術中已知之任何適當組態及格式。 模型亦包含解碼器308,其可包含一或多個解碼器層,其經組態以將緊密表示306解碼為高解析度特徵。解碼器可經組態為一全連接層且可經實施為一卷積層。因此,解碼器可經實施為具有數個層之一相對小CNN。高解析度特徵可包含本文中描述之特徵之任一者及可用於重建如本文中進一步描述之樣本之一或多個高解析度影像之任何特徵。 CNN(high res) 310 (其可包含一或多個組卷積及匯集層)經組態用於由編碼器之輸出產生(若干)高解析度影像312。包含於CNN(高解析度)中之卷積及匯集層可具有此項技術中已知之任何適當組態。CNN(高解析度)經組態以使用藉由編碼器產生之高解析度特徵來產生(若干)高解析度影像。一或多個經模擬高解析度影像可包含本文中描述之高解析度影像之任一者。例如,(若干)經模擬高解析度影像可繪示樣本將如何出現在(若干)高解析度光學影像或(若干)高解析度電子束影像中。在此實例中,(若干)高解析度影像可經定義為Ihigh_res (x, y, j),其中Ihigh_res 係位置(x, y)處之第j模式之像素值。因此,Ihigh_res (:, :, j)可用於表示第j模式之高解析度影像。另外,經模擬高解析度影像可表示樣本之設計資料。在此實例中,(若干)高解析度影像可經定義為Ihigh_res (x, y),其中Ihigh_res 係位置(x, y)處之設計資料值。 因此,本文中描述之模型可執行變換,其在針對一或多個模型j產生之高解析度影像之情況中可經定義為Ihigh_res (x, y, j) = T1 [Ilow_res (x, y, i)]或在設計資料之情況中可經定義為Ihigh_res (x, y) = T2 [Ilow_res (x, y, i)],其中T[…]表示藉由模型執行之變換。 上文描述之模型之層之各者可具有一或多個參數,諸如權重W及偏差B,其之值可藉由訓練模型而判定,此可如本文中進一步描述般執行。例如,可在訓練期間藉由最小化一成本函數來判定CNN(低解析度)層、編碼器層、解碼器層、CNN(高解析度)層及包含於模型中之任何其他層(例如,一映射層)之權重及偏差。成本函數可取決於對低解析度影像執行之變換而變化。例如,在將(若干)低解析度影像變換為藉由一高解析度成像系統產生之一或多個高解析度影像之情況中,成本函數可係: min|(T1 [Ilow_res (x, y, i)] - Ihigh_res observed (x, y, j))|2 。 相比之下,在將(若干)低解析度影像變換為樣本之設計資料的情況中,成本函數可經定義為: min|(T2 [Ilow_res (x, y, i)] - Ihigh_res observed (x, y))|2 。 訓練集可包含一組低解析度影像及其對應高解析度影像。例如,訓練集可包含{Ilow_res training (x, y, i)及Ihigh_res training (x, y, j)}。在一個此實例中,訓練集可包含低解析度光學影像及對應高解析度電子束影像。在另一實例中,訓練集可包含{Ilow_res training (x, y, i)及Ihigh_res training (x, y)}。在一個此實例中,訓練集可包含低解析度影像及對應設計資料。 在一些實施例中,一或多個第一層包含一或多個第一卷積及匯集層,且一或多個第二層包含一或多個第二卷積及匯集層。例如,如上文描述,編碼器及解碼器可經組態為全連接層且可經實施為卷積層。因此,編碼器及解碼器層兩者可各經實施為具有相對較少層之一相對小CNN。因此,在一些實施方案中,編碼器可與CNN(低解析度)組合為一更大CNN(低解析度 + 編碼器),且解碼器可與CNN(高解析度)組合為一更大CNN(解碼器 + 高解析度)。 在一項此實施例中,如在圖4中展示,可將(若干)低解析度影像400 (其可包含本文中描述之(若干)低解析度影像之任一者)輸入至CNN(低解析度 + 編碼器) 402,其可包含經組態以執行上文描述之CNN(低解析度)及編碼器之功能之兩組或兩組以上卷積及匯集層。卷積及匯集層可具有此項技術中已知之任何適當組態。CNN(低解析度 + 編碼器) 402可產生緊密表示404,其可係本文中進一步描述之緊密表示之任一者。可將緊密表示404輸入至CNN(解碼器 + 高解析度) 406,其可包含經組態以執行上文描述之解碼器及CNN(高解析度)之功能之兩組或兩組以上卷積及匯集層。此等卷積及匯集層亦可具有此項技術中已知之任何適當組態。因此,CNN(解碼器 + 高解析度) 406之輸出可係(若干)高解析度影像408,其可包含本文中描述之(若干)高解析度影像之任一者。 可如藉由Dong等人之「Learning a Deep Convolutional Network for Image Super-Resolution」,Computer Vision, ECCV2014, the Series Lecture Notes in Computer Science之第8692卷,第16頁,2014年(該案以宛如全文闡述引用的方式倂入本文中)中描述般進一步組態包含一或多個CNN層之本文中描述之模型之實施例。 在另一實施例中,一或多個第一層包含一離散餘弦變換(DCT)層,一或多個第一及第二層包含一深度信心網(DBN)且一或多個第二層包含一逆DCT層。在一項此實施例中,一或多個低解析度影像之表示包含藉由DBN產生之一隱式表示。例如,如在圖5中展示,可將(若干)低解析度影像500輸入至DCT(低解析度)層502,其包含於一或多個第一層中。DCT(低解析度)層可經組態以將低解析度影像變換為空間頻域。DCT(低解析度)層可具有此項技術中已知之用於執行此一變換之任何適當組態。 可將DCT(低解析度)層之輸出輸入至DBN 504,其可包含於第一及第二層兩者中。換言之,DBN之一些層可包含於第一層中且DBN之其他層可包含於第二層中。DBN可具有此項技術中已知之任何適當組態。DBN 504產生隱式表示506。隱式表示可具有此項技術中已知之任何適當組態及格式。DBN基於空間頻域中之(若干)低解析度影像推斷(若干)低解析度影像之遺失高頻分量。例如,一內插低解析度影像缺少其空間高頻分量。以此方式,若高頻分量恢復同時容許保留低頻分量,則可將影像變換為一高解析度。因此,由一低解析度影像產生一高解析度影像可視為遺失資料(即,高頻分量)之一完成問題。可將DBN之輸出輸入至逆DCT(高解析度)層508,其產生(若干)高解析度影像510。例如,逆DCT(高解析度)層508可對DBN之輸出執行一逆DCT以獲得高解析度影像。逆DCT(高解析度)層508可具有此項技術中已知之任何適當組態。 可以各種方式訓練如在圖5中展示般組態之一模型。例如,在訓練期間,高解析度影像可經劃分為相對小之圖塊(例如,32個像素乘32個像素)且接著進行DCT變換,使用DCT係數作為唯一輸入以訓練一DBN(其係一雙向階層式模型)。在訓練期間,DBN學習以(1)將高頻DCT係數變換為隱式表示且(2)亦將隱式表示變換為高頻DCT係數。換言之,DBN學習雙向變換。 以此方式,在訓練期間,可判定一或多個參數(DBN之層之權重及偏差)。訓練組可包含一組低解析度影像及其對應之高解析度影像。例如,訓練集可包含{Ilow_res training (x, y, i)及Ihigh_res training (x, y, j)}。在一個此實例中,訓練集可包含低解析度光學影像及對應高解析度電子束影像。在另一實例中,訓練集可包含{Ilow_res training (x, y, i)及Ihigh_res training (x, y)}。在一個此實例中,訓練集可包含低解析度影像及對應設計資料。 在運行時間,可將一高解析度影像圖塊輸入至DCT層。因為影像圖塊係一低解析度影像,故此低解析度影像圖塊之DCT係數針對表示高頻域之係數將具有接近零之值。此DCT向量經輸入至DBN以推斷隱式表示且接著使用隱式表示來反向推斷DCT係數。因為DBN學習低頻域及高頻域中之DCT係數之相關性,故反向推斷將使用高頻域中之資訊重建DCT。 以此方式,在運行時間期間,可僅使用(若干)低解析度影像Ilow_res (x, y, i)獲取(若干)高解析度影像。由於網路經完全連接,故當給定來自DCT層502之足夠資訊時,使用經訓練之神經網路自舉高頻DCT係數。接著,可自自舉高頻DCT係數重建此高解析度影像。 在圖6中展示使用一DBN來推斷(或恢復)高頻分量之基本理念。給定一低解析度內插影像,首先強調DCT低頻係數600以升高由內插引起之衰減部分且接著與遺失高頻分量602一起饋送至經訓練DBN (例如,至DBN之層604中)。高頻係數在此刻幾乎為零。以層604開始,可將輸入係數傳播至層606、608及610且回傳播通過此等層。以此方式,可獲得包含高頻分量612之預測值。在一重複性方法中,獲得之輸出向量可再次輸入至DBN且可重複預定數目次相同程序。由於執行反覆,故高頻分量逐漸顯露而不改變低頻分量。 雖然一DBN之實施例在圖6中展示為具有4個層(隱藏其中2個層(即,層606及608)),但應理解,DBN可具有任何適當數目個層,其可係應用相依的。可如在Hatti等人之「Neural Network Based DCT Computation」, International Journal on Advanced Computer Engineering and Communication Technology,第1卷,第1期,第81頁至第86頁,2012年及Nakashika等人之「High-frequency Restoration Using Deep Belief Nets for Super-resolution」,2013 International Conference on Signal-Image Technology & Internet-Based Systems,第38頁至第42頁,2013年(其以宛如全文闡述引用的方式倂入本文中)中描述般進一步組態包含如本文中描述之DBN之模型。 本文中描述之模型可針對特定樣本(例如,特定晶圓或倍縮光罩)、程序及成像參數產生。換言之,本文中描述之模型可係樣本特定、程序特定及成像參數特定。例如,在一項實施例中,各模型可經訓練以專用於一特定設計及晶圓層。接著,經訓練之模型將僅用於執行該層之預測。以此方式,不同模型可針對不同晶圓層產生。然而,在另一實施例中,可使用來自不同設計及晶圓類型層之資料訓練一單一模型。所得模型可用於通常針對包含於訓練資料中之全部類型之樣本執行預測。另外,不同模式可針對用於產生(若干)低解析度影像之不同組成像參數(例如,不同成像模式)產生,且可能針對執行變換之高解析度影像之不同組成像參數(例如,不同成像模式)產生(例如,在產生對應於不同高解析度影像模式之多個高解析度影像之情況中)。一般言之,只要選定成像模式可跨工具重複,一模型即可獨立於工具。不同模式之各者可使用不同資料訓練集產生。不同資料訓練集之各者可以任何適當方式產生。 在一些實施例中,一或多個電腦子系統經組態用於驗證一或多個低解析度影像中偵測到之一缺陷,且使用高解析度影像執行驗證。例如,本文中描述之光學影像至SEM及/或設計變換之一個益處在於,光學檢查對於半導體製造程序中之大量生產良率而言仍係關鍵。歸因於缺少解析度,藉由光學檢查器偵測之缺陷需要缺陷驗證之SEM再檢測。將光學自動變換為SEM及/或設計之方法可潛在地降低針對良率管理之SEM再檢測需求,藉此降低總檢查循環時間。例如,本文中描述之實施例可無需在樣本檢查之後獲取一缺陷再檢測系統上之SEM影像,此係由於本文中描述之(若干)高解析度影像可(1)在無樣本及無成像硬體的情況下獲取且(2)用於缺陷再檢測應用(諸如缺陷驗證)。 在另一實施例中,一或多個電腦子系統經組態用於對一或多個低解析度影像中偵測到之一缺陷分類,且使用高解析度影像執行分類。例如,如上文描述,本文中描述之光學影像至SEM及/或設計變換之一個益處在於,光學檢查對於半導體製造程序中之大量生產良率而言仍係關鍵。歸因於缺少解析度,藉由光學檢查器偵測之缺陷需要SEM再檢測以用於缺陷分類。將光學自動變換為SEM及/或設計之方法可潛在地降低針對良率管理之SEM再檢測需求,藉此降低總檢查循環時間。例如,本文中描述之實施例可無需在樣本檢查之後獲取一缺陷再檢測系統上之SEM影像,此係由於本文中描述之(若干)高解析度影像可(1)在無樣本及無成像硬體的情況下獲取且(2)用於缺陷再檢測應用(諸如缺陷分類)。 在一進一步實施例中,一或多個電腦子系統經組態用於基於一或多個低解析度影像及高解析度影像之一組合偵測樣本上之缺陷。例如,本文中描述之光學至SEM及光學至設計變換之一個益處在於,此等變換容許光學檢查器使用高解析度空間內容進行跨整個晶粒之妨害/缺陷鑑別。相比之下,使用空間資訊進行妨害/缺陷鑑別之當前使用方法限於熱點使用案例。特定言之,當前使用方法限於熱點使用案例,此主要歸因於光學缺陷信號不具有足夠顯著性來抵抗背景雜訊之事實。僅檢查用作熱點之像素容許系統基於空間資訊自動濾除晶圓上之大部分像素。如此做增強缺陷顯著性且最終改良缺陷偵測。如此,系統需要某人或一方法來識別檢查之重要空間位置。接著,將此等位置標記為「熱點」(即,識別檢查器之空間位置之動作使此等位置變成「熱點」)。 (若干)電腦子系統可經組態以使用一或多個低解析度影像及一或多個高解析度影像之組合而以任何適當方式偵測樣本上之缺陷。例如,可在一高解析度影像中識別在一低解析度影像中偵測到之一缺陷之一位置以判定缺陷之設計背景,其接著可用於識別缺陷是否係一妨害缺陷或一實際缺陷。另外,在一低解析度影像中偵測到之一缺陷之一位置可用於識別一高解析度影像中之缺陷之位置以判定缺陷是否存在於高解析度影像中(在高解析度影像中是否可偵測到缺陷)。若可在高解析度影像中偵測到缺陷,則將該缺陷指定為一實際缺陷。若在高解析度影像中無法偵測到缺陷,則將該缺陷指定為一妨害缺陷。 經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之一系統之另一實施例包含經組態用於產生一樣本之一或多個低解析度影像之一成像子系統。成像子系統可具有本文中描述之任何組態。系統亦包含一或多個電腦子系統(例如,圖1中展示之(若干)電腦子系統102,其可如本文中進一步描述般組態)及一或多個組件(例如,藉由一或多個電腦子系統實行之(若干)組件100,其可包含本文中描述之(若干)組件之任一者)。(若干)組件包含一模型(例如,模型104),其可如本文中描述般組態。例如,模型包含經組態用於產生一或多個低解析度影像之一表示之一或多個第一層及經組態用於由一或多個低解析度影像之表示產生樣本之一高解析度影像之一或多個第二層。一或多個第一層及一或多個第二層可如本文中進一步描述般組態。此系統實施例可如本文中描述般進一步組態。 上文描述之系統之各者之實施例之各者可一起組合成一個單一實施例。 另一實施例係關於一種用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之電腦實施方法。該方法包含獲取一樣本之一或多個低解析度影像。該方法亦包含藉由將該一或多個低解析度影像輸入至一模型之一或多個第一層中而產生該一或多個低解析度影像之一表示。另外,該方法包含基於該表示產生該樣本之一高解析度影像。藉由模型之一或多個第二層執行產生高解析度影像。藉由一或多個電腦系統執行獲取、產生表示及產生高解析度影像。藉由一或多個電腦系統實行一或多個組件,且該一或多個組件包含該模型。 可如本文中進一步描述般執行該方法之步驟之各者。該方法亦可包含可藉由本文中描述之系統、(若干)電腦子系統及/或成像系統或子系統執行之(若干)任何其他步驟。可根據本文中描述之實施例之任一者組態一或多個電腦系統、一或多個組件及模型(例如,(若干)電腦子系統102、(若干)組件及模型104)。另外,可藉由本文中描述之系統實施例之任一者執行上文描述之方法。 一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上實行以用於執行用於由一樣本之一或多個低解析度影像產生該樣本之一或多個高解析度影像之一電腦實施方法之程式指令。在圖7中展示一項此實施例。特定言之,如圖7中展示,非暫時性電腦可讀媒體700包含可在(若干)電腦系統704上實行之程式指令702。電腦實施方法可包含本文中描述之(若干)任何方法之(若干)任何步驟。 實施諸如本文中描述之方法之程式指令702可儲存於電腦可讀媒體700上。電腦可讀媒體可為一儲存媒體,諸如一磁碟或光碟、一磁帶或此項技術中已知的任何其他合適非暫時性電腦可讀媒體。 可以各種方式之任一者實施程式指令,包含基於程序之技術、基於組件之技術及/或物件導向技術等等。例如,可視需要使用ActiveX控制項、C++物件、JavaBeans、微軟基礎類別(「MFC」)、SSE (串流SIMD延伸)或其他技術或方法實施程式指令。 可根據本文中描述之實施例之任一者組態(若干)電腦系統704。 鑒於此描述,熟習此項技術者將明白本發明之各種態樣之進一步修改及替代實施例。例如,提供用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之方法及系統。因此,此描述應僅解釋為闡釋性且係出於教示熟習此項技術者實行本發明之一般方式之目的。將理解,本文中展示及描述之本發明之形式將視為當前較佳實施例。如熟習此項技術者在獲益於本發明之此描述之後將明白,元件及材料可取代本文中繪示及描述之元件及材料,部分及程序可顛倒,且可獨立利用本發明之特定特徵。在不脫離如在以下發明申請專利範圍中描述之本發明之精神及範疇之情況下可對本文中描述之元件做出改變。
10‧‧‧成像系統 14‧‧‧樣本 16‧‧‧光源 18‧‧‧光學元件 20‧‧‧透鏡 22‧‧‧載物台 24‧‧‧集光器 26‧‧‧元件 28‧‧‧偵測器 30‧‧‧集光器 32‧‧‧元件 34‧‧‧偵測器 36‧‧‧電腦子系統 100‧‧‧組件 102‧‧‧電腦子系統 104‧‧‧模型 122‧‧‧電子柱 124‧‧‧電腦子系統 126‧‧‧電子束源 128‧‧‧樣本 130‧‧‧元件 132‧‧‧元件 134‧‧‧偵測器 200‧‧‧低解析度光學影像 202‧‧‧掃描電子顯微鏡(SEM)影像 204‧‧‧設計資料 206‧‧‧設計資料 300‧‧‧低解析度影像 302‧‧‧CNN(低解析度) 304‧‧‧編碼器 306‧‧‧緊密表示 308‧‧‧解碼器 310‧‧‧CNN(高解析度) 312‧‧‧高解析度影像 400‧‧‧低解析度影像 402‧‧‧CNN(低解析度 + 編碼器) 404‧‧‧緊密表示 406‧‧‧CNN(解碼器 + 高解析度) 408‧‧‧高解析度影像 500‧‧‧低解析度影像 502‧‧‧DCT(低解析度)層 504‧‧‧DBN 506‧‧‧隱式表示 508‧‧‧逆DCT(高解析度)層 510‧‧‧高解析度影像 600‧‧‧DCT低頻率係數 602‧‧‧高頻分量 604‧‧‧層 606‧‧‧層 608‧‧‧層 610‧‧‧層 612‧‧‧高頻分量 700‧‧‧電腦可讀媒體 702‧‧‧程式指令 704‧‧‧電腦系統
熟習此項技術者在獲益於較佳實施例之以下詳細描述之情況下且在參考隨附圖式之後將變得明白本發明之進一步優點,其中: 圖1及圖1a係繪示如本文中描述般組態之一系統之實施例之側視圖之示意圖; 圖2係繪示可輸入至本文中描述之模型之低解析度影像及可藉由本文中描述之模型產生之高解析度影像之一個實例之一示意圖; 圖3至圖5係繪示可包含於本文中描述之模型中之層之各種實施例之流程圖; 圖6係繪示可包含於本文中描述之模型中之層之一實施例之一示意圖;及 圖7係繪示儲存用於使一或多個電腦系統執行本文中描述之一電腦實施方法之程式指令之一非暫時性電腦可讀媒體之一項實施例之一方塊圖。 雖然本發明易具有各種修改及替代形式,但在圖式中藉由實例展示且在本文中詳細描述其之特定實施例。圖式可不按比例繪製。然而,應理解,圖式及其詳細描述並不意欲將本發明限於所揭示之特定形式,而相反地,意圖係涵蓋落於如由隨附發明申請專利範圍界定之本發明之精神及範疇內之全部修改、等效物及替代。
10‧‧‧成像系統
14‧‧‧樣本
16‧‧‧光源
18‧‧‧光學元件
20‧‧‧透鏡
22‧‧‧載物台
24‧‧‧集光器
26‧‧‧元件
28‧‧‧偵測器
30‧‧‧集光器
32‧‧‧元件
34‧‧‧偵測器
36‧‧‧電腦子系統
100‧‧‧組件
102‧‧‧電腦子系統
104‧‧‧模型

Claims (30)

  1. 一種經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之系統,其包括: 一或多個電腦子系統,其經組態用於獲取一樣本之一或多個低解析度影像;及 一或多個組件,其由該一或多個電腦子系統實行,其中該一或多個組件包括: 一模型,其中該模型包括: 一或多個第一層,其經組態用於產生該一或多個低解析度影像之一表示;及 一或多個第二層,其經組態用於由該一或多個低解析度影像之該表示產生該樣本之一高解析度影像。
  2. 如請求項1之系統,其中該模型係一深度學習模型。
  3. 如請求項1之系統,其中該模型係一機器學習模型。
  4. 如請求項1之系統,其中該模型係一生成模型。
  5. 如請求項1之系統,其中該模型係一神經網路。
  6. 如請求項1之系統,其中該模型係一卷積神經網路。
  7. 如請求項1之系統,其中該一或多個第一層包括其後接著一編碼器之一或多個卷積及匯集層,且其中該一或多個第二層包括其後接著一或多個卷積及匯集層之一解碼器。
  8. 如請求項7之系統,其中由該一或多個第一層產生之該一或多個低解析度影像之該表示包括該一或多個低解析度影像之一緊密表示。
  9. 如請求項1之系統,其中該一或多個第一層包括一或多個第一卷積及匯集層,且其中該一或多個第二層包括一或多個第二卷積及匯集層。
  10. 如請求項1之系統,其中該一或多個第一層包括一離散餘弦變換層,其中該一或多個第一及第二層包括一深度信心網,且其中該一或多個第二層包括一逆離散餘弦變換層。
  11. 如請求項10之系統,其中該一或多個低解析度影像之該表示包括由該深度信心網產生之一隱式表示。
  12. 如請求項1之系統,其中該一或多個低解析度影像係使用一成像系統之一單一模式產生。
  13. 如請求項1之系統,其中該一或多個低解析度影像係使用一成像系統之多個模式產生。
  14. 如請求項1之系統,其中該一或多個低解析度影像係使用一成像系統之一焦點參數之多個值產生。
  15. 如請求項1之系統,其中該一或多個低解析度影像係使用一成像系統之一光譜參數之多個值產生。
  16. 如請求項1之系統,其中該一或多個低解析度影像係使用一成像系統之一偏光參數之多個值產生。
  17. 如請求項1之系統,其中該一或多個第二層進一步經組態用於由該一或多個低解析度影像之該表示產生該樣本之至少一個額外高解析度影像,且其中該高解析度影像及該至少一個額外高解析度影像表示使用一高解析度成像系統之不同模式針對該樣本產生之不同影像。
  18. 如請求項1之系統,其中該高解析度影像表示由一高解析度電子束系統產生之該樣本之一影像。
  19. 如請求項1之系統,其中該高解析度影像表示該樣本之設計資料。
  20. 如請求項1之系統,其中該一或多個低解析度影像係由一基於電子束之成像系統產生。
  21. 如請求項1之系統,其中該一或多個低解析度影像係由一基於光學之成像系統產生。
  22. 如請求項1之系統,其中該一或多個低解析度影像係由一檢查系統產生。
  23. 如請求項1之系統,其中該樣本係一晶圓。
  24. 如請求項1之系統,其中該樣本係一倍縮光罩。
  25. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於驗證該一或多個低解析度影像中偵測到之一缺陷,且其中使用該高解析度影像執行該驗證。
  26. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於對該一或多個低解析度影像中偵測到之一缺陷分類,且其中使用該高解析度影像執行該分類。
  27. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於基於該一或多個低解析度影像及該高解析度影像之一組合偵測該樣本上之缺陷。
  28. 一種經組態以由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之系統,其包括: 一成像子系統,其經組態用於產生一樣本之一或多個低解析度影像; 一或多個電腦子系統,其經組態用於獲取該一或多個低解析度影像;及 一或多個組件,其由該一或多個電腦子系統實行,且該一或多個組件包括: 一模型,其中該模型包括: 一或多個第一層,其經組態用於產生該一或多個低解析度影像之一表示;及 一或多個第二層,其經組態用於由該一或多個低解析度影像之該表示產生該樣本之一高解析度影像。
  29. 一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上實行以用於執行用於由一樣本之一或多個低解析度影像產生該樣本之一或多個高解析度影像之一電腦實施方法之程式指令,其中該電腦實施方法包括: 獲取一樣本之一或多個低解析度影像; 藉由將該一或多個低解析度影像輸入至一模型之一或多個第一層中而產生該一或多個低解析度影像之一表示;及 基於該表示產生該樣本之一高解析度影像,其中由該模型之一或多個第二層執行產生該高解析度影像,其中由一或多個電腦系統執行該獲取、該產生該表示及該產生該高解析度影像,其中由該一或多個電腦系統實行一或多個組件,且其中該一或多個組件包括該模型。
  30. 一種用於由一樣本之一或多個低解析度影像產生該樣本之一高解析度影像之電腦實施方法,其包括: 獲取一樣本之一或多個低解析度影像; 藉由將該一或多個低解析度影像輸入至一模型之一或多個第一層中而產生該一或多個低解析度影像之一表示;及 基於該表示產生該樣本之一高解析度影像,其中由該模型之一或多個第二層執行產生該高解析度影像,其中由一或多個電腦系統執行該獲取、該產生該表示及該產生該高解析度影像,其中由該一或多個電腦系統實行一或多個組件,且其中該一或多個組件包括該模型。
TW106100209A 2016-01-04 2017-01-04 針對半導體應用由低解析度影像產生高解析度影像之系統、方法及非暫時性電腦可讀媒體 TWI734724B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662274731P 2016-01-04 2016-01-04
US62/274,731 2016-01-04
US15/396,800 2017-01-02
US15/396,800 US10648924B2 (en) 2016-01-04 2017-01-02 Generating high resolution images from low resolution images for semiconductor applications

Publications (2)

Publication Number Publication Date
TW201734895A true TW201734895A (zh) 2017-10-01
TWI734724B TWI734724B (zh) 2021-08-01

Family

ID=59227301

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100209A TWI734724B (zh) 2016-01-04 2017-01-04 針對半導體應用由低解析度影像產生高解析度影像之系統、方法及非暫時性電腦可讀媒體

Country Status (6)

Country Link
US (1) US10648924B2 (zh)
KR (1) KR102637409B1 (zh)
CN (1) CN108475417B (zh)
IL (1) IL259822A (zh)
TW (1) TWI734724B (zh)
WO (1) WO2017120253A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI703659B (zh) * 2018-11-07 2020-09-01 荷蘭商Asml荷蘭公司 判定程序之校正
TWI732618B (zh) * 2020-07-02 2021-07-01 撼訊科技股份有限公司 影像辨識方法及其系統
TWI738157B (zh) * 2018-12-31 2021-09-01 荷蘭商Asml荷蘭公司 用於電子束影像強化之全自動掃描式電子顯微鏡取樣系統
TWI773888B (zh) * 2018-03-28 2022-08-11 美商克萊譚克公司 訓練用於低解析度影像中之缺陷偵測之神經網路
TWI787631B (zh) * 2019-08-30 2022-12-21 日商日立全球先端科技股份有限公司 帶電粒子束裝置

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016132146A1 (en) 2015-02-19 2016-08-25 Magic Pony Technology Limited Visual processing using sub-pixel convolutions
GB201604672D0 (en) * 2016-03-18 2016-05-04 Magic Pony Technology Ltd Generative methods of super resolution
WO2016156864A1 (en) 2015-03-31 2016-10-06 Magic Pony Technology Limited Training end-to-end video processes
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
CN106056562B (zh) * 2016-05-19 2019-05-28 京东方科技集团股份有限公司 一种人脸图像处理方法、装置及电子设备
CN107786867A (zh) 2016-08-26 2018-03-09 原相科技股份有限公司 基于深度学习架构的图像辨识方法及系统
US10726573B2 (en) * 2016-08-26 2020-07-28 Pixart Imaging Inc. Object detection method and system based on machine learning
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
US10467795B2 (en) 2017-04-08 2019-11-05 Intel Corporation Sub-graph in frequency domain and dynamic selection of convolution implementation on a GPU
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US11308361B1 (en) 2017-07-07 2022-04-19 Twitter, Inc. Checkerboard artifact free sub-pixel convolution
US10620135B2 (en) * 2017-07-19 2020-04-14 Kla-Tencor Corp. Identifying a source of nuisance defects on a wafer
CN107464216A (zh) * 2017-08-03 2017-12-12 济南大学 一种基于多层卷积神经网络的医学图像超分辨率重构方法
US10853977B2 (en) 2017-08-30 2020-12-01 Korea Advanced Institute Of Science And Technology Apparatus and method for reconstructing image using extended neural network
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
KR102089151B1 (ko) * 2017-08-30 2020-03-13 한국과학기술원 확장된 뉴럴 네트워크를 이용한 영상 복원 방법 및 장치
US10713534B2 (en) * 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
US11360233B2 (en) 2017-09-12 2022-06-14 Schlumberger Technology Corporation Seismic image data interpretation system
WO2019064266A1 (en) * 2017-09-28 2019-04-04 Checkout Technologies Srl CREATING DATA SETS FOR DEEP NEURAL NETWORK
CN107820085B (zh) * 2017-10-31 2021-02-26 杭州电子科技大学 一种基于深度学习的提高视频压缩编码效率的方法
TWI813595B (zh) * 2017-11-03 2023-09-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
US11257207B2 (en) 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
KR102061967B1 (ko) 2018-01-12 2020-01-02 한국과학기술원 뉴럴 네트워크를 이용한 엑스선 전산단층 촬영 영상 처리 방법 및 그 장치
US11403735B2 (en) * 2018-01-25 2022-08-02 King Abdullah University Of Science And Technology Deep-learning based structure reconstruction method and apparatus
US11199506B2 (en) * 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
KR20200123858A (ko) 2018-03-21 2020-10-30 케이엘에이 코포레이션 합성 이미지를 사용한 머신 러닝 모델 트레이닝
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
CN108665509A (zh) * 2018-05-10 2018-10-16 广东工业大学 一种超分辨率重构方法、装置、设备及可读存储介质
US10620134B2 (en) 2018-05-11 2020-04-14 Kla-Tencor Corp. Creating defect samples for array regions
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
CN109118428B (zh) * 2018-06-07 2023-05-19 西安电子科技大学 一种基于特征增强的图像超分辨率重建方法
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
KR102695519B1 (ko) 2018-07-02 2024-08-14 삼성전자주식회사 영상 모델 구축 장치 및 방법
US10169852B1 (en) * 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
WO2020011580A1 (en) * 2018-07-13 2020-01-16 Asml Netherlands B.V. Sem image enhancement methods and systems
CN109191376B (zh) * 2018-07-18 2022-11-25 电子科技大学 基于srcnn改进模型的高分辨率太赫兹图像重构方法
JP7186539B2 (ja) * 2018-08-03 2022-12-09 Automagi株式会社 錆検出プログラム、錆検出システム及び錆検出方法
US10949964B2 (en) * 2018-09-21 2021-03-16 Kla Corporation Super-resolution defect review image generation through generative adversarial networks
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
KR102117936B1 (ko) 2018-10-17 2020-06-02 한국항공우주연구원 딥러닝 기반 영상 처리 시스템 및 그 방법
KR102622950B1 (ko) 2018-11-12 2024-01-10 삼성전자주식회사 디스플레이장치, 그 제어방법 및 기록매체
CN109767386A (zh) * 2018-12-22 2019-05-17 昆明理工大学 一种基于深度学习的快速图像超分辨率重建方法
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
EP3921711B1 (en) * 2019-04-19 2024-02-14 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
KR102268019B1 (ko) * 2019-04-30 2021-06-22 (주)코셈 인공 지능 학습 데이터를 활용한 전자 현미경
EP3955208A4 (en) * 2019-06-03 2023-05-24 Hamamatsu Photonics K.K. SEMICONDUCTOR INSPECTION DEVICE AND SEMICONDUCTOR INSPECTION PROCEDURE
KR20220016030A (ko) * 2019-06-03 2022-02-08 하마마츠 포토닉스 가부시키가이샤 반도체 검사 방법 및 반도체 검사 장치
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
WO2021068219A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features with laser enhanced electron tunneling effect
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
CN110889459B (zh) * 2019-12-06 2023-04-28 北京深境智能科技有限公司 一种基于边缘和Fisher准则的学习方法
US11320357B2 (en) 2019-12-23 2022-05-03 Chevron U.S.A. Inc. System and method for estimation of rock properties from core images
CN111355965B (zh) * 2020-02-28 2022-02-25 中国工商银行股份有限公司 一种基于深度学习的图像压缩还原方法及装置
US12051183B2 (en) * 2020-04-30 2024-07-30 KLA Corp. Training a machine learning model to generate higher resolution images from inspection images
US11415526B2 (en) 2020-05-06 2022-08-16 Kla Corporation Multi-controller inspection system
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
CN112541545B (zh) * 2020-12-11 2022-09-02 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
CN112561873B (zh) * 2020-12-11 2022-11-25 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
US12020418B2 (en) * 2021-04-22 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Image processing method and system, and non-transitory computer readable medium
WO2023063939A1 (en) * 2021-10-13 2023-04-20 Hewlett-Packard Development Company, L.P. Neural network image enhancement
WO2024013161A1 (en) * 2022-07-15 2024-01-18 Asml Netherlands B.V. Obtaining high resolution information from low resolution images
KR102619486B1 (ko) * 2022-11-03 2024-01-02 시냅스이미징(주) 고해상도 컬러 변환이 구현된 검사시스템
US20240161272A1 (en) * 2022-11-10 2024-05-16 Kla Corporation Multimode defect detection

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808589A (en) * 1994-08-24 1998-09-15 Fergason; James L. Optical system for a head mounted display combining high and low resolution images
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
CN100520379C (zh) * 2002-11-01 2009-07-29 光子动力学公司 用于检查具有图样的平的介质的方法和装置
US6770879B1 (en) * 2003-03-12 2004-08-03 Kla-Tencor Technologies Corporation Motion picture output from electron microscope
US7365834B2 (en) * 2003-06-24 2008-04-29 Kla-Tencor Technologies Corporation Optical system for detecting anomalies and/or features of surfaces
US7809155B2 (en) * 2004-06-30 2010-10-05 Intel Corporation Computing a higher resolution image from multiple lower resolution images using model-base, robust Bayesian estimation
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7769230B2 (en) * 2006-11-30 2010-08-03 Eastman Kodak Company Producing low resolution images
US9633426B2 (en) 2014-05-30 2017-04-25 General Electric Company Remote visual inspection image capture system and method
US7598492B1 (en) * 2007-01-16 2009-10-06 Kla-Tencor Technologies Corporation Charged particle microscopy using super resolution
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US7691549B1 (en) * 2007-02-15 2010-04-06 Kla-Tencor Technologies Corporation Multiple exposure lithography technique and method
US7738093B2 (en) * 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8068700B2 (en) * 2007-05-28 2011-11-29 Sanyo Electric Co., Ltd. Image processing apparatus, image processing method, and electronic appliance
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8442355B2 (en) * 2008-05-23 2013-05-14 Samsung Electronics Co., Ltd. System and method for generating a multi-dimensional image
DE102008054317A1 (de) * 2008-11-03 2010-05-06 Carl Zeiss Microlmaging Gmbh Kombinationsmikroskopie
US9601393B2 (en) * 2009-02-06 2017-03-21 Kla-Tencor Corp. Selecting one or more parameters for inspection of a wafer
US8724928B2 (en) * 2009-08-31 2014-05-13 Intellectual Ventures Fund 83 Llc Using captured high and low resolution images
US8179445B2 (en) * 2010-03-03 2012-05-15 Eastman Kodak Company Providing improved high resolution image
JP5393550B2 (ja) * 2010-03-18 2014-01-22 株式会社日立ハイテクノロジーズ 走査荷電粒子顕微鏡を用いた画像生成方法及び装置、並びに試料の観察方法及び観察装置
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
JP2015535348A (ja) * 2012-10-30 2015-12-10 カリフォルニア インスティチュート オブ テクノロジー フーリエ・タイコグラフィー撮像システム、装置、及び方法
US9811775B2 (en) 2012-12-24 2017-11-07 Google Inc. Parallelizing neural networks during training
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9679360B2 (en) * 2013-05-10 2017-06-13 Trustees Of Princeton University High-resolution light-field imaging
US9401016B2 (en) 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI773888B (zh) * 2018-03-28 2022-08-11 美商克萊譚克公司 訓練用於低解析度影像中之缺陷偵測之神經網路
TWI703659B (zh) * 2018-11-07 2020-09-01 荷蘭商Asml荷蘭公司 判定程序之校正
TWI738157B (zh) * 2018-12-31 2021-09-01 荷蘭商Asml荷蘭公司 用於電子束影像強化之全自動掃描式電子顯微鏡取樣系統
US11769317B2 (en) 2018-12-31 2023-09-26 Asml Netherlands B.V. Fully automated SEM sampling system for e-beam image enhancement
TWI787631B (zh) * 2019-08-30 2022-12-21 日商日立全球先端科技股份有限公司 帶電粒子束裝置
TWI732618B (zh) * 2020-07-02 2021-07-01 撼訊科技股份有限公司 影像辨識方法及其系統

Also Published As

Publication number Publication date
KR102637409B1 (ko) 2024-02-15
CN108475417B (zh) 2023-04-04
WO2017120253A1 (en) 2017-07-13
US10648924B2 (en) 2020-05-12
TWI734724B (zh) 2021-08-01
IL259822A (en) 2018-07-31
US20170193680A1 (en) 2017-07-06
CN108475417A (zh) 2018-08-31
KR20180091940A (ko) 2018-08-16

Similar Documents

Publication Publication Date Title
TWI734724B (zh) 針對半導體應用由低解析度影像產生高解析度影像之系統、方法及非暫時性電腦可讀媒體
US10733744B2 (en) Learning based approach for aligning images acquired with different modalities
TWI773888B (zh) 訓練用於低解析度影像中之缺陷偵測之神經網路
KR102336469B1 (ko) 결함 검출 및 분류를 위한 통합된 뉴럴 네트워크
KR102213730B1 (ko) 반도체 애플리케이션을 위한 신경망 및 순방향 물리적 모델을 포함하는 방법 및 시스템
CN109074650B (zh) 针对半导体应用从输入图像产生经模拟图像
JP6893514B2 (ja) ハイブリッドインスペクタ
TWI713672B (zh) 為樣品產生模擬輸出之系統,非暫時性電腦可讀媒體及電腦實施方法
TWI711978B (zh) 用於執行針對樣本之功能之系統及電腦實施方法,以及電腦可讀媒體
JP2019537839A (ja) 半導体用途向けに構成された深層学習モデルのための診断システムおよび方法
TW202211092A (zh) 訓練機器學習模型以自檢查影像產生較高解析度影像