CN108475417A - 针对半导体应用由低分辨率图像产生高分辨率图像 - Google Patents

针对半导体应用由低分辨率图像产生高分辨率图像 Download PDF

Info

Publication number
CN108475417A
CN108475417A CN201780005552.3A CN201780005552A CN108475417A CN 108475417 A CN108475417 A CN 108475417A CN 201780005552 A CN201780005552 A CN 201780005552A CN 108475417 A CN108475417 A CN 108475417A
Authority
CN
China
Prior art keywords
low
sample
resolution images
resolution
model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780005552.3A
Other languages
English (en)
Other versions
CN108475417B (zh
Inventor
张晶
G·H·陈
K·巴哈斯卡尔
K·韦尔斯
白楠
P·谷
高理升
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN108475417A publication Critical patent/CN108475417A/zh
Application granted granted Critical
Publication of CN108475417B publication Critical patent/CN108475417B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T3/00Geometric image transformations in the plane of the image
    • G06T3/40Scaling of whole images or parts thereof, e.g. expanding or contracting
    • G06T3/4053Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution
    • G06T3/4076Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution using the original low-resolution images to iteratively correct the high-resolution images
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24133Distances to prototypes
    • G06F18/24137Distances to cluster centroïds
    • G06F18/2414Smoothing the distance, e.g. radial basis function networks [RBFN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T11/002D [Two Dimensional] image generation
    • G06T11/60Editing figures and text; Combining figures or text
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T3/00Geometric image transformations in the plane of the image
    • G06T3/40Scaling of whole images or parts thereof, e.g. expanding or contracting
    • G06T3/4053Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/40Extraction of image or video features
    • G06V10/44Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
    • G06V10/443Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components by matching or filtering
    • G06V10/449Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters
    • G06V10/451Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters with interaction between the filter responses, e.g. cortical complex cells
    • G06V10/454Integrating the filters into a hierarchical structure, e.g. convolutional neural networks [CNN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/19173Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/24Character recognition characterised by the processing or recognition method
    • G06V30/248Character recognition characterised by the processing or recognition method involving plural approaches, e.g. verification by template match; Resolving confusion among similar patterns, e.g. "O" versus "Q"
    • G06V30/2504Coarse or fine approaches, e.g. resolution of ambiguities or multiscale approaches
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Multimedia (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Databases & Information Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Mathematical Physics (AREA)
  • Computational Linguistics (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Biophysics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)

Abstract

本发明提供用于由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的方法及系统。一个系统包含经配置用于获取样品的一或多个低分辨率图像的一或多个计算机子系统。所述系统还包含由所述一或多个计算机子系统执行的一或多个组件。所述一或多个组件包含模型,所述模型包含经配置用于产生所述一或多个低分辨率图像的表示的一或多个第一层。所述模型还包含经配置用于由所述一或多个低分辨率图像的所述表示产生所述样品的高分辨率图像的一或多个第二层。

Description

针对半导体应用由低分辨率图像产生高分辨率图像
技术领域
本发明大体上涉及用于针对半导体应用由低分辨率图像产生高分辨率图像的方法及系统。
背景技术
以下描述及实例不因为其包含于此章节中而被视为是现有技术。
制造例如逻辑及存储器装置的半导体装置通常包含使用大量半导体制造工艺处理例如半导体晶片的衬底以形成半导体装置的各种特征及多个层级。举例来说,光刻是涉及将图案从光罩转印到布置于半导体晶片上的抗蚀剂的半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光(CMP)、蚀刻、沉积及离子植入。可在单个半导体晶片上的布置中制造多个半导体装置,且接着将其分离成个别半导体装置。
在半导体制造工艺期间的各个步骤使用检验过程以检测样品上的缺陷以驱动制造工艺中的更高良率及因此更高利润。检验始终是制造半导体装置的重要部分。然而,随着半导体装置的尺寸减小,检验对于成功制造可接受半导体装置变得更加重要,这是因为更小缺陷可导致装置故障。
缺陷复检通常涉及重新检测本身由检验过程检测的缺陷及使用高倍率光学系统或扫描电子显微镜(SEM)而以更高分辨率产生关于缺陷的额外信息。因此,在样品(其中已通过检验检测到缺陷)上的离散位置处执行缺陷复检。通过缺陷复检产生的缺陷的更高分辨率数据更适用于确定缺陷的属性,例如轮廓、粗糙度、更精确的大小信息等。
在半导体制造工艺期间的各个步骤还使用计量过程来监测且控制工艺。计量过程与检验过程不同之处在于,不同于其中在样品上检测缺陷的检验过程,计量过程用于测量无法使用当前所使用的检验工具确定的样品的一或多个特性。举例来说,计量过程用于测量样品的一或多个特性(例如在工艺期间形成于样品上的特征的尺寸(例如,线宽、厚度等)),使得可从所述一或多个特性确定工艺的性能。另外,如果样品的一或多个特性是不可接受的(例如,在所述特性的预定范围外),那么可使用样品的一或多个特性的测量以更改工艺的一或多个参数,使得由所述工艺制造的额外样品具有可接受特性。
计量过程与缺陷复检过程不同之处还在于,不同于其中在缺陷复检中重访由检验检测到的缺陷的缺陷复检过程,可在未检测缺陷的位置处执行计量过程。换句话来说,不同于缺陷复检,在样品上执行计量过程的位置可独立于在样品上执行的检验过程的结果。特定来说,可独立于检验结果选择执行计量过程的位置。
因此,如上文描述,归因于执行检验(光学及有时电子束检验)的有限分辨率,通常需要样品以针对在所述样品上检测到的缺陷的缺陷复检而产生额外更高分辨率图像,此可包含验证所述检测到的缺陷、对所述检测到的缺陷分类及确定所述缺陷的特性。另外,如在计量中,通常需要更高分辨率图像来确定形成于所述样品上的图案化特征的信息,而不管在所述图案化特征中是否检测到缺陷。因此,缺陷复检及计量可为耗时过程,其需要使用物理样品自身及产生更高分辨率图像所需的额外工具(除检验器外)。
然而,缺陷复检及计量并非可简单消除以省时且省钱的过程。举例来说,归因于执行检验过程的分辨率,一般来说,检验过程并不产生可用于确定检测到的缺陷的信息(其足以对缺陷分类及/或确定所述缺陷的根本原因)的图像信号或数据。另外,归因于执行检验过程的分辨率,一般来说,检验过程并不产生可用于以足够精确度确定形成在样品上的图案化特征的信息的图像信号或数据。
因此,开发不具有上文描述的缺点的一或多者的用于产生样品的高分辨率图像的系统及方法将为有利的。
发明内容
各种实施例的下列描述绝不应解释为限制所附权利要求书的标的物。
一个实施例涉及一种经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统。所述系统包含经配置用于获取样品的一或多个低分辨率图像的一或多个计算机子系统。所述系统还包含由所述一或多个计算机子系统执行的一或多个组件。所述一或多个组件包含模型,所述模型包含经配置用于产生所述一或多个低分辨率图像的表示的一或多个第一层。所述模型还包含经配置用于由所述一或多个低分辨率图像的所述表示产生所述样品的高分辨率图像的一或多个第二层。可如本文中描述那样进一步配置所述系统。
额外实施例涉及另一种经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统。此系统如上文所描述那样配置。此系统还包含经配置用于产生所述样品的所述一或多个低分辨率图像的成像子系统。在此实施例中,所述计算机子系统经配置用于从所述成像子系统获取所述一或多个低分辨率图像。所述系统的此实施例可如本文中描述那样进一步配置。
另一实施例涉及一种计算机实施方法,其用于由样品的一或多个低分辨率图像产生所述样品的高分辨率图像。所述方法包含获取样品的一或多个低分辨率图像。所述方法还包含通过将所述一或多个低分辨率图像输入到模型的一或多个第一层中而产生所述一或多个低分辨率图像的表示。另外,所述方法包含基于所述表示产生所述样品的高分辨率图像。由所述模型的一或多个第二层执行产生所述高分辨率图像。由一或多个计算机系统执行所述获取、产生所述表示及产生所述高分辨率图像步骤。由所述一或多个计算机系统执行一或多个组件,且所述一或多个组件包含所述模型。
可如本文中进一步描述那样进一步执行上文描述的方法的步骤中的每一者。另外,上文描述的方法的实施例可包含本文中描述的任何其它方法的任何其它步骤。此外,可由本文中描述的所述系统中的任何者执行上文描述的方法。
另一实施例涉及一种非暂时性计算机可读媒体,其存储可在一或多个计算机系统上执行以执行用于由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的计算机实施方法的程序指令。所述计算机实施方法包含上文描述的方法的步骤。可如本文中描述那样进一步配置所述计算机可读媒体。可如本文中进一步描述那样执行所述计算机实施方法的步骤。另外,所述计算机实施方法(可针对其执行所述程序指令)可包含本文中描述的任何其它方法的任何其它步骤。
附图说明
所属领域的技术人员在获益于优选实施例的以下详细描述的情况下且在参考附图之后将变得明白本发明的另外优点,其中:
图1及1a是说明如本文中描述那样配置的系统的实施例的侧视图的示意图;
图2是说明可输入到本文中描述的模型的低分辨率图像及可由本文中描述的模型产生的高分辨率图像的一个实例的示意图;
图3到5是说明可包含于本文中描述的模型中的层的各种实施例的流程图;
图6是说明可包含于本文中描述的模型中的层的实施例的示意图;及
图7是说明存储用于使一或多个计算机系统执行本文中描述的计算机实施方法的程序指令的非暂时性计算机可读媒体的一个实施例的框图。
虽然本发明易受各种修改及替代形式影响,但在图式中通过实例展示且在本文中详细描述其特定实施例。图式可不按比例绘制。然而,应理解,图式及其详细描述并不希望将本发明限于所揭示的特定形式,而相反地,意图是涵盖落于如由所附权利要求书界定的本发明的精神及范围内的全部修改、等效物及替代。
具体实施方式
如在本文中可交换地使用的术语“设计”、“设计数据”及“设计信息”大体上是指IC的物理设计(布局)及通过复杂模拟或简单几何及布尔(Boolean)运算从所述物理设计导出的数据。另外,由光罩检验系统获取的光罩的图像及/或其导出物可用作用于设计的“代理”或“若干代理”。此光罩图像或其导出物可在使用设计的本文中描述的任何实施例中充当对于设计布局的替代物。设计可包含2009年8月4日颁予扎尔法(Zafar)等人的共同拥有的第7,570,796号美国专利及2010年3月9日颁予库尔卡尼(Kulkarni)等人的共同拥有的第7,676,077号美国专利中描述的任何其它设计数据或设计数据代理,所述两个专利以宛如全文陈述引用的方式并入本文中。另外,设计数据可为标准单元库数据、集成布局数据、一或多个层的设计数据、设计数据的导出物及完全或部分芯片设计数据。
另外,本文中描述的“设计”、“设计数据”及“设计信息”是指由半导体装置设计者在设计过程中产生且因此可在将设计印刷于例如光罩及晶片的任何物理样品上之前良好地用于本文中描述的实施例中的信息及数据。
现参考图式,应注意,图未按比例绘制。特定来说,在很大程度上放大图的一些元件的尺度以强调元件的特性。还应注意,所述图未按相同比例绘制。已使用相同元件符号指示可经类似配置的展示于一个以上图中的元件。除非本文中另有说明,否则所描述且展示的任何元件可包含任何适合市售元件。
一个实施例涉及一种经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统。如本文中使用的术语样品的“低分辨率图像”一般定义为图像,其中未分辨形成于产生所述图像的样品的区域中的全部图案化特征。举例来说,如果产生低分辨率图像的样品的区域中的一些图案化特征的大小足够大以使其可分辨,那么其可在低分辨率图像中分辨。然而,低分辨率图像并非按使图像中的全部图案化特征可分辨的分辨率产生。以此方式,如本文中使用的术语“低分辨率图像”并不含有关于样品上的图案化特征的信息(其足以使低分辨率图像用于例如缺陷复检(其可包含缺陷分类及/或验证)及计量的应用)。另外,如本文中使用的术语“低分辨率图像”一般是指由检验系统产生的图像,其通常具有相对较低分辨率(例如,低于缺陷复检及/或计量系统)以便具有相对快速的处理能力。
“低分辨率图像”还可为“低分辨率”,其中其具有低于本文中描述的“高分辨率图像”的分辨率。如本文中使用的术语“高分辨率图像”一般可定义为图像,其中使用相对高的精确度分辨样品的全部图案化特征。以此方式,在高分辨率图像中分辨针对其产生高分辨率图像的样品的区域中的全部图案化特征而不管其大小。因而,如本文中使用的术语“高分辨率图像”含有关于样品的图案化特征的信息,其足以使高分辨率图像用于例如缺陷复检(其可包含缺陷分类及/或验证)及计量的应用。另外,如本文中使用的术语“高分辨率图像”一般是指在例行操作期间无法由检验系统产生的图像,其经配置以牺牲分辨能力而增大处理能力。
如本文中进一步描述,一或多个低分辨率图像可包含(例如)样品的光学图像,且高分辨率图像可包含样品的电子束图像(例如,扫描电子显微镜(SEM)图像)或设计数据。另外,如本文中进一步描述,产生样品的高分辨率图像可使用例如深度学习模型的模型执行。因此,如本文中进一步描述,实施例可经配置用于使用深度学习技术来执行光学到SEM及/或设计数据变换。
与本文中描述的实施例相反,用于执行低分辨率到高分辨率变换的基于物理方法需要一般不容易获得(例如用于半导体制造工艺中的材料的光学常数、精确堆叠信息等)的信息且计算过于密集而无法成为用于良率控制相关过程的理想解决方案。特定来说,现存方法通过解决光与半导体布局的相互作用而将光学图像反转为电磁场解决方案。此方法需要材料的光学常数、装置布局及精确系统响应。三者通常都不容易获得。另外,这些方法是计算密集的。因此,无法将变换按比例调整到全晶片级。
使用基本光学形成方法在另一方向上的变换(即,从设计到光学或SEM到光学)已投入使用。此类变换可用于半导体应用,例如裸片对数据库检验及光学临界尺寸(CD)计量测量。
使用深度学习技术的从低分辨率图像到高分辨率图像的变换是新的。利用高级神经网络的深度学习的最近进展使低分辨率到高分辨率图像变换成为可能。举例来说,神经网络的一些当前使用的应用是图像反卷积及超分辨率图像重建。公开技术是用于摄影图像,且已知公开作品都不应用到半导体应用。本文中描述的实施例利用这些进展以使用深度学习及/或机器学习技术进行低分辨率到高分辨率图像变换。为提高变换的稳健性,本文中描述的实施例可使用多模式低分辨率图像(例如通过焦点、跨光谱带或跨不同偏光),使得结合使用额外低分辨率图像信息来提高可用于变换的低分辨率图像数据内容。
另外,已知本文中描述的模型都未经配置或用于将一个类型的低分辨率图像变换为不同类型的高分辨率图像。特定来说,据信本文中描述的模型已仅应用到其中增强摄影图像分辨率但摄影图像分辨率未从一个成像技术变换到另一成像技术的情境。举例来说,在先前使用的方法及系统中,低分辨率摄影图像未使用一个摄影技术来产生,而高分辨率摄影图像对应于将使用另一摄影技术产生的图像。相比之下,本文中描述的实施例可使用本文中进一步描述的模型来将样品的低分辨率光学图像变换为高分辨率电子束图像及/或高分辨率设计数据表示。因此,样品表示的分辨率以及表示模式改变。以此方式,低分辨率图像及高分辨率图像可具有不同图像类型。然而,在其它例子中,低分辨率图像及高分辨率图像可具有相同图像类型(例如,如当低分辨率电子束图像经变换为高分辨率电子束图像)。
在图1中展示系统的一个实施例,所述系统经配置以由样品的一或多个低分辨率图像产生样品的高分辨率图像。所述系统包含一或多个计算机子系统(例如,计算机子系统36及计算机子系统102)及由一或多个计算机子系统执行的一或多个组件100。在一些实施例中,所述系统包含成像系统(或子系统)10。在图1的实施例中,成像系统经配置用于使光扫描遍及样品的物理版本或将光引导到所述物理版本,同时检测来自样品的光以借此产生样品的图像。成像系统还可经配置以使用多个模式执行扫描(或引导)及检测。
在一个实施例中,样品是晶片。晶片可包含所属领域中已知的任何晶片。在另一实施例中,样品是光罩。光罩可包含所属领域中已知的任何光罩。
在一个实施例中,成像系统是基于光学的成像系统。在一个此实例中,在图1中展示的系统的实施例中,基于光学的成像系统10包含经配置以将光引导到样品14的照明子系统。照明子系统包含至少一个光源。举例来说,如在图1中展示,照明子系统包含光源16。在一个实施例中,照明子系统经配置以将光按一或多个入射角引导到样品,一或多个入射角可包含一或多个倾斜角及/或一或多个法向角。举例来说,如在图1中展示,来自光源16的光按倾斜入射角经引导穿过光学元件18,且接着穿过透镜20到样品14。倾斜入射角可包含任何合适倾斜入射角,其可取决于(例如)样品的特性而变化。
成像系统可经配置以在不同时间按不同入射角将光引导到样品。举例来说,成像系统可经配置以更改照明子系统的一或多个元件的一或多个特性,使得光可按不同于在图1中展示的入射角的入射角引导到样品。在一个此实例中,成像系统可经配置以移动光源16、光学元件18及透镜20,使得光按不同倾斜入射角或法向(或近法向)入射角引导到样品。
在一些例子中,成像系统可经配置以在相同时间按一个以上入射角将光引导到样品。举例来说,照明子系统可包含一个以上照明通道,照明通道中的一者可包含光源16、光学元件18及透镜20(如在图1中展示),且照明通道中的另一者(未展示)可包含类似元件(其可经不同或相同配置)或可包含至少一光源及可能一或多个其它组件,例如本文中进一步描述的组件。如果在与其它光相同的时间将此光引导到样品,那么按不同入射角引导到样品的光的一或多个特性(例如,波长、偏光等等)可为不同的,使得可在检测器处将源自按不同入射角照明样品的光彼此区分。
在另一例子中,照明子系统可包含仅一个光源(例如,在图1中展示的源16)且可由照明子系统的一或多个光学元件(未展示)将来自光源的光分离到不同光学路径中(例如,基于波长、偏光等等)。接着,可将不同光学路径中的每一者中的光引导到样品。多个照明通道可经配置以在相同时间或不同时间(例如,当使用不同照明通道以依序照明样品时)将光引导到样品。在另一例子中,相同照明通道可经配置以在不同时间将具有不同特性的光引导到样品。举例来说,在一些例子中,光学元件18可经配置为光谱滤光器且可以多种不同方式(例如,通过调换出光谱滤光器)改变光谱滤光器的性质使得可在不同时间将不同波长的光引导到样品。照明子系统可具有所属领域中已知的用于依序或同时按不同或相同入射角将具有不同或相同特性的光引导到样品的任何其它适合配置。
在一个实施例中,光源16可包含宽带等离子体(BBP)光源。以此方式,由光源产生且引导到样品的光可包含宽带光。然而,光源可包含任何其它适合光源(例如激光器)。激光器可包含所属领域中已知的任何适合激光器且可经配置以产生任何适合波长或所属领域中已知的波长的光。另外,激光器可经配置以产生单色或近单色光。以此方式,激光器可为窄频激光器。光源还可包含产生多个离散波长或波带的光的多色光源。
可由透镜20将来自光学元件18的光聚焦到样品14上。尽管透镜20在图1中经展示为单个折射光学元件,但应理解,在实践中,透镜20可包含组合地将来自光学元件的光聚焦到样品的数个折射及/或反射光学元件。在图1中展示且在本文中描述的照明子系统可包含任何其它合适光学元件(未展示)。此类光学元件的实例包含(但不限于)偏光组件、光谱滤波器、空间滤波器、反射光学元件、变迹器、束分离器、孔径及类似物,其可包含所属领域中已知的任何此类合适光学元件。另外,成像系统可经配置以基于待用于成像的照明类型更改照明子系统的元件中的一或多者。
成像系统还可包含经配置以引起光扫描遍及样品的扫描子系统。举例来说,成像系统可包含载物台22,在检验期间将样品14安置于载物台22上。扫描子系统可包含任何合适机械及/或机器人组合件(其包含载物台22),其可经配置以移动样品,使得光可扫描遍及样品。另外或替代性地,成像系统可经配置使得成像系统的一或多个光学元件在样品上方执行某种光扫描。光可以任何合适方式(例如以蛇形路径或以螺旋路径)扫描遍及样品。
成像系统进一步包含一或多个检测通道。一或多个检测通道中的至少一者包含检测器,所述检测器经配置以检测归因于由系统照明样品而来自样品的光且响应于检测到的光产生输出。举例来说,在图1中展示的成像系统包含两个检测通道:一个通道由集光器24、元件26及检测器28形成且另一个通道由集光器30、元件32及检测器34形成。如在图1中展示,两个检测通道经配置以按不同收集角度收集及检测光。在一些例子中,两个检测通道经配置以检测散射光,且检测通道经配置以检测按不同角度从样品散射的光。然而,检测通道中的一或多者可经配置以检测来自样品的另一类型的光(例如,反射光)。
如在图1中进一步展示,两个检测通道经展示定位于纸平面中且照明子系统还经展示定位于纸平面中。因此,在此实施例中,两个检测通道定位(例如,居中)于入射平面中。然而,检测通道中的一或多者可定位于入射平面外。举例来说,由集光器30、元件32及检测器34形成的检测通道可经配置以收集及检测从入射平面散射的光。因此,此检测通道通常可称为“侧”通道,且此侧通道可在基本上垂直于入射平面的平面中居中。
尽管图1展示包含两个检测通道的成像系统的实施例,但成像系统可包含不同数目个检测通道(例如,仅一个检测通道或两个或两个以上检测通道)。在一个此例子中,由集光器30、元件32及检测器34形成的检测通道可形成如上文描述的一个侧通道,且成像系统可包含经形成为定位于入射平面的相对侧上的另一侧通道的额外检测通道(未展示)。因此,成像系统可包含检测通道,其包含集光器24、元件26及检测器28且在入射平面中居中且经配置以收集及检测成垂直于或接近垂直于样品表面的散射角的光。因此,此检测通道通常可称为“顶部”通道,且成像系统还可包含如上文描述那样配置的两个或两个以上侧通道。因而,成像系统可包含至少三个通道(即,一个顶部通道及两个侧通道),且至少三个通道中的每一者具有其自身的集光器,所述集光器中的每一者经配置以收集成不同于其它集光器中的每一者的散射角的光。
如上文进一步描述,包含于成像系统中的检测通道中的每一者可经配置以检测散射光。因此,在图1中展示的成像系统可经配置以用于样品的暗场(DF)成像。然而,所述成像系统还可或替代性地包含经配置以用于样品的明场(BF)成像的检测通道。换句话来说,所述成像系统可包含经配置以检测从样品镜面反射的光的至少一个检测通道。因此,本文中描述的成像系统可经配置以用于仅DF成像、仅BF成像或DF及BF成像两者。尽管集光器中的每一者在图1中经展示为单个折射光学元件,但应理解,集光器中的每一者可包含一或多个折射光学元件及/或一或多个反射光学元件。
所述一或多个检测通道可包含所属领域中已知的任何合适检测器。举例来说,所述检测器可包含光电倍增管(PMT)、电荷耦合装置(CCD)、时延积分(TDI)摄像机及所属领域中已知的任何其它合适检测器。所述检测器还可包含非成像检测器或成像检测器。以此方式,如果检测器是非成像检测器,那么检测器中的每一者可经配置以检测散射光的特定特性(例如强度)但不能经配置以根据成像平面内的位置检测此类特性。因而,由包含于成像系统的检测通道中的每一者中的检测器中的每一者产生的输出可为信号或数据而非图像信号或图像数据。在此类例子中,计算机子系统(例如计算机子系统36)可经配置以从检测器的非成像输出产生样品图像。然而,在其它例子中,检测器可经配置为成像检测器,其经配置以产生图像信号或图像数据。因此,所述成像系统可经配置以用数种方式产生本文中描述的图像。
应注意,在本文中提供图1以大体上说明可包含于本文中描述的系统实施例中或可产生由本文中描述的系统实施例使用的图像的成像系统或子系统的配置。显然,如通常在设计商业成像系统时执行,本文中描述的成像系统配置可经更改以优化成像系统的性能。另外,可使用现存系统(例如,通过添加本文中描述的功能性到现存系统)(例如商业上可从加利福尼亚州苗必达市科磊公司(KLA-Tencor,Milpitas,Calif.)购得的29xx/39xx及Puma 9xxx系列工具)实施本文中描述的系统。对于一些此类系统,本文中描述的实施例可经提供为系统的任选功能性(例如,除系统的其它功能性以外)。替代性地,本文中描述的成像系统可“从头开始”设计以提供全新成像系统。
成像系统的计算机子系统36可以任何合适方式(例如,经由一或多个传输媒体,其可包含“有线”及/或“无线”传输媒体)耦合到成像系统的检测器,使得计算机子系统可接收在样品的扫描期间由检测器产生的输出。计算机子系统36可经配置以使用检测器输出执行本文中进一步描述的数个功能。
在图1中展示的计算机子系统(以及本文中描述的其它计算机子系统)在本文中还可称为计算机系统。本文中描述的计算机子系统或系统中的每一者可呈各种形式,包含个人计算机系统、图像计算机、主计算机系统、工作站、网络设施、因特网设施或其它装置。一般来说,术语“计算机系统”可经广泛定义以涵盖执行来自存储器媒体的指令的具有一或多个处理器的任何装置。计算机子系统或系统还可包含所属领域中已知的任何合适处理器,例如并行处理器。另外,计算机子系统或系统可包含作为独立或网络工具的具有高速处理及软件的计算机平台。
如果系统包含一个以上计算机子系统,那么不同计算机子系统可彼此耦合,使得可在如本文中进一步描述的计算机子系统之间发送图像、数据、信息、指令等等。举例来说,计算机子系统36可由任何合适传输媒体耦合到计算机子系统102(如由图1中的虚线展示),传输媒体可包含所属领域中已知的任何合适有线及/或无线传输媒体。还可由共享计算机可读存储媒体(未展示)有效地耦合此类计算机子系统中的两者或两者以上。
尽管成像系统在上文描述为基于光学或光的成像系统,但成像系统可为基于电子束的成像系统。在图1a中展示的一个此实施例中,成像系统包含耦合到计算机子系统124的电子柱122。如在图1a中还展示,电子柱包含电子束源126,其经配置以产生由一或多个元件130聚焦到样品128的电子。电子束源可包含(例如)阴极源或射极尖端,且一或多个元件130可包含(例如)枪透镜、阳极、束限制孔径、闸阀、束电流选择孔径、物镜及扫描子系统,其全部可包含所属领域中已知的任何此类合适元件。
可由一或多个元件132将从样品返回的电子(例如,二次电子)聚焦到检测器134。一或多个元件132可包含(例如)扫描子系统,其可为包含于元件130中的相同扫描子系统。
电子柱可包含所属领域中已知的任何其它合适元件。另外,电子柱可如在以下专利中描述那样进一步配置:在2014年4月4日颁予蒋(Jiang)等人的第8,664,594号美国专利、在2014年4月8日颁予小岛(Kojima)等人的第8,692,204号美国专利、在2014年4月15日颁予固本(Gubbens)等人的第8,698,093号美国专利及在2014年5月6日颁予麦克唐纳(MacDonald)等人的第8,716,662号美国专利,所述专利案以宛如全文陈述引用的方式并入本文中。
尽管电子柱在图1a中经展示为经配置使得按倾斜入射角将电子引导到样品且按另一倾斜角从样品散射电子,但应理解,可按任何合适角度将电子束引导到样品及从样品散射。另外,如本文中进一步描述,基于电子束的成像系统可经配置以使用多个模式(例如,具有不同照明角度、收集角度等等)产生样品图像。基于电子束的成像系统的多个模式可在成像系统的任何图像产生参数上不同。
计算机子系统124可如上文描述那样耦合到检测器134。检测器可检测从样品的表面返回的电子,借此形成样品的电子束图像。电子束图像可包含任何合适电子束图像。计算机子系统124可经配置以使用由检测器134产生的输出针对样品执行在本文中进一步描述的一或多个功能。计算机子系统124可经配置以执行本文中描述的任何额外步骤。包含在图1a中展示的成像系统的系统可如本文中描述那样进一步配置。
应注意,在本文中提供图1a以大体上说明可包含于本文中描述的实施例中的基于电子束的成像系统的配置。如同上文所描述的基于光学的成像系统,本文中描述的基于电子束的成像系统配置可经更改以如在设计商用成像系统时通常所执行那样优化成像系统的性能。另外,可使用现存系统(例如,通过将本文中描述的功能性添加到现存系统)实施本文中描述的系统,例如商业上可从科磊公司购得的eSxxx及eDR-xxxx系列工具。对于一些此类系统,本文中描述的实施例可经提供为系统的任选功能性(例如,除系统的其它功能性以外)。代替性地,本文中描述的系统可“从头开始”设计以提供全新系统。
尽管成像系统在上文描述为基于光学或基于电子束的成像系统,但成像系统可为基于离子束的成像系统。除可使用所属领域中已知的任何合适离子束源替代电子束源以外,可如在图2中展示那样配置此成像系统。另外,成像系统可为任何其它合适基于离子束的成像系统,例如包含于市售聚焦离子束(FIB)系统、氦离子显微镜(HIM)系统及二次离子质谱(SIMS)系统中的成像系统。
如上文提及,成像系统经配置以使能量(光或电子)扫描遍及样品的物理版本,借此产生样品的物理版本的实际图像。以此方式,成像系统可经配置为“实际”系统而非“虚拟”系统。举例来说,存储媒体(未展示)及在图1中展示的计算机子系统102可经配置为“虚拟”系统。特定来说,存储媒体及计算机子系统并非成像系统10的部分且不具有用于处置样品的物理版本的任何能力。换句话来说,在经配置为虚拟系统的系统中,其一或多个“检测器”的输出可为先前由实际系统的一或多个检测器产生且存储于虚拟系统中的输出,且在“扫描”期间,虚拟系统可如同扫描样品那样重放所存储输出。以此方式,使用虚拟系统扫描样品可看似相同于使用实际系统扫描物理样品,而实际上,“扫描”涉及以与可扫描样品相同的方式重放针对样品的输出。在共同转让的以下专利中描述经配置为“虚拟”检验系统的系统及方法:在2012年2月28日颁予巴斯卡尔(Bhaskar)等人的第8,126,255号美国专利及于2015年12月29日颁予达菲(Duffy)等人的第9,222,895号美国专利,所述专利案两者以宛如全文陈述引用的方式并入本文中。可如在这些专利中描述那样进一步配置本文中描述的实施例。举例来说,可如在这些专利中描述那样进一步配置本文中描述的一或多个计算机子系统。另外,可如在上文参考的达菲的专利案中描述那样执行将一或多个虚拟系统配置为中央计算及存储(CCS)系统。本文中描述的永久存储机构可具有分布式计算及存储装置(例如CCS架构),但本文中描述的实施例不限于那个架构。
如上文进一步提及,成像系统可经配置以使用多个模式产生样品的图像。一般来说,可由用于产生样品的图像的成像系统的参数值或用于产生样品的图像的输出定义“模式”。因此,不同模式在成像系统的成像参数中的至少一者的值上可不同。举例来说,在基于光学的成像系统的一个实施例中,多个模式中的至少一者使用用于照明的光的至少一个波长,其不同于用于多个模式的至少另一者的照明的光的至少一个波长。所述模式在用于不同模式的如本文中进一步描述的照明波长上可不同(例如,通过使用不同光源、不同光谱滤波器等等)。在另一实施例中,多个模式中的至少一者使用成像系统的照明通道,其不同于用于多个模式中的至少另一者的成像系统的照明通道。举例来说,如上文提及,成像系统可包含一个以上照明通道。因而,不同照明通道可用于不同模式。
在一个实施例中,成像系统是检验系统。举例来说,本文中描述的光学及电子束成像系统可经配置为检验系统。在另一实施例中,成像系统是缺陷复检系统。举例来说,本文中描述的光学及电子束成像系统可经配置为缺陷复检系统。在另一实施例中,成像系统是计量系统。举例来说,本文中描述的光学及电子束成像系统可经配置为计量系统。特定来说,可在一或多个参数上修改在本文中描述且在图1及1a中展示的成像系统的实施例以取决于其将被用于的应用而提供不同成像能力。在一个此实例中,在图1中展示的成像系统可经配置以具有更高分辨率(如果其用于缺陷复检或计量而非用于检验)。换句话来说,在图1及1a中展示的成像系统的实施例描述用于成像系统的一些一般及各种配置,其可以所属领域的技术人员将明白的数种方式定制以产生具有或多或少适合于不同应用的不同成像能力的成像系统。
一或多个计算机子系统经配置用于获取样品的一或多个低分辨率图像。可使用本文中描述的成像系统中的一者执行(例如,通过将光或电子束引导到样品且从样品检测光或电子束)获取所述低分辨率图像。以此方式,可使用物理样品自身及某种成像硬件来执行获取所述低分辨率图像。然而,获取所述低分辨率图像不必包含使用成像硬件来使样品成像。举例来说,另一系统及/或方法可产生所述低分辨率图像且可将所述所产生的低分辨率图像存储于一或多个存储媒体(例如本文中描述的虚拟检验系统及本文中描述的另一存储媒体)中。因此,获取一或多个低分辨率图像可包含从已存储所述低分辨率图像的存储媒体获取所述低分辨率图像。
在一些实施例中,一或多个低分辨率图像是由检验系统产生。举例来说,如在本文中描述,所述低分辨率图像可由经配置以具有较低分辨率来增大其处理能力的检验系统产生。检验系统可为光学检验系统或电子束检验系统。检验系统可具有如本文中进一步描述的任何配置。
在一个实施例中,一或多个低分辨率图像是由基于电子束的成像系统产生。在另一实施例中,一或多个低分辨率图像是由基于光学的成像系统产生。举例来说,所述低分辨率图像可由本文中描述的基于电子束或基于光学的成像系统中的任何者产生。
在一个实施例中,一或多个低分辨率图像是使用成像系统的单个模式产生。在另一实施例中,一或多个低分辨率图像是使用成像系统的多个模式产生。举例来说,输入到本文进一步描述的模型的所述低分辨率图像可包含仅使用成像系统的单个模式产生的单个低分辨率图像。替代性地,输入到本文中进一步描述的模型的所述低分辨率图像可包含使用成像系统的多个模式产生的多个低分辨率图像(例如,使用第一模式产生的第一图像、使用第二模式产生的第二图像等)。单个模式及多个模式可包含本文中进一步描述的模式中的任何者。
在一个实施例中,一或多个低分辨率图像是使用成像系统的焦点参数的多个值产生。举例来说,如本文中描述,可将按光学成像系统的焦点的不同值获取的多个光学图像输入到本文中进一步描述的模型以增大通过模型使用低分辨率图像作为输入而执行的变换的稳健性。一或多个低分辨率图像可按不同焦点参数值以任何适当方式产生(例如,使用光学成像系统来执行样品的多个扫描,每一扫描使用成像系统的焦点的不同值执行)。
在另一实施例中,一或多个低分辨率图像是使用成像系统的光谱参数的多个值产生。举例来说,如本文中描述,可将按光学成像系统的照明及/或检测波长的不同值获取的多个光学图像输入到本文中进一步描述的模型,以增大通过模型使用低分辨率图像作为输入而执行的变换的稳健性。一或多个低分辨率图像可按不同光谱参数值以任何适当方式产生(例如,使用光学成像系统来执行样品的多个扫描,每一扫描使用成像系统的照明及/或检测波长的不同值执行)。
在另一实施例中,一或多个低分辨率图像是使用成像系统的偏光参数的多个值产生。举例来说,如本文中描述,可将按光学成像系统的照明及/或检测偏光的不同值获取的多个光学图像输入到本文中进一步描述的模型,以增大通过模型使用低分辨率图像作为输入而执行的变换的稳健性。一或多个低分辨率图像可按不同偏光参数值以任何适当方式产生(例如,使用光学成像系统来执行样品的多个扫描,每一扫描使用成像系统的照明及/或检测偏光的不同值执行)。
虽然上文尤其关于光学成像系统描述可用于产生多个低分辨率图像的不同参数值的一些实例,但是输入到本文中进一步描述的模型以增大通过模型执行的变换的稳健性的多个低分辨率图像可包含在样品的成像期间可具有不同参数值的光学成像系统的任一参数及在样品的成像期间可具有不同参数值的电子束成像系统的任一参数。举例来说,可用于产生输入到模型的多个低分辨率图像的电子束成像系统的参数的不同值可包含电子源126、一或多个元件130及/或132及检测器134的一或多个参数。
由计算机子系统(例如,计算机子系统36及/或计算机子系统102)执行的组件(例如,在图1中展示的组件100)包含模型104。模型包含经配置用于产生一或多个低分辨率图像的表示的一或多个第一层及经配置用于由一或多个低分辨率图像的表示产生样品的高分辨率图像的一或多个第二层。以此方式,本文中描述的实施例可使用本文中描述的模型中的一者(例如,一或多个机器学习技术)以用于将样品(例如,形成于晶片上的半导体装置)的低分辨率图像(例如,光学表示)变换为高分辨率图像,例如电子束(例如,SEM图像)及/或设计布局。
在一个此实例中,如在图2中展示,模型可经配置以将针对样品所获取的低分辨率光学图像200变换为样品的SEM图像202及/或样品的设计数据204及/或206。如在图2中展示,低分辨率光学图像200可包含多个光学图像,其各自按产生光学图像的成像系统的参数(例如,焦点、波长、偏光)的不同值获得。虽然设计数据204及206在图2中展示为设计数据的图标,但由本文中描述的模型产生的设计数据可具有所属领域中已知的任何其它适当格式,例如设计数据的向量表示。
在一个实施例中,一或多个第二层经配置用于由样品的一或多个低分辨率图像的表示产生至少一个额外高分辨率图像,且高分辨率图像及至少一个额外高分辨率图像表示使用高分辨率成像系统的不同模式针对样品产生的不同图像。举例来说,本文中描述的模型可经配置以产生单个高分辨率图像,其对应于将仅使用高分辨率成像系统的单个模式针对样品产生的高分辨率图像。然而,本文中描述的模型可经配置以产生多个高分辨率图像,其中的每一者对应于将使用高分辨率成像系统的多个对应模式针对样品产生的多个高分辨率图像的一者(例如,第一高分辨率图像对应于第一模式、第二高分辨率图像对应于第二模式等)。然而应注意,针对本文中描述的一些高分辨率图像,仅将产生单个图像(如从低分辨率图像模拟的设计数据的情况)。高分辨率成像系统可包含本文中描述的成像系统中的任何者,其可如本文中进一步描述那样配置以具有相对高分辨率。
在一些实施例中,高分辨率图像表示由高分辨率电子束系统产生的样品的图像。举例来说,如本文中进一步描述,高分辨率图像可表示由高分辨率电子束系统(例如SEM)及/或经配置用于缺陷复检及/或计量的另一电子束成像子系统针对样品产生的高分辨率电子束图像。高分辨率电子束系统可包含本文中进一步描述的电子束系统中的任何者,其可如本文中进一步描述那样配置以具有相对高分辨率。
在另一实施例中,高分辨率图像表示样品的设计数据。举例来说,如在本文中进一步描述,高分辨率图像可表示本文针对样品描述的设计布局及/或任何其它设计数据。如本文中描述由模型产生的设计数据可包含本文中进一步描述的设计数据中的任何者。
在一个实施例中,模型是深度学习模型。一般来说,“深度学习”(也称为深度结构化学习、分层学习或深度机器学习)是基于尝试模型化数据中的高级抽象的一组算法的机器学习的分支。在简单情况中,可存在两组神经元:接收输入信号的神经元及发送输出信号的神经元。当输入层接收输入时,其将输入的经修改版本传递到下一层。在深度网络中,输入与输出之间可存在许多层(且所述层并非由神经元制成但此有助于将所述层视为由神经元制成),从而允许算法使用多个处理层(由多个线性及非线性变换组成)。
深度学习是基于学习数据的表示的机器学习方法的更广泛族的部分。观察(例如,图像)可以许多方式(例如每个像素的强度值的向量)或以更抽象方式(如一组边缘、特定形状的区等)呈现。一些表示优于其它表示之处在于,简化学习任务(例如,面部辨识或面部表情辨识)。深度学习的一个前景是使用有效算法来替代手工特征用于无监督或半监督式特征学习及分层特征提取。
在此领域中的研究尝试进行更好的表示且产生模型以从大规模未标记数据学习这些表示。一些表示受神经科学中的进展启发且松散地基于神经系统中的信息处理及通信模式的解译,例如尝试定义各种刺激与脑中的相关联神经元响应之间的关系的神经编码。
各种深度学习架构(例如深度神经网络、卷积深度神经网络、深度信念网络及递归式神经网络)已经应用到如计算机视觉、自动语音识别、自然语言处理、自动辨识及生物信息学的领域,其中其已经展示以产生各种任务的最高水平的结果。
在另一实施例中,模型是机器学习模型。机器学习可大体上经定义为对计算机提供在无需明确编程的情况下进行学习的能力的人工智能(AI)的类型。机器学习致力于开发可教示自身成长及在暴露于新数据时改变的计算机程序。换句话来说,机器学习可经定义为“赋予计算机在未经明确编程的情况下学习的能力”的计算机科学的子域。机器学习探索可从数据学习且对数据作出预测的算法的研究及构造-此类算法由通过从样品输入建立模型来作出数据驱动预测或决策而克服遵循严格静态程序指令。
可如在杉山(Sugiyama)、摩根·考夫曼(Morgan Kaufmann)的“统计机器学习概论(Introduction to Statistical Machine Learning)”2016年,534页;杰巴拉(Jebara)的“判别式、生成性及模仿性学习(Discriminative,Generative,and ImitativeLearning)”,MIT论文(MIT Thesis),2002年,212页;及汉德(Hand)等人的“数据挖掘的原理(自适应计算及机器学习)(Principles of Data Mining(Adaptive Computation andMachine Learning))”,MIT出版社(MIT Press),2001年,578页(其以宛如全文陈述引用的方式并入本文中)中描述那样进一步配置本文中描述的机器学习。可如在这些参考案中描述那样进一步配置本文中描述的实施例。
在一些实施例中,模型是生成模型。“生成”模型可大体上经定义为本质上概率性的模型。换句话来说,“生成”模型并非执行正向模拟或基于规则的方法的模型,且因而,产生实际图像(针对其产生模拟图像)所涉及的过程的物理学的模型并非必要。代替性地,如本文中进一步描述,可基于适当训练数据集学习生成模型(其中可学习其参数)。
在一个实施例中,模型是深度生成模型。举例来说,模型可经配置以具有深度学习架构,其中模型可包含多个层,其执行数个算法或变换。模型的一个或两个侧上的层数可不同于在本文中描述的图式中展示的层数。举例来说,生成模型的编码器侧上的层数是取决于使用案例的。另外,解码器侧上的层数是取决于使用案例的且可取决于编码器侧上的层数。一般来说,生成模型的一个或两个侧上的层数并不重要且是取决于使用案例的。出于实际目的,两个侧上的层的适当范围是从2个层到数十层。
在另一实施例中,模型是神经模型。举例来说,模型可为具有一组权重的深度神经网络,所述权重根据已经馈送以训练模型的数据模型化世界。神经网络可大体上经定义为基于神经单元的相对大集合的计算方法,其松散地模型化生物脑使用由轴突连接的生物神经元的相对大集群解决问题的方式。每一神经单元与许多其它神经单元连接,且链接可强制执行或抑制其对经连接神经单元的激发状态的效应。这些系统是自我学习且经训练而非明确编程且在解决方案或特征检测难以按传统计算机程序表达的领域中具有优势。
神经网络通常由多个层构成,且信号路径从前部横越到后部。神经网络的目标是以与人脑相同的方式解决问题,尽管若干神经网络要抽象得多。当代神经网络项目通常使用数千到数百万神经单元及数百万连接工作。神经网络可具有所属领域中已知的任何适当架构及/或配置。
在另一实施例中,模型是卷积神经模型(CNN)。举例来说,本文中描述的实施例可利用深度学习概念(例如CNN)以解决通常棘手的表示转换问题(例如,呈现)。模型可具有所属领域中已知的任何CNN配置或架构。
本文中描述的实施例可或可不经配置用于训练用于由低分辨率图像产生高分辨率图像的模型。举例来说,另一方法及/或系统可经配置以产生经训练模型,接着可由本文中描述的实施例存取且使用所述经训练模型。
一般来说,训练模型可包含获取数据(例如,低分辨率图像及高分辨率图像两者,其可包含本文中描述的低分辨率图像及高分辨率图像中的任何者)。举例来说,在一组预定义检验模式(其中每一模式由光谱、焦点、孔径等的组合界定,且其中模式的数目可等于或大于1)的情况下,每个受关注区(ROI)的每个模式的一个图像可从或使用检验工具收集。以类似方式,在一或多个预定义复检/检验模式(其可以任何适当方式定义)的情况下,每个ROI的每个模式的一个SEM图像可从或使用SEM工具收集。
接着,可执行模型训练,其可包含选择神经网络架构(例如,层及类型),例如本文中进一步描述的神经网络架构中的一者。接着,可使用输入元组的列表及预期输出元组建构训练、测试及验证数据集。输入元组可具有(使用模式1获取的图像、使用模式2获取的图像、…、使用模式n获取的图像)的形式。输出元组可为下列一者:1)设计数据;2)使用一或多个所选择模式获取的SEM图像;或3)设计数据及使用一或多个所选择模式获取的SEM图像。接着,可使用训练数据集来训练模型(例如,神经网络)。可使用测试数据集以调谐模型中的超参数。预期经训练的模型执行使用所选择输入检验模式获取的低分辨率图像与所选择输出设计数据及/或使用SEM模式获取的高分辨率图像之间的转换。
可如在由张(Zhang)等人于2016年6月7日申请的第15/176,139号美国专利申请案及由巴斯卡尔(Bhaskar)等人于2016年12月29日申请的第15/394,790号美国专利申请案(所述申请案以引用的方式并入)中描述那样进一步执行训练模型。可如在这些专利申请案中描述那样进一步配置本文中描述的实施例。
在运行时间,可针对所选择模式收集检验图像。可将检验图像中的一或多者输入到经训练模型以针对不同SEM成像模式预测所要设计数据及/或SEM图像。
在一个实施例中,所述一或多个第一层包含其后接着编码器的一或多个卷积及汇集层,且所述一或多个第二层包含其后接着一或多个卷积及汇集层的解码器。在一个此实施例中,由所述一或多个第一层产生的一或多个低分辨率图像的表示包含一或多个低分辨率图像的紧凑表示。以此方式,所述模型可为生成神经网。在图3中展示一个此实施例。
在此实施例中,到模型的输入是低分辨率图像300,其可包含按低分辨率及如本文中描述的光学成像系统的参数的多个值产生的多个光学图像。所述参数可为本文中描述的参数中的任何者。因此,所述低分辨率图像可经定义为Ilow_res(x,y,i),其中Ilow_res是位置(x,y)处的第i模式的像素值。因此,Ilow_res(:,:,i)可用于表示第i模式的低分辨率图像。另外,如上文提及,模式可通过用于产生所述低分辨率图像的成像系统的光谱、焦点、孔径等参数的组合定义。以此方式,如果存在3个光谱参数值、2个焦点参数值及4个孔径参数值(可针对其产生低分辨率图像),那么光学模式的总数是3*2*4=24。因此,i可在1到24的范围中。然而,低分辨率图像300可包含本文中描述的其它低分辨率图像中的任何者,例如低分辨率电子束图像及/或仅使用成像系统的单个模式产生的低分辨率图像。
可将低分辨率图像输入到CNN(低分辨率)302,其可包含一或多组卷积及汇集层。卷积及汇集层可具有所属领域中已知的任何适当配置。CNN(低分辨率)经配置用于产生输入低分辨率图像中的每一者的特征。举例来说,如果将多模式图像输入到CNN(低分辨率),那么CNN(低分辨率)可产生输入低分辨率图像中的每一者的多模式特征。特征可包含所属领域中已知的可从输入推断且用于产生本文中进一步描述的输出的任何适当特征。举例来说,特征可包含每个像素的强度值的向量。特征还可包含本文中描述的任何其它类型的特征,例如,纯量值的向量、独立分布的向量、联合分布的向量或所属领域中已知的任何其它适当特征类型。
可将由CNN(低分辨率)确定的特征输入到编码器304,其可包含一或多个编码器层,其经配置以产生低分辨率图像的紧凑表示306。编码器可经配置为全连接层且可经实施为卷积层。因此,编码器可经实施为具有数个层的相对小CNN。编码器还可经配置为普通自动编码器、去噪声自动编码器、变分自动编码器或所属领域中已知的任何其它适当编码器配置。由编码器产生的紧凑表示可具有所属领域中已知的任何适当配置及格式。
模型还包含解码器308,其可包含一或多个解码器层,其经配置以将紧凑表示306解码为高分辨率特征。解码器可经配置为全连接层且可经实施为卷积层。因此,解码器可经实施为具有数个层的相对小CNN。高分辨率特征可包含本文中描述的特征中的任何者及可用于重建如本文中进一步描述的样品的一或多个高分辨率图像的任何特征。
CNN(高分辨率)310(其可包含一或多组卷积及汇集层)经配置用于由解码器的输出产生高分辨率图像312。包含于CNN(高分辨率)中的卷积及汇集层可具有所属领域中已知的任何适当配置。CNN(高分辨率)经配置以使用由解码器产生的高分辨率特征来产生高分辨率图像。一或多个经模拟高分辨率图像可包含本文中描述的高分辨率图像中的任何者。举例来说,经模拟高分辨率图像可说明样品将如何出现在高分辨率光学图像或高分辨率电子束图像中。在此实例中,高分辨率图像可经定义为Ihigh_res(x,y,j),其中Ihigh_res是位置(x,y)处的第j模式的像素值。因此,Ihigh_res(:,:,j)可用于表示第j模式的高分辨率图像。另外,经模拟高分辨率图像可表示样品的设计数据。在此实例中,高分辨率图像可经定义为Ihigh_res(x,y),其中Ihigh_res是位置(x,y)处的设计数据值。
因此,本文中描述的模型可执行变换,其在针对一或多个模型j产生的高分辨率图像的情况中可经定义为Ihigh_res(x,y,j)=T1[Ilow_res(x,y,i)]或在设计数据的情况中可经定义为Ihigh_res(x,y)=T2[Ilow_res(x,y,i)],其中T[…]表示通过模型执行的变换。
上文描述的模型的层中的每一者可具有一或多个参数,例如权重W及偏差B,其值可通过训练模型而确定,此可如本文中进一步描述那样执行。举例来说,可在训练期间通过最小化成本函数来确定CNN(低分辨率)层、编码器层、解码器层、CNN(高分辨率)层及包含于模型中的任何其它层(例如,映射层)的权重及偏差。成本函数可取决于对低分辨率图像执行的变换而变化。举例来说,在将低分辨率图像变换为由高分辨率成像系统产生的一或多个高分辨率图像的情况中,成本函数可为:
min|(T1[Ilow_res(x,y,i)]-Ihigh_res observed(x,y,j))|2
相比之下,在将低分辨率图像变换为样品的设计数据的情况中,成本函数可经定义为:
min|(T2[Ilow_res(x,y,i)]-Ihigh_res observed(x,y))|2
训练集可包含一组低分辨率图像及其对应高分辨率图像。举例来说,训练集可包含{Ilow_res training(x,y,i)及Ihigh_res training(x,y,j)}。在一个此实例中,训练集可包含低分辨率光学图像及对应高分辨率电子束图像。在另一实例中,训练集可包含{Ilow_res training(x,y,i)及Ihigh_res training(x,y)}。在一个此实例中,训练集可包含低分辨率图像及对应设计数据。
在一些实施例中,一或多个第一层包含一或多个第一卷积及汇集层,且一或多个第二层包含一或多个第二卷积及汇集层。举例来说,如上文描述,编码器及解码器可经配置为全连接层且可经实施为卷积层。因此,编码器及解码器层两者可各自经实施为具有相对较少层的相对小CNN。因此,在一些实施方案中,编码器可与CNN(低分辨率)组合为更大CNN(低分辨率+编码器),且解码器可与CNN(高分辨率)组合为更大CNN(解码器+高分辨率)。
在一个此实施例中,如在图4中展示,可将低分辨率图像400(其可包含本文中描述的低分辨率图像中的任何者)输入到CNN(低分辨率+编码器)402,其可包含经配置以执行上文描述的CNN(低分辨率)及编码器的功能的两组或两组以上卷积及汇集层。卷积及汇集层可具有所属领域中已知的任何适当配置。CNN(低分辨率+编码器)402可产生紧凑表示404,其可为本文中进一步描述的紧凑表示中的任何者。可将紧凑表示404输入到CNN(解码器+高分辨率)406,其可包含经配置以执行上文描述的解码器及CNN(高分辨率)的功能的两组或两组以上卷积及汇集层。这些卷积及汇集层也可具有所属领域中已知的任何适当配置。因此,CNN(解码器+高分辨率)406的输出可为高分辨率图像408,其可包含本文中描述的高分辨率图像中的任何者。
可如由董(Dong)等人的“学习用于图像超分辨率的深卷积网络(Learning a DeepConvolutional Network for Image Super-Resolution)”,《计算机视觉(ComputerVision)》,ECCV2014,计算机科学系列讲义(the Series Lecture Notes in ComputerScience)的第8692卷,第16页,2014年(所述文献以宛如全文陈述引用的方式并入本文中)中描述那样进一步配置包含一或多个CNN层的本文中描述的模型的实施例。
在另一实施例中,一或多个第一层包含离散余弦变换(DCT)层,一或多个第一及第二层包含深度信念网(DBN)且一或多个第二层包含逆DCT层。在一个此实施例中,一或多个低分辨率图像的表示包含由DBN产生的隐式表示。举例来说,如在图5中展示,可将低分辨率图像500输入到DCT(低分辨率)层502,其包含于一或多个第一层中。DCT(低分辨率)层可经配置以将低分辨率图像变换为空间频域。DCT(低分辨率)层可具有所属领域中已知的用于执行此变换的任何适当配置。
可将DCT(低分辨率)层的输出输入到DBN 504,其可包含于第一及第二层两者中。换句话来说,DBN的一些层可包含于第一层中且DBN的其它层可包含于第二层中。DBN可具有所属领域中已知的任何适当配置。DBN 504产生隐式表示506。隐式表示可具有所属领域中已知的任何适当配置及格式。DBN基于空间频域中的低分辨率图像推断低分辨率图像的遗失高频分量。举例来说,内插低分辨率图像缺少其空间高频分量。以此方式,如果高频分量恢复同时允许保留低频分量,那么可将图像变换为高分辨率。因此,由低分辨率图像产生高分辨率图像可视为遗失数据(即,高频分量)的完成问题。可将DBN的输出输入到逆DCT(高分辨率)层508,其产生高分辨率图像510。举例来说,逆DCT(高分辨率)层508可对DBN的输出执行逆DCT以获得高分辨率图像。逆DCT(高分辨率)层508可具有所属领域中已知的任何适当配置。
可以多种方式训练如在图5中展示那样配置的模型。举例来说,在训练期间,高分辨率图像可经划分为相对小的图块(例如,32个像素乘32个像素)且接着进行DCT变换,使用DCT系数作为唯一输入以训练DBN(其是双向分层模型)。在训练期间,DBN学习以(1)将高频DCT系数变换为隐式表示且(2)还将隐式表示变换为高频DCT系数。换句话来说,DBN学习双向变换。
以此方式,在训练期间,可确定一或多个参数(例如DBN的层的权重及偏差)。训练集可包含一组低分辨率图像及其对应的高分辨率图像。举例来说,训练集可包含{Ilow_res training(x,y,i)及Ihigh_res training(x,y,j)}。在一个此实例中,训练集可包含低分辨率光学图像及对应高分辨率电子束图像。在另一实例中,训练集可包含{Ilow_res training(x,y,i)及Ihigh_res training(x,y)}。在一个此实例中,训练集可包含低分辨率图像及对应设计数据。
在运行时间,可将高分辨率图像图块输入到DCT层。因为图像图块是低分辨率图像,所以此低分辨率图像图块的DCT系数针对表示高频域的系数将具有接近零的值。此DCT向量经输入到DBN以推断隐式表示且接着使用隐式表示来反向推断DCT系数。因为DBN学习低频域及高频域中的DCT系数的相关性,所以反向推断将使用高频域中的信息重建DCT。
以此方式,在运行时间期间,可仅使用低分辨率图像Ilow_res(x,y,i)获取高分辨率图像。由于网络经完全连接,所以当给定来自DCT层502的足够信息时,使用经训练的神经网络自举高频DCT系数。接着,可从自举高频DCT系数重建此高分辨率图像。
在图6中展示使用DBN来推断(或恢复)高频分量的基本理念。给定低分辨率内插图像,首先强调DCT低频系数600以升高由内插引起的衰减部分且接着与遗失高频分量602一起馈送到经训练DBN(例如,到DBN的层604中)。高频系数在此刻几乎为零。以层604开始,可将输入系数传播到层606、608及610且回传播通过这些层。以此方式,可获得包含高频分量612的预测值。在重复性方法中,获得的输出向量可再次输入到DBN且可重复预定数目次相同程序。由于执行反复,所以高频分量逐渐显露而不改变低频分量。
虽然DBN的实施例在图6中展示为具有4个层(隐藏其中2个层(即,层606及608)),但应理解,DBN可具有任何适当数目个层,其可为取决于应用的。可如在海蒂(Hatti)等人的“基于神经网络的离散余弦变换计算(Neural Network Based DCT Computation)”,《国际高级计算机工程与通信技术期刊(International Journal on Advanced ComputerEngineering and Communication Technology)》,第1卷,第1期,第81页到第86页,2012年及中岛(Nakashika)等人的“使用超分辨率深度信念网络的高频恢复(High-frequencyRestoration Using Deep Belief Nets for Super-resolution)”,2013年信号图像技术和基于因特网的系统国际会议(2013International Conference on Signal-ImageTechnology&Internet-Based Systems),第38页到第42页,2013年(其以宛如全文陈述引用的方式并入本文中)中描述那样进一步配置包含如本文中描述的DBN的模型。
本文中描述的模型可针对特定样品(例如,特定晶片或光罩)、工艺及成像参数产生。换句话来说,本文中描述的模型可为样品特定、工艺特定及成像参数特定。举例来说,在一个实施例中,每一模型可经训练以专用于特定设计及晶片层。接着,经训练的模型将仅用于执行那个层的预测。以此方式,不同模型可针对不同晶片层产生。然而,在另一实施例中,可使用来自不同设计及晶片类型层的数据训练单个模型。所得模型可用于通常针对包含于训练数据中的全部类型的样品执行预测。另外,不同模式可针对用于产生低分辨率图像的不同组成像参数(例如,不同成像模式)产生,且可能针对执行变换的高分辨率图像的不同组成像参数(例如,不同成像模式)产生(例如,在产生对应于不同高分辨率图像模式的多个高分辨率图像的情况中)。一般来说,只要所选择成像模式可跨工具重复,模型就可独立于工具。不同模式中的每一者可使用不同数据训练集产生。不同数据训练集中的每一者可以任何适当方式产生。
在一些实施例中,一或多个计算机子系统经配置用于验证一或多个低分辨率图像中检测到的缺陷,且使用高分辨率图像执行验证。举例来说,本文中描述的光学图像到SEM及/或设计变换的一个益处在于,光学检验对于半导体制造工艺中的大量生产良率来说仍是关键的。归因于缺少分辨率,由光学检验器检测的缺陷需要SEM复检来进行缺陷验证。将光学自动变换为SEM及/或设计的方法可潜在地降低针对良率管理的SEM复检需求,借此降低总检验循环时间。举例来说,本文中描述的实施例可无需在样品检验之后在缺陷复检系统上获取SEM图像,这是由于本文中描述的高分辨率图像可(1)在无样品及无成像硬件的情况下获取且(2)用于缺陷复检应用(例如缺陷验证)。
在另一实施例中,一或多个计算机子系统经配置用于对一或多个低分辨率图像中检测到的缺陷分类,且使用高分辨率图像执行分类。举例来说,如上文描述,本文中描述的光学图像到SEM及/或设计变换的一个益处在于,光学检验对于半导体制造工艺中的大量生产良率来时仍是关键的。归因于缺少分辨率,由光学检验器检测的缺陷需要SEM复检来进行缺陷分类。将光学自动变换为SEM及/或设计的方法可潜在地降低针对良率管理的SEM复检需求,借此降低总检验循环时间。举例来说,本文中描述的实施例可无需在样品检验之后在缺陷复检系统上获取SEM图像,这是由于本文中描述的高分辨率图像可(1)在无样品及无成像硬件的情况下获取且(2)用于缺陷复检应用(例如缺陷分类)。
在另一实施例中,一或多个计算机子系统经配置用于基于一或多个低分辨率图像及高分辨率图像的组合检测样品上的缺陷。举例来说,本文中描述的光学到SEM及光学到设计变换的一个益处在于,这些变换允许光学检验器使用高分辨率空间内容进行跨整个裸片的妨害/缺陷鉴别。相比之下,使用空间信息进行妨害/缺陷鉴别的当前使用方法限于热点使用案例。特定来说,当前使用方法限于热点使用案例,此主要归因于光学缺陷信号相对于背景噪声不具有足够显著性的事实。仅检验用作热点的像素允许系统基于空间信息自动滤除晶片上的大部分像素。如此做增强缺陷显著性且最终改进缺陷检测。为此,系统需要某人或方法来识别检验的重要空间位置。接着,将这些位置标记为“热点”(即,识别检验器的空间位置的动作使这些位置变成“热点”)。
计算机子系统可经配置以使用一或多个低分辨率图像及一或多个高分辨率图像的组合而以任何适当方式检测样品上的缺陷。举例来说,可在高分辨率图像中识别在低分辨率图像中检测到的缺陷的位置以确定缺陷的设计背景,其接着可用于识别缺陷是否是妨害缺陷或实际缺陷。另外,在低分辨率图像中检测到的缺陷的位置可用于识别高分辨率图像中的缺陷的位置以确定缺陷是否存在于高分辨率图像中(在高分辨率图像中是否可检测到缺陷)。如果可在高分辨率图像中检测到缺陷,那么将所述缺陷指定为实际缺陷。如果在高分辨率图像中无法检测到缺陷,那么将所述缺陷指定为妨害缺陷。
经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统的另一实施例包含经配置用于产生样品的一或多个低分辨率图像的成像子系统。成像子系统可具有本文中描述的任何配置。系统还包含一或多个计算机子系统(例如,图1中展示的计算机子系统102,其可如本文中进一步描述那样配置)及一或多个组件(例如,由一或多个计算机子系统执行的组件100,其可包含本文中描述的组件中的任何者)。组件包含模型(例如,模型104),其可如本文中描述那样配置。举例来说,模型包含经配置用于产生一或多个低分辨率图像的表示的一或多个第一层及经配置用于由一或多个低分辨率图像的表示产生样品的高分辨率图像的一或多个第二层。一或多个第一层及一或多个第二层可如本文中进一步描述那样配置。此系统实施例可如本文中描述那样进一步配置。
上文描述的系统中的每一者的实施例中的每一者可一起组合成一个单个实施例。
另一实施例涉及一种用于由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的计算机实施方法。所述方法包含获取样品的一或多个低分辨率图像。所述方法还包含通过将所述一或多个低分辨率图像输入到模型的一或多个第一层中而产生所述一或多个低分辨率图像的表示。另外,所述方法包含基于所述表示产生所述样品的高分辨率图像。由模型的一或多个第二层执行产生高分辨率图像。由一或多个计算机系统执行获取、产生表示及产生高分辨率图像。由一或多个计算机系统执行一或多个组件,且所述一或多个组件包含所述模型。
可如本文中进一步描述那样执行所述方法的步骤中的每一者。所述方法还可包含可由本文中描述的系统、计算机子系统及/或成像系统或子系统执行的任何其它步骤。可根据本文中描述的实施例的任何者配置一或多个计算机系统、一或多个组件及模型(例如,计算机子系统102、组件100及模型104)。另外,可由本文中描述的系统实施例中的任何者执行上文描述的方法。
额外实施例涉及一种非暂时性计算机可读媒体,其存储可在一或多个计算机系统上执行以用于执行用于由样品的一或多个低分辨率图像产生所述样品的一或多个高分辨率图像的计算机实施方法的程序指令。在图7中展示一个此实施例。特定来说,如图7中展示,非暂时性计算机可读媒体700包含可在计算机系统704上执行的程序指令702。计算机实施方法可包含本文中描述的任何方法的任何步骤。
实施例如本文中描述的方法的程序指令702可存储于计算机可读媒体700上。计算机可读媒体可为存储媒体,例如磁盘或光盘、磁带或所属领域中已知的任何其它合适非暂时性计算机可读媒体。
可以各种方式中的任何者实施程序指令,包含基于程序的技术、基于组件的技术及/或面向对象技术等等。举例来说,可视需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(“MFC”)、SSE(数据流SIMD扩展)或其它技术或方法实施程序指令。
可根据本文中描述的实施例中的任何者配置计算机系统704。
鉴于此描述,所属领域的技术人员将明白本发明的各种方面的另外修改及替代实施例。举例来说,提供用于由样本的一或多个低分辨率图像产生所述样本的高分辨率图像的方法及系统。因此,此描述应仅解释为说明性且是出于教示所属领域的技术人员执行本发明的一般方式的目的。应理解,本文中展示及描述的本发明的形式将视为当前优选实施例。如所属领域的技术人员在获益于本发明的此描述之后将明白,元件及材料可取代本文中说明及描述的元件及材料,部件及过程可颠倒,且可独立利用本发明的特定特征。在不脱离如在所附权利要求书中描述的本发明的精神及范围的情况下可对本文中描述的元件做出改变。

Claims (30)

1.一种经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统,其包括:
一或多个计算机子系统,其经配置用于获取样品的一或多个低分辨率图像;及一或多个组件,其由所述一或多个计算机子系统执行,其中所述一或多个组件包括:
模型,其中所述模型包括:
一或多个第一层,其经配置用于产生所述一或多个低分辨率图像的表示;及
一或多个第二层,其经配置用于由所述一或多个低分辨率图像的所述表示产生所述样品的高分辨率图像。
2.根据权利要求1所述的系统,其中所述模型是深度学习模型。
3.根据权利要求1所述的系统,其中所述模型是机器学习模型。
4.根据权利要求1所述的系统,其中所述模型是生成模型。
5.根据权利要求1所述的系统,其中所述模型是神经网络。
6.根据权利要求1所述的系统,其中所述模型是卷积神经网络。
7.根据权利要求1所述的系统,其中所述一或多个第一层包括其后接着编码器的一或多个卷积及汇集层,且其中所述一或多个第二层包括其后接着一或多个卷积及汇集层的解码器。
8.根据权利要求7所述的系统,其中由所述一或多个第一层产生的所述一或多个低分辨率图像的所述表示包括所述一或多个低分辨率图像的紧凑表示。
9.根据权利要求1所述的系统,其中所述一或多个第一层包括一或多个第一卷积及汇集层,且其中所述一或多个第二层包括一或多个第二卷积及汇集层。
10.根据权利要求1所述的系统,其中所述一或多个第一层包括离散余弦变换层,其中所述一或多个第一及第二层包括深度信念网,且其中所述一或多个第二层包括逆离散余弦变换层。
11.根据权利要求10所述的系统,其中所述一或多个低分辨率图像的所述表示包括由所述深度信念网产生的隐式表示。
12.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是使用成像系统的单个模式产生。
13.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是使用成像系统的多个模式产生。
14.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是使用成像系统的焦点参数的多个值产生。
15.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是使用成像系统的光谱参数的多个值产生。
16.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是使用成像系统的偏光参数的多个值产生。
17.根据权利要求1所述的系统,其中所述一或多个第二层进一步经配置用于由所述一或多个低分辨率图像的所述表示产生所述样品的至少一个额外高分辨率图像,且其中所述高分辨率图像及所述至少一个额外高分辨率图像表示使用高分辨率成像系统的不同模式针对所述样品产生的不同图像。
18.根据权利要求1所述的系统,其中所述高分辨率图像表示由高分辨率电子束系统产生的所述样品的图像。
19.根据权利要求1所述的系统,其中所述高分辨率图像表示所述样品的设计数据。
20.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是由基于电子束的成像系统产生。
21.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是由基于光学的成像系统产生。
22.根据权利要求1所述的系统,其中所述一或多个低分辨率图像是由检验系统产生。
23.根据权利要求1所述的系统,其中所述样品是晶片。
24.根据权利要求1所述的系统,其中所述样品是光罩。
25.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置用于验证所述一或多个低分辨率图像中检测到的缺陷,且其中使用所述高分辨率图像执行所述验证。
26.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置用于对所述一或多个低分辨率图像中检测到的缺陷分类,且其中使用所述高分辨率图像执行所述分类。
27.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置用于基于所述一或多个低分辨率图像及所述高分辨率图像的组合检测所述样品上的缺陷。
28.一种经配置以由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的系统,其包括:
成像子系统,其经配置用于产生样品的一或多个低分辨率图像;
一或多个计算机子系统,其经配置用于获取所述一或多个低分辨率图像;及
一或多个组件,其由所述一或多个计算机子系统执行,且所述一或多个组件包括:
模型,其中所述模型包括:
一或多个第一层,其经配置用于产生所述一或多个低分辨率图像的表示;及
一或多个第二层,其经配置用于由所述一或多个低分辨率图像的所述表示产生所述样品的高分辨率图像。
29.一种非暂时性计算机可读媒体,其存储可在一或多个计算机系统上执行以用于执行用于由样品的一或多个低分辨率图像产生所述样品的一或多个高分辨率图像的计算机实施方法的程序指令,其中所述计算机实施方法包括:
获取样品的一或多个低分辨率图像;
通过将所述一或多个低分辨率图像输入到模型的一或多个第一层中而产生所述一或多个低分辨率图像的表示;及
基于所述表示产生所述样品的高分辨率图像,其中由所述模型的一或多个第二层执行产生所述高分辨率图像,其中由一或多个计算机系统执行所述获取、所述产生所述表示及所述产生所述高分辨率图像,其中由所述一或多个计算机系统执行一或多个组件,且其中所述一或多个组件包括所述模型。
30.一种用于由样品的一或多个低分辨率图像产生所述样品的高分辨率图像的计算机实施方法,其包括:
获取样品的一或多个低分辨率图像;
通过将所述一或多个低分辨率图像输入到模型的一或多个第一层中而产生所述一或多个低分辨率图像的表示;及
基于所述表示产生所述样品的高分辨率图像,其中由所述模型的一或多个第二层执行产生所述高分辨率图像,其中由一或多个计算机系统执行所述获取、所述产生所述表示及所述产生所述高分辨率图像,其中由所述一或多个计算机系统执行一或多个组件,且其中所述一或多个组件包括所述模型。
CN201780005552.3A 2016-01-04 2017-01-04 针对半导体应用由低分辨率图像产生高分辨率图像的系统及方法 Active CN108475417B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662274731P 2016-01-04 2016-01-04
US62/274,731 2016-01-04
US15/396,800 US10648924B2 (en) 2016-01-04 2017-01-02 Generating high resolution images from low resolution images for semiconductor applications
US15/396,800 2017-01-02
PCT/US2017/012209 WO2017120253A1 (en) 2016-01-04 2017-01-04 Generating high resolution images from low resolution images for semiconductor applications

Publications (2)

Publication Number Publication Date
CN108475417A true CN108475417A (zh) 2018-08-31
CN108475417B CN108475417B (zh) 2023-04-04

Family

ID=59227301

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780005552.3A Active CN108475417B (zh) 2016-01-04 2017-01-04 针对半导体应用由低分辨率图像产生高分辨率图像的系统及方法

Country Status (6)

Country Link
US (1) US10648924B2 (zh)
KR (1) KR102637409B1 (zh)
CN (1) CN108475417B (zh)
IL (1) IL259822A (zh)
TW (1) TWI734724B (zh)
WO (1) WO2017120253A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110889459A (zh) * 2019-12-06 2020-03-17 北京深境智能科技有限公司 一种基于边缘和Fisher准则的学习方法
CN111355965A (zh) * 2020-02-28 2020-06-30 中国工商银行股份有限公司 一种基于深度学习的图像压缩还原方法及装置
CN112541545A (zh) * 2020-12-11 2021-03-23 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
CN112561873A (zh) * 2020-12-11 2021-03-26 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
WO2021068219A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features with laser enhanced electron tunneling effect
TWI769510B (zh) * 2019-08-29 2022-07-01 美商賽諾西斯公司 用於基於機器學習執行電路設計之光罩合成的方法、非暫時性儲存媒體、及系統

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2543429B (en) 2015-02-19 2017-09-27 Magic Pony Tech Ltd Machine learning for visual processing
WO2016156864A1 (en) 2015-03-31 2016-10-06 Magic Pony Technology Limited Training end-to-end video processes
GB201604672D0 (en) * 2016-03-18 2016-05-04 Magic Pony Technology Ltd Generative methods of super resolution
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
CN106056562B (zh) * 2016-05-19 2019-05-28 京东方科技集团股份有限公司 一种人脸图像处理方法、装置及电子设备
CN107786867A (zh) * 2016-08-26 2018-03-09 原相科技股份有限公司 基于深度学习架构的图像辨识方法及系统
US10726573B2 (en) * 2016-08-26 2020-07-28 Pixart Imaging Inc. Object detection method and system based on machine learning
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
US10467795B2 (en) 2017-04-08 2019-11-05 Intel Corporation Sub-graph in frequency domain and dynamic selection of convolution implementation on a GPU
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US11308361B1 (en) 2017-07-07 2022-04-19 Twitter, Inc. Checkerboard artifact free sub-pixel convolution
US10620135B2 (en) * 2017-07-19 2020-04-14 Kla-Tencor Corp. Identifying a source of nuisance defects on a wafer
CN107464216A (zh) * 2017-08-03 2017-12-12 济南大学 一种基于多层卷积神经网络的医学图像超分辨率重构方法
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10853977B2 (en) 2017-08-30 2020-12-01 Korea Advanced Institute Of Science And Technology Apparatus and method for reconstructing image using extended neural network
KR102089151B1 (ko) * 2017-08-30 2020-03-13 한국과학기술원 확장된 뉴럴 네트워크를 이용한 영상 복원 방법 및 장치
US10713534B2 (en) * 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
EP3682271B1 (en) * 2017-09-12 2024-02-14 Services Pétroliers Schlumberger Seismic image data interpretation system
WO2019064266A1 (en) * 2017-09-28 2019-04-04 Checkout Technologies Srl CREATING DATA SETS FOR DEEP NEURAL NETWORK
CN107820085B (zh) * 2017-10-31 2021-02-26 杭州电子科技大学 一种基于深度学习的提高视频压缩编码效率的方法
KR102658977B1 (ko) * 2017-11-03 2024-04-18 도쿄엘렉트론가부시키가이샤 기능성 마이크로 전자 디바이스의 수율 향상
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
KR102061967B1 (ko) 2018-01-12 2020-01-02 한국과학기술원 뉴럴 네트워크를 이용한 엑스선 전산단층 촬영 영상 처리 방법 및 그 장치
US11403735B2 (en) * 2018-01-25 2022-08-02 King Abdullah University Of Science And Technology Deep-learning based structure reconstruction method and apparatus
US11199506B2 (en) * 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
KR20200123858A (ko) 2018-03-21 2020-10-30 케이엘에이 코포레이션 합성 이미지를 사용한 머신 러닝 모델 트레이닝
US10599951B2 (en) * 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
CN108665509A (zh) * 2018-05-10 2018-10-16 广东工业大学 一种超分辨率重构方法、装置、设备及可读存储介质
US10620134B2 (en) 2018-05-11 2020-04-14 Kla-Tencor Corp. Creating defect samples for array regions
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
CN109118428B (zh) * 2018-06-07 2023-05-19 西安电子科技大学 一种基于特征增强的图像超分辨率重建方法
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
KR20200003444A (ko) 2018-07-02 2020-01-10 삼성전자주식회사 영상 모델 구축 장치 및 방법
US10169852B1 (en) 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
KR20210021369A (ko) * 2018-07-13 2021-02-25 에이에스엠엘 네델란즈 비.브이. Sem 이미지 향상 방법들 및 시스템들
CN109191376B (zh) * 2018-07-18 2022-11-25 电子科技大学 基于srcnn改进模型的高分辨率太赫兹图像重构方法
JP7186539B2 (ja) * 2018-08-03 2022-12-09 Automagi株式会社 錆検出プログラム、錆検出システム及び錆検出方法
US10949964B2 (en) * 2018-09-21 2021-03-16 Kla Corporation Super-resolution defect review image generation through generative adversarial networks
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
KR102117936B1 (ko) 2018-10-17 2020-06-02 한국항공우주연구원 딥러닝 기반 영상 처리 시스템 및 그 방법
JP7280356B2 (ja) * 2018-11-07 2023-05-23 エーエスエムエル ネザーランズ ビー.ブイ. プロセスに対する補正の決定
KR102622950B1 (ko) 2018-11-12 2024-01-10 삼성전자주식회사 디스플레이장치, 그 제어방법 및 기록매체
CN109767386A (zh) * 2018-12-22 2019-05-17 昆明理工大学 一种基于深度学习的快速图像超分辨率重建方法
WO2020141072A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. Fully automated sem sampling system for e-beam image enhancement
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
CN113728285B (zh) * 2019-04-19 2023-12-19 纳米电子成像有限公司 用于增材制造中人工智能过程控制的系统、方法以及介质
KR102268019B1 (ko) * 2019-04-30 2021-06-22 (주)코셈 인공 지능 학습 데이터를 활용한 전자 현미경
US20220301197A1 (en) * 2019-06-03 2022-09-22 Hamamatsu Photonics K.K. Semiconductor inspection device and semiconductor inspection method
JP7413376B2 (ja) 2019-06-03 2024-01-15 浜松ホトニクス株式会社 半導体検査方法及び半導体検査装置
JP7148467B2 (ja) * 2019-08-30 2022-10-05 株式会社日立ハイテク 荷電粒子線装置
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
US11320357B2 (en) 2019-12-23 2022-05-03 Chevron U.S.A. Inc. System and method for estimation of rock properties from core images
US20210343001A1 (en) * 2020-04-30 2021-11-04 Kla Corporation Training a machine learning model to generate higher resolution images from inspection images
US11415526B2 (en) 2020-05-06 2022-08-16 Kla Corporation Multi-controller inspection system
TWI732618B (zh) * 2020-07-02 2021-07-01 撼訊科技股份有限公司 影像辨識方法及其系統
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
WO2023063939A1 (en) * 2021-10-13 2023-04-20 Hewlett-Packard Development Company, L.P. Neural network image enhancement
WO2024013161A1 (en) * 2022-07-15 2024-01-18 Asml Netherlands B.V. Obtaining high resolution information from low resolution images
KR102619486B1 (ko) * 2022-11-03 2024-01-02 시냅스이미징(주) 고해상도 컬러 변환이 구현된 검사시스템
US20240161272A1 (en) * 2022-11-10 2024-05-16 Kla Corporation Multimode defect detection

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1536349A (zh) * 2002-11-01 2004-10-13 光子动力学公司 用于检查具有图样的平的介质的方法和装置
US20080304056A1 (en) * 2007-05-07 2008-12-11 David Alles Methods for detecting and classifying defects on a reticle
US7598492B1 (en) * 2007-01-16 2009-10-06 Kla-Tencor Technologies Corporation Charged particle microscopy using super resolution
US20110320149A1 (en) * 2009-02-06 2011-12-29 Kla-Tencor Corporation Selecting One or More Parameters for Inspection of a Wafer
US20130010100A1 (en) * 2010-03-18 2013-01-10 Go Kotaki Image generating method and device using scanning charged particle microscope, sample observation method, and observing device
US20140180989A1 (en) * 2012-12-24 2014-06-26 Google Inc. System and method for parallelizing convolutional neural networks

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808589A (en) * 1994-08-24 1998-09-15 Fergason; James L. Optical system for a head mounted display combining high and low resolution images
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6770879B1 (en) * 2003-03-12 2004-08-03 Kla-Tencor Technologies Corporation Motion picture output from electron microscope
US7365834B2 (en) * 2003-06-24 2008-04-29 Kla-Tencor Technologies Corporation Optical system for detecting anomalies and/or features of surfaces
US7809155B2 (en) * 2004-06-30 2010-10-05 Intel Corporation Computing a higher resolution image from multiple lower resolution images using model-base, robust Bayesian estimation
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7769230B2 (en) * 2006-11-30 2010-08-03 Eastman Kodak Company Producing low resolution images
US9633426B2 (en) 2014-05-30 2017-04-25 General Electric Company Remote visual inspection image capture system and method
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US7691549B1 (en) * 2007-02-15 2010-04-06 Kla-Tencor Technologies Corporation Multiple exposure lithography technique and method
US8068700B2 (en) * 2007-05-28 2011-11-29 Sanyo Electric Co., Ltd. Image processing apparatus, image processing method, and electronic appliance
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8442355B2 (en) * 2008-05-23 2013-05-14 Samsung Electronics Co., Ltd. System and method for generating a multi-dimensional image
DE102008054317A1 (de) * 2008-11-03 2010-05-06 Carl Zeiss Microlmaging Gmbh Kombinationsmikroskopie
US8724928B2 (en) * 2009-08-31 2014-05-13 Intellectual Ventures Fund 83 Llc Using captured high and low resolution images
US8179445B2 (en) * 2010-03-03 2012-05-15 Eastman Kodak Company Providing improved high resolution image
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
CN108761752A (zh) * 2012-10-30 2018-11-06 加州理工学院 傅立叶重叠关联成像系统、设备和方法
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9679360B2 (en) * 2013-05-10 2017-06-13 Trustees Of Princeton University High-resolution light-field imaging
US9401016B2 (en) 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1536349A (zh) * 2002-11-01 2004-10-13 光子动力学公司 用于检查具有图样的平的介质的方法和装置
US7598492B1 (en) * 2007-01-16 2009-10-06 Kla-Tencor Technologies Corporation Charged particle microscopy using super resolution
US20080304056A1 (en) * 2007-05-07 2008-12-11 David Alles Methods for detecting and classifying defects on a reticle
US20110320149A1 (en) * 2009-02-06 2011-12-29 Kla-Tencor Corporation Selecting One or More Parameters for Inspection of a Wafer
US20130010100A1 (en) * 2010-03-18 2013-01-10 Go Kotaki Image generating method and device using scanning charged particle microscope, sample observation method, and observing device
US20140180989A1 (en) * 2012-12-24 2014-06-26 Google Inc. System and method for parallelizing convolutional neural networks

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
CHRISITIAN OSENDORFER 等: ""Image Super-Resolution with Fsat Approximate Convolution Sparse Coding"", 《ICONIP2014》 *
DAVID CAPEL: ""Super-resolution from multiple views using learnt image models"", 《CVPR201》 *
TORU NAKASHIKA 等: ""High-Frequency Restoration Using Deep Belief Nets for Super-resolution"", 《2013 INTERNATIONAL CONFERENCE ON SIGNAL-IMAGE TECHNOLOGY & INTERNET-BASED SYSTEMS》 *
周芳: ""图像超分辨率复原技术的现状与展望"", 《自动化与仪表》 *

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769510B (zh) * 2019-08-29 2022-07-01 美商賽諾西斯公司 用於基於機器學習執行電路設計之光罩合成的方法、非暫時性儲存媒體、及系統
WO2021068219A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features with laser enhanced electron tunneling effect
CN110889459A (zh) * 2019-12-06 2020-03-17 北京深境智能科技有限公司 一种基于边缘和Fisher准则的学习方法
CN110889459B (zh) * 2019-12-06 2023-04-28 北京深境智能科技有限公司 一种基于边缘和Fisher准则的学习方法
CN111355965A (zh) * 2020-02-28 2020-06-30 中国工商银行股份有限公司 一种基于深度学习的图像压缩还原方法及装置
CN112541545A (zh) * 2020-12-11 2021-03-23 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
CN112561873A (zh) * 2020-12-11 2021-03-26 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
CN112541545B (zh) * 2020-12-11 2022-09-02 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
CN112561873B (zh) * 2020-12-11 2022-11-25 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法

Also Published As

Publication number Publication date
WO2017120253A1 (en) 2017-07-13
US10648924B2 (en) 2020-05-12
KR20180091940A (ko) 2018-08-16
KR102637409B1 (ko) 2024-02-15
IL259822A (en) 2018-07-31
TWI734724B (zh) 2021-08-01
US20170193680A1 (en) 2017-07-06
TW201734895A (zh) 2017-10-01
CN108475417B (zh) 2023-04-04

Similar Documents

Publication Publication Date Title
CN108475417A (zh) 针对半导体应用由低分辨率图像产生高分辨率图像
JP6853273B2 (ja) ニューラルネットワークと順物理モデルを半導体用途に組み込んだシステムおよび方法
US10733744B2 (en) Learning based approach for aligning images acquired with different modalities
KR102336469B1 (ko) 결함 검출 및 분류를 위한 통합된 뉴럴 네트워크
CN108475350B (zh) 使用基于学习的模型加速半导体缺陷检测的方法和系统
JP6758418B2 (ja) 半導体用途のための、入力画像からのシミュレーション画像の生成
JP6893514B2 (ja) ハイブリッドインスペクタ
CN109844918A (zh) 用于经配置用于半导体应用的深度学习模型的诊断系统及方法
CN110494894A (zh) 基于轮廓的缺陷检测
CN108463876A (zh) 为样品产生模拟输出
CN108351600A (zh) 从设计信息产生模拟图像
US20190122913A1 (en) Multi-step image alignment method for large offset die-die inspection
TW201910929A (zh) 由低解析度影像產生高解析度影像以用於半導體應用

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant