TW201721797A - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TW201721797A
TW201721797A TW105118307A TW105118307A TW201721797A TW 201721797 A TW201721797 A TW 201721797A TW 105118307 A TW105118307 A TW 105118307A TW 105118307 A TW105118307 A TW 105118307A TW 201721797 A TW201721797 A TW 201721797A
Authority
TW
Taiwan
Prior art keywords
wafer
substrate
substrate processing
processed
region
Prior art date
Application number
TW105118307A
Other languages
English (en)
Other versions
TWI651800B (zh
Inventor
Jun Yoshikawa
Motoshi Fukudome
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201721797A publication Critical patent/TW201721797A/zh
Application granted granted Critical
Publication of TWI651800B publication Critical patent/TWI651800B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Inorganic Chemistry (AREA)

Abstract

於處理容器內將複數晶圓W1~W5載置於旋轉台14上,以軸線P為中心一邊使得旋轉台14進行旋轉、一邊對晶圓W1~W5進行成膜處理。於載置於旋轉台14上之晶圓W1~W5間的間隙處配置仿真被處理體OD、ID。

Description

基板處理方法及基板處理裝置
本揭示係關於一種於處理容器內將複數基板載置於旋轉台上,一邊使得該旋轉台做旋轉、一邊進行基板處理之基板處理方法及其裝置。
於基板、例如半導體晶圓(以下稱為「晶圓」)形成薄膜之情況,以往係於處理容器內設置以可繞鉛直軸旋轉自如的方式所構成之旋轉台,於該旋轉台載置複數晶圓,一邊使得旋轉台進行旋轉、一邊進行成膜處理。例如ALD(atomic layer deposition:原子層沉積法)之情況,係對於此等複數晶圓之表面依序供給會相互反應之複數反應氣體,使得反應生成物之層做多數積層來形成薄膜。依據如此之方法,可對複數晶圓同時進行成膜,故相較於單片方式有較佳生產效率,且由於一邊使得旋轉台進行旋轉、一邊對各晶圓進行成膜,而可對各晶圓進行均一的成膜處理。
但是,依發明者之見解,雖確實各晶圓相互間之輪廓均一,但在晶圓面內之膜厚均一性上依然有問題。若基於圖8、圖9來說明,在以軸線P為旋轉中心進行旋轉之旋轉台101上例如沿著周向以等間隔方式載置5片的晶圓102來進行處理之情況,即便例如成膜用之自由基成分被均一供給至旋轉台101上,已確認相較於晶圓102之中心,連結旋轉台101之旋轉中心與晶圓102中心之徑向的兩端部之膜厚有變厚之傾向。
如圖9所示般,裸晶圓之情況,裸晶圓之中心與前述徑向兩端部在膜厚上並未出現明顯的差距,但形成了圖案之晶圓之情況,徑向兩端部之膜厚相較於晶圓中心會變厚。此外圖9中所謂的中心側端部(Center)如圖示般意指連結晶圓中心PW與旋轉台101之旋轉中心(軸線P)之徑向的旋轉台101之中心側端部,所謂外緣側端部(Edge)意指同徑向之晶圓之外側端部。
其理由如圖8所示般,若一邊使得旋轉台101進行旋轉一邊進行處理,由於各晶圓102之中心側相較於前述徑向之兩端部以整體而言自由基成分受消耗的量較多,結果變得稀薄,每單位面積之自由基成分的每單位時間之附著量在中心側會變少。此外於裸晶圓之情況雖不致成為太大問題,但為形成有圖案之晶圓的情況,由於在晶圓表面形成有源自於圖案之微小凹凸,故反應面積相較於裸晶圓之情況例如擴大至10倍程度,消耗量的差變得顯著。
關於此點,當對於旋轉台上之複數晶圓同時進行成膜處理之情況,做為改善各晶圓之膜厚均一性的技術,已有人提議於晶圓周邊部配置環狀的溫度控制機構(日本特開平7-249580號)。
為了達成前述目的,本揭示係一種基板處理方法,係於處理容器內將複數基板載置於旋轉台上,一邊使得旋轉台進行旋轉、一邊進行此等基板之處理;係於載置在旋轉台上的基板間之間隙處配置仿真被處理體。
上述內容僅用以說明本發明,並非意圖在任何方式上加上制限。除了上述所說明的樣態、實施例以及特徵,追加樣態、實施例以及特徵應可藉由參見圖式以及以下之詳細說明而更為明確。
10‧‧‧基板處理裝置
12‧‧‧處理容器
12a‧‧‧下部構件
12b‧‧‧上部構件
12p‧‧‧氣體供給流路
12q‧‧‧排氣流路
12r‧‧‧氣體供給流路
14‧‧‧旋轉台
14a‧‧‧基板載置區域
14b‧‧‧狹縫
14c‧‧‧狹縫
16a‧‧‧噴射部
16c‧‧‧流量控制器
16d‧‧‧空間
16g‧‧‧氣體供給源
16h‧‧‧噴射口
16v‧‧‧閥
18‧‧‧排氣部
18a‧‧‧排氣口
18d‧‧‧空間
18q‧‧‧排氣流路
20‧‧‧第2氣體供給部
20a‧‧‧噴射口
20c‧‧‧流量控制器
20d‧‧‧空間
20g‧‧‧氣體供給源
20v‧‧‧閥
22‧‧‧電漿生成部
22a‧‧‧天線
22b‧‧‧同軸導波管
22c‧‧‧反應氣體供給部
22h‧‧‧排氣口
24‧‧‧驅動機構
24a‧‧‧驅動裝置
24b‧‧‧旋轉軸
26‧‧‧加熱器
34‧‧‧排氣裝置
40‧‧‧頂板
40a‧‧‧第1肋部
40b‧‧‧第2肋部
40c‧‧‧突出部
40d‧‧‧噴射口
42‧‧‧狹縫板
44‧‧‧慢波板
46‧‧‧冷卻板
47‧‧‧抵壓部
50c‧‧‧流量控制部
50g‧‧‧氣體供給源
50v‧‧‧閥
52‧‧‧排氣裝置
60‧‧‧導波管
62a‧‧‧內側導體
62b‧‧‧外側導體
62c‧‧‧流量控制部
62g‧‧‧氣體供給源
62v‧‧‧閥
64‧‧‧空間
68‧‧‧高頻產生器
70‧‧‧控制部
101‧‧‧旋轉台
102‧‧‧晶圓
AP‧‧‧開口
C‧‧‧處理室
G‧‧‧閘閥
OD,ID‧‧‧仿真被處理體
P‧‧‧旋轉中心
PW‧‧‧晶圓中心
R1‧‧‧第1區域
R2‧‧‧第2區域
S‧‧‧圓環狀區域
U‧‧‧單元
W,W1~W5‧‧‧晶圓
Wd‧‧‧凹部的直徑
圖1係示意顯示實施形態相關之基板處理裝置構成之俯視圖。
圖2係顯示從圖1所示基板處理裝置移除處理容器之上部構件後之狀態的俯視圖。
圖3係圖1以及圖2之基板處理裝置之A-A截面圖。
圖4係圖1之基板處理裝置之旋轉台之俯視圖。
圖5係顯示根據有無仿真被處理體之徑向晶圓上之自由基消耗量之圖。
圖6係顯示僅裸晶圓、僅形成有圖案之晶圓、以及於形成有圖案之晶圓併用仿真被處理體之情況下,連結各晶圓之旋轉台中心與晶圓中心之徑 向的膜厚分布之圖。
圖7係顯示配置於旋轉台上之仿真被處理體之配置區域之說明圖。
圖8係以往之基板處理裝置之旋轉台之俯視圖。
圖9係顯示以以往之基板處理裝置來經過成膜處理後之裸晶圓與圖案晶圓之膜厚分布圖。
於以下之詳細說明中,參見構成說明書一部分之所附圖式。詳細說明、圖式以及申請專利範圍所記載之說明性實施例並非意圖造成制限。可在不脫離此處所示本揭示之思想或是範圍的前提下來使用其他實施例或是進行其他變形。
日本特開平7-249580號所記載之技術係藉由控制各晶圓之面內溫度來提高成膜時膜均一性者,但有對於起因於前述晶圓中心與前述徑向兩端部之成膜源等之消耗量差異所致膜厚不均一並無法直接對應的問題。此外控制氣體流動、電漿分布來對應於前述不均一並進行改善之方法為困難。
本揭示係鑑於如此之情事所得,其目的在於:當對於旋轉台上之複數晶圓同時進行成膜處理等處理之情況,使得自由基成分等成膜源、處理活性源等在連結旋轉台之旋轉中心與晶圓中心之徑向的晶圓兩端部與中心能均一附著,而提高處理之均一性。
為了達成前述目的,本揭示係一種基板處理方法,係於處理容器內將複數基板載置於旋轉台上,一邊使得旋轉台進行旋轉、一邊進行此等基板之處理;係於載置在旋轉台上的基板間之間隙處配置仿真被處理體。
依據本揭示,由於在旋轉台上排列載置之基板間的間隙配置著仿真被處理體,故例如成膜處理中之成膜源、自由基成分不僅在基板、即使在仿真被處理體也被消耗。從而,當使得旋轉台做旋轉來進行處理之情況,載置於旋轉台上之基板的中心與朝向旋轉中心之徑向兩端部處,可改善起因於以往之消耗量差異所致膜厚不均一。
前述仿真被處理體之表面和前述基板之表面為同一材質為佳。
此外可於前述被處理體之表面形成圖案。
再者至少該被處理體於該旋轉台進行旋轉之際可被配置於從旋轉台之旋轉中心最接近該基板之點與最遠離該基板之點所經軌跡間形成的圓環狀(環狀)區域。
本揭示之一種基板處理裝置,係於處理容器內將複數基板載置於旋轉台上,一邊使得旋轉台進行旋轉、一邊進行該等基板之處理者;係於載置在該旋轉台上之基板間的間隙之既定位置載置有仿真被處理體。
相關情況下,前述被處理體之表面和前述基板之表面由同一材質所構成為佳。
此外可於前述被處理體之表面形成圖案。
再者至少該被處理體於該旋轉台進行旋轉之際可被配置於從旋轉台之旋轉中心最接近該基板之點與最遠離該基板之點所經軌跡間形成的圓環狀(環狀)區域。
依據本揭示,在對旋轉台上之複數基板同時進行成膜處理等處理之情況,自由基成分等成膜源、處理之活性源等可在連結旋轉台旋轉中心與基板中心之徑向的基板兩端部與基板中心部處均一附著,可提高處理均一性。
以下,針對本揭示之實施形態來說明。圖1係示意顯示實施形態之基板處理裝置10之構成的俯視圖,圖2係顯示從圖1所示基板處理裝置10移除了處理容器12之上部構件後之狀態的俯視圖,圖3係圖1以及圖2中基板處理裝置10之A-A截面圖。此基板處理裝置10係以藉由電漿來促進反應之PEALD(Plasma Enhanced Atomic Layer Deposition)方式的裝置所構成。
如圖1所示般,此基板處理裝置10之處理容器12係以軸線P為中心之大致圓筒狀容器。處理容器12於內部具備處理室C。處理室C包含具備噴射部16a之單元U。處理容器12係以例如內面施行過耐酸鋁處理或是Y2O3(氧化釔)之噴塗處理等之耐電漿處理之Al(鋁)等金屬所形成。基板處理裝置10於處理容器12內具有複數電漿生成部22。個別的電漿生成部22於處理容器12之上方具備輸出微波的天線22a。天線22a之數量不限定於圖1所示,可適宜選擇。
如圖2所示般,基板處理裝置10具有上面形成了複數基板載置區域14a之旋轉台14。旋轉台14係以軸線P為中心軸之大致圓板狀構件。於旋轉台14之上面,載置晶圓W之基板載置區域14a係以軸線P為中心以同心圓狀形成複數個(圖2之例為5個)。晶圓W配置於基板載置區域14a內,基板載置區域14a於旋轉台14進行旋轉之際係以避免晶圓W錯移的方式來支撐晶圓W。基板載置區域14a為和大致圓狀之晶圓W成為大致同形狀之大致圓狀的凹部。基板載置區域14a之凹部的直徑Wd和載置於基板載置區域14a之晶圓W的直徑大致同一。詳述之,則基板載置區域14a之凹部的直徑Wd只要是當所載置之晶圓W嵌合於凹部而在旋轉台14進行旋轉時晶圓W不會因為離心力而從嵌合位置移動的方式來固定晶圓W之程度即可。
於處理容器12之外緣設有閘閥G,可經由機械臂等搬送裝置將晶圓W搬入處理室C或將晶圓W從處理室C搬出。此外如圖2所示,於旋轉台14之外緣下方設有排氣口22h。排氣口22h連接著排氣裝置52。基板處理裝置10可藉由控制排氣裝置52之動作而將處理室C內之壓力維持在所需壓力。
如圖3所示般,處理容器12具有下部構件12a以及上部構件12b。下部構件12a具有於上方開口之大致筒形狀,為了形成大致圓環狀之處理室C而具有由側壁以及底壁所構成之凹部。上部構件12b具有大致筒形狀,藉由將下部構件12a之凹部的上部開口加以塞住而發揮形成處理室C之蓋體的功能。於下部構件12a與上部構件12b之間的外周部可設置用以密閉處理室C之彈性密封構件例如O型環。
由處理容器12所形成之處理室C的內部設有旋轉台14。旋轉台14藉由驅動機構24而以軸線P為中心受到旋轉驅動。驅動機構24具有馬達等驅動裝置24a以及旋轉軸24b,安裝於處理容器12之下部構件12a處。
旋轉軸24b係以軸線P為中心軸線而延伸至處理室C之內部。旋轉軸24b藉由從驅動裝置24a所傳遞之驅動力以軸線P為中心進行旋轉。旋轉台14之中央部分被旋轉軸24b所支撐著。從而旋轉台14係以軸線P為中心而隨著旋轉軸24b之旋轉而旋轉。此外,於處理容器12之下部構件12a與驅動機構24之間也可設置密閉處理室C之O型環等彈性密封構件。
於處理室C內部之旋轉台14之下方設有加熱器26,用以將載置於基板載置區域14a的晶圓W予以加熱。藉由此加熱器26來加熱旋轉台14而可加熱晶圓W。晶圓W係經由設置在處理容器12之閘閥G而藉由未圖示之機械臂等搬送裝置(未圖示)搬送到處理室C而載置於基板載置區域14a,並藉由該搬送裝置經由閘閥G而從處理室C取出。
處理室C係形成在以軸線P為中心之圓周上所配置排列之第1區域R1(參見圖2)以及第2區域R2。載置於基板載置區域14a之晶圓W伴隨著旋轉台14之旋轉而依序通過第1區域R1以及第2區域R2。
以和旋轉台14之上面成為對面的方式配置著第1氣體供給部16。第1氣體供給部16具備噴射部16a。處理室C所含區域當中和噴射部16a成為對面之區域係成為第1區域R1。
噴射部16a具備複數噴射口16h。第1氣體供給部16經由複數噴射口16h而對第1區域R1供給前驅體氣體。藉由將前驅體氣體供給於第1區域R1使得前驅體氣體之原子或是分子化學性吸附於通過第1區域R1之晶圓W的表面處。在前驅體氣體方面可舉出例如DCS(二氯矽烷)、單氯矽烷、三氯矽烷等。
於第1區域R1之上方以和旋轉台14之上面成為對面的方式設有排氣部18之排氣口18a。排氣口18a係設置於噴射部16a之周圍。排氣部18藉由真空泵等排氣裝置34之動作而經由排氣口18a對處理室C內之氣體進行排氣。
於第1區域R1之上方以和旋轉台14之上面成為對面的方式設有第2氣體供給部20之噴射口20a。噴射口20a係設置於排氣口18a之周圍。第2氣體供給部20係經由噴射口20a而對第1區域R1供給沖洗氣體。由第2氣體供給部20所供給之沖洗氣體例如為Ar(氬)等惰性氣體。藉由將沖洗氣體噴射於晶圓W之表面,可從晶圓W除去過度地化學性吸附於晶圓W處的前驅體氣體之原子或是分子(殘留氣體成分)。藉此,於晶圓W之表面化學性吸附前驅體氣體之原子或是分子而形成原子層或是分子層。
基板處理裝置10具備包含噴射部16a、排氣口18a、噴射口20a之單元U。亦即,噴射部16a、排氣口18a以及噴射口20a係以構成單元U之部 位的方式形成。單元U係以抵接於處理容器12之上部構件12b下面的方式安裝於處理容器12。
於處理容器12之上部構件12b設有氣體供給流路12p。氣體供給流路12p經由閥16v以及質流控制器等流量控制器16c而連接著前驅體氣體之氣體供給源16g。此外,氣體供給流路12p之下端係連接於空間16d。於空間16d連接著噴射部16a之噴射口16h。
於處理容器12之上部構件12b設有氣體供給流路12r。氣體供給流路12r經由閥20v以及質流控制器等流量控制器20c而連接著沖洗氣體之氣體供給源20g。氣體供給流路12r之下端連通於空間20d,其下端發揮噴射口20a之功能。
排氣流路18q之上端係和處理容器12之上部構件12b處所設之排氣流路12q連接。排氣流路12q連接於真空泵等排氣裝置34。排氣流路18q連通於空間18d。
若從噴射口20a噴射沖洗氣體,會從排氣口18a沿著旋轉台14之表面使得沖洗氣體受到排氣。藉此,可抑制供給於第1區域R1之前驅體氣體漏出第1區域R1外。此外由於從噴射口20a噴射沖洗氣體而從排氣口18a沿著旋轉台14之面使得沖洗氣體受到排氣,而可抑制供給於第2區域R2之反應氣體或是反應氣體之自由基等侵入第1區域R1內。亦即,基板處理裝置10藉由來自第2氣體供給部20之沖洗氣體之噴射以及從排氣部18進行排氣,使得第1區域R1與第2區域R2成為雰圍上分離。
基板處理裝置10於第2區域R2之上方的上部構件12b之開口AP處,具備有以和旋轉台14之上面成為對面的方式所設之電漿生成部22。電漿生成部22具有天線22a以及對天線22a供給微波以及反應氣體之同軸導波管22b。於上部構件12b例如形成有3個開口AP,基板處理裝置10如圖1所示例如具備3個電漿生成部22。
電漿生成部22係將反應氣體以及微波供給於第2區域R2,於第2區域R2生成反應氣體之電漿。當反應氣體使用含氮氣體之情況,可將化學性吸附於晶圓W上的原子層或是分子層加以氮化。反應氣體可使用例如N2(氮)或是NH3(氨)等含氮氣體。
於電漿生成部22係以閉塞開口AP的方式氣密地配置著天線22a。天線22a具有頂板40、狹縫板42、慢波板44以及冷卻板46。頂板40為以介電質所形成之圓角的大致正三角形狀構件,例如以氧化鋁陶瓷等形成。頂板40係以其下面從在處理容器12之上部構件12b所形成之開口AP露出於第2區域R2的方式被上部構件12b所支撐著。於頂板40之下面係沿著頂板40之外緣而形成有第1肋部40a,再者於其內側形成大致圓狀之第2肋部40b。於第2肋部40b之內側設有朝下方突出之突出部40c,於突出部40c之大致中央形成有朝厚度方向貫通之噴射口40d。
頂板40之下面雖藉由第1肋部40a以及第2肋部40b等而形成了凹凸,但頂板40之下面也可為平坦面。藉此,可提高為了防止污染物而形成於頂板40下面處的塗層膜之密合性。
於頂板40之上面配置著狹縫板42。狹縫板42係形成為圓角之大致正三角形狀的板狀金屬製構件。狹縫板42在軸線P方向上在和頂板40之噴射口40d相重疊之位置處設有開口。此外,狹縫板42形成有複數狹縫對。各狹縫對包含相互正交或是交叉的二個狹縫孔。此等狹縫對係於狹縫板42之面內以不同半徑之同心圓狀複數形成於周向上。
於狹縫板42之上面設有慢波板44。慢波板44係以介電質所形成之圓角的大致正三角形狀構件,例如以氧化鋁陶瓷等所形成。於慢波板44設有大致圓筒狀之開口,係用以配置同軸導波管22b之外側導體62b。
於慢波板44之上面設有冷卻板46。冷卻板46藉由流通於其內部所形成之流路內的冷媒而經由慢波板44來冷卻天線22a。冷卻板46之表面為金屬製。於冷卻板46上設有抵壓部47來將冷卻板46之全面或是複數部分抵壓於慢波板44。抵壓部47可使用例如螺旋彈簧墊圈等彈簧來構成。
冷卻板46、慢波板44、狹縫板42以及頂板40係藉由抵壓部47之抵壓力而相互密合著。藉此,即便頂板40因大氣壓或是來自電漿的熱而趨於變形,冷卻板46、慢波板44、狹縫板42以及頂板40仍可持續密合。其結果,天線22a可經由冷卻板46而高效率放熱。此外,藉由天線22a經由冷卻板46之放熱,可抑制天線22a之變形。此外,藉由抑制狹縫板之變形,可抑制朝第2區域R2放射之微波所形成之電磁場分布的變動。
同軸導波管22b具備中空之大致圓筒狀的內側導體62a以及外側導體62b。內側導體62a係從天線22a之上方貫通慢波板44之開口以及狹縫板42之開口。內側導體62a內之空間64係連通於頂板40之噴射口40d。此外,內側導體62a之上端經由閥62v以及質流控制器等流量控制部62c而連接著反應氣體之氣體供給源62g。從閥62v供給至同軸導波管22b之反應氣體係經由內側導體62a內之空間64以及頂板40之噴射口40d而供給於第2區域R2。此氣體供給源62g係準備有例如電漿激發用Ar氣體。
基板處理裝置10具有導波管60以及高頻產生器68。高頻產生器68係產生例如包含在1MHz~3THz頻帶之高頻。本實施形態中,高頻產生器68係產生包含在300MHz~3THz之頻帶的微波(例如2.45GHz之微波)。高頻產生器68所產生之微波係經由導波管60而傳輸於同軸導波管22b,並於內側導體62a與外側導體62b之間隙進行傳輸。此外,傳輸於慢波板44內之微波係從狹縫板42之狹縫孔往頂板40做傳輸,從頂板40朝第2區域R2放射。
此外,第2區域R2也從反應氣體供給部22c被供給反應氣體。反應氣體供給部22c係複數設置於處理容器12之上部構件12b內側處,例如配置在開口AP之周圍。反應氣體供給部22c係使得反應氣體朝頂板40之下方來噴射。反應氣體供給部22c經由閥50v以及質流控制器等流量控制部50c而連接著反應氣體之氣體供給源50g。
電漿生成部22係藉由頂板40之噴射口40d以及反應氣體供給部22c之噴射部50b對第2區域R2供給反應氣體,藉由天線22a對第2區域R2放射微波。藉此,於第2區域R2生成反應氣體電漿。
此外,如圖3所示般,基板處理裝置10具備有用以控制基板處理裝置10之各構成要素的控制部70。控制部70可為具備有CPU(Central Processing Unit)等控制裝置、記憶體等記憶裝置、輸出入裝置等之電腦。控制部70係依據儲存在記憶體之控制程式使得CPU動作,藉以控制基板處理裝置10之各構成要素。
控制部70係將控制旋轉台14之旋轉速度的控制訊號送訊至驅動裝置24a。此外,控制部70係將控制晶圓W溫度的控制訊號送出到和加熱器26 連接之電源。此外,控制部70係將控制前驅體氣體流量之控制訊號送出到閥16v以及流量控制器16c。此外,控制部70係將控制排氣裝置34(連接於排氣口18a)之排氣量的控制訊號送訊至排氣裝置34。
控制部70係將控制沖洗氣體流量的控制訊號送訊至閥20v以及流量控制器20c。此外,控制部70係將控制微波功率的控制訊號送訊至微波產生器68。此外,控制部70係將控制反應氣體流量的控制訊號送訊至閥50v、閥62v、流量控制部50c以及流量控制部62c。此外,控制部70係將控制來自排氣口22h之排氣量的控制訊號送訊至排氣裝置52。
此外如圖4所示般,於旋轉台14上之基板載置區域14a、14a間的間隙處,設有成為仿真被處理體用之收容凹部的狹縫14b、14c。狹縫14b係於旋轉台14之外周側沿著周向以等間隔配置於5處。狹縫14c係於旋轉台14之內周側沿著周向以等間隔配置於5處。本實施形態中,狹縫14b、14c個別均為俯視上具有二等邊三角形之形狀,狹縫14c小於狹縫14b。當然此等狹縫14b、14c之形狀不限定於此種三角形形狀,可為任意形狀。
此外於各狹縫14b收容著同形狀同大小的仿真被處理體OD,又各狹縫14c收容著同形狀同大小之仿真被處理體ID。本實施形態中,由於成膜對象之晶圓W1~W5為矽晶圓,故此等仿真被處理體OD、ID之材質也同樣由矽所構成。此外成膜對象之晶圓W1~W5由於為表面形成有圖案之物,故使用各仿真被處理體OD、ID之表面形成有圖案之物。
相關實施形態之基板處理裝置10係採以上方式構成,若說明對於晶圓W1~W5例如於表面形膜出SiN之程序,首先,於第1區域R1係藉由第1氣體供給部16來供給被稱為DCS之前驅體氣體。藉此,前驅體氣體所含Si會化學性或是物理性吸附於晶圓W上。
其次,伴隨旋轉台14之旋轉,晶圓W會通過第1區域R1與第2區域R2之間。此時,晶圓W會被暴露於由第2氣體供給部20所供給之沖洗氣體中。藉此,可從晶圓W表面將過度化學吸附於晶圓W之含Si前驅體氣體加以移除。
再者,伴隨旋轉台14之旋轉,晶圓W會移動至第2區域R2內。於第2區域R2,電漿生成部22係對第2區域R2供給反應氣體,並對該第2 區域R2供給微波。藉此,於第2區域R2生成反應氣體之電漿。此例中,為使得化學吸附於晶圓W之前驅體氣體被氮化,使用含氮之N2氣體、NH3氣體、NO、NO2等當作反應氣體。藉由此反應氣體之電漿使得晶圓W表面所化學吸附之前驅體氣體被氮化。
如此般晶圓W藉由旋轉台14之旋轉而反覆受到前驅體氣體之處理製程、沖洗製程、以及氮化處理製程。藉此,於晶圓W上形成矽氮化膜。且以同時併行方式使得晶圓W1~W5依序且反覆地受到處理。
此外於上述成膜處理之際,不僅是旋轉台11上之晶圓W1~W5,仿真被處理體OD、ID之表面也同樣受到成膜處理。亦即,最初所供給之自由基不僅被供給至晶圓W1~W5表面,也供給至載置於狹縫14b、14c處的仿真被處理體OD、ID之表面而被消耗。從而使得旋轉台14做旋轉過程中,於旋轉台14上之晶圓W1~W5與仿真被處理體OD、ID之表面被附著每單位面積相同等級的自由基,即使經過之後的沖洗後於反應氣體之供給時也會附著每單位面積相同等級的成膜源。藉此,晶圓W1~W5之面內的徑向膜厚、亦即圖4中Center與Edge之膜厚會成為相等,提高徑向之膜厚均一性。
圖5係顯示有和沒有仿真被處理體OD、ID之情況下,徑向之晶圓上自由基的消耗量之圖,當沒有仿真被處理體OD、ID之情況,晶圓之兩端部之自由基消耗量會少於晶圓之中心部,但當安置了仿真被處理體OD、ID之情況,自由基消耗量在兩端部、中心為相同等級,整體成為平坦。
在如此之背景下,僅裸晶圓(無仿真被處理體)、僅形成有圖案之晶圓(無仿真被處理體)、於形成有圖案之晶圓併用了仿真被處理體之情況下,各晶圓之連結旋轉台14中心與基板中心之徑向的膜厚分布顯示於圖6。
從此結果可知,僅裸晶圓之情況,晶圓隨著從旋轉台11之外緣側端部(Edge)往旋轉台14之中心側端部(Center)移動,沉積速率有若干降低之傾向,僅形成有圖案之晶圓(無仿真被處理體)之情況,隨著從成為旋轉台14之兩端部的Edge、Center往晶圓中心移動,沉積速率有降低之傾向。相對於此,當形成有圖案之晶圓併用了仿真被處理體OD、ID之情況,會得到大致平坦的特性。從而,當形成有圖案之晶圓併用有仿真被處理體OD、ID之情況、亦即使用實施形態相關之基板處理裝置10利用PEALD進行過成膜處 理之情況,可提高晶圓內之膜厚均一性。
此外如圖7所示,使得旋轉台14做旋轉之際,只要以仿真被處理體OD、ID之至少一部分或是全部會進入晶圓W之旋轉台14之旋轉中心側的端部Center與該端部Center之徑向相反側之端部Edge所經軌跡間的圓環狀區域S內的方式來配置即可。亦即,只要將仿真被處理體OD、ID之一部分或是全部配置在從旋轉台14之旋轉中心P到所載置之晶圓W之最近地點與最遠地點之間的圓環狀區域S即可。此外外周側之仿真被處理體OD、內周側之仿真被處理體ID可視狀況來配置其中一者即可,若設置雙方的情況,表面圖案在雙方可為同一粗密度也可相互為不同粗密度。
前述實施形態為PEALD方式之成膜處理,但不限於此,本揭示也可適用於利用氣體與熱之ALD方式之成膜處理、各種電漿處理。電漿源可為在處理容器外部生成電漿之遠距電漿形式者。此外實施形態所使用之處理容器雖為可減壓之真空容器,但也可為在大氣壓下進行處理之處理容器。此外載置於旋轉台上之基板的片數可視必要性來任意選擇。
前述實施形態中,雖於仿真被處理體OD、ID之表面形成有圖案,但可藉由改變此圖案之粗密度、表面積來徑向控制沉積速率。此外仿真被處理體OD、ID之表面材質未必要和處理對象之晶圓為同一材質。
前述實施形態中,在基板方面使用了晶圓,但不限於此,也可為玻璃基板,再者關於基板形狀也可非圓形,而可為矩形。
本揭示有用於在處理容器內所設旋轉台上載置複數基板同時進行處理之批次式基板處理。
從上述內容記載了本揭示之各種實施例來說明本發明,此外,應理解可在不脫離本揭示範圍以及思想的情況下進行各種變形。從而,此處所揭示之各種實施例並非用以限制後述申請專利範圍所指定之本質性範圍以及思想。
本專利申請係以2015年6月15日提出申請之日本專利申請第2015-120040號為基礎主張優先權,將其揭示全數援引於此。
14‧‧‧旋轉台
14a‧‧‧基板載置區域
14b‧‧‧狹縫
14c‧‧‧狹縫
OD,ID‧‧‧仿真被處理體
P‧‧‧旋轉中心
PW‧‧‧晶圓中心
W1~W5‧‧‧晶圓

Claims (8)

  1. 一種基板處理方法,係於處理容器內將複數基板載置於旋轉台上,一邊使得旋轉台進行旋轉、一邊進行此等基板之處理;係於載置在旋轉台上的基板間之間隙處配置仿真被處理體。
  2. 如申請專利範圍第1項之基板處理方法,其中該被處理體之表面和該基板之表面為同一材質。
  3. 如申請專利範圍第1項之基板處理方法,其中該被處理體之表面形成有圖案。
  4. 如申請專利範圍第1項之基板處理方法,其中至少該被處理體於該旋轉台進行旋轉之際係被配置於從旋轉台之旋轉中心最接近該基板之點與最遠離該基板之點所經軌跡間形成的圓環狀區域。
  5. 一種基板處理裝置,係於處理容器內將複數基板載置於旋轉台上,一邊使得旋轉台進行旋轉、一邊進行該等基板之處理者;係於載置在該旋轉台上之基板間的間隙之既定位置載置有仿真被處理體。
  6. 如申請專利範圍第5項之基板處理裝置,其中該被處理體之表面係和該基板之表面為同一材質所構成。
  7. 如申請專利範圍第5項之基板處理裝置,其中於該被處理體之表面形成有圖案。
  8. 如申請專利範圍第5項之基板處理裝置,其中至少該被處理體於該旋轉台進行旋轉之際係被配置於從旋轉台之旋轉中心最接近該基板之點與最遠離該基板之點所經軌跡間形成的圓環狀區域。
TW105118307A 2015-06-15 2016-06-13 基板處理方法及基板處理裝置 TWI651800B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-120040 2015-06-15
JP2015120040A JP6494443B2 (ja) 2015-06-15 2015-06-15 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201721797A true TW201721797A (zh) 2017-06-16
TWI651800B TWI651800B (zh) 2019-02-21

Family

ID=57516746

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105118307A TWI651800B (zh) 2015-06-15 2016-06-13 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (1) US9970109B2 (zh)
JP (1) JP6494443B2 (zh)
KR (1) KR102094576B1 (zh)
CN (1) CN106252268B (zh)
TW (1) TWI651800B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6749258B2 (ja) 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52122236A (en) * 1976-04-07 1977-10-14 Tokyo Shibaura Electric Co Etching device
JPS60145622A (ja) * 1984-01-10 1985-08-01 Nec Corp 半導体装置の製造方法
JP2754742B2 (ja) * 1989-06-12 1998-05-20 ソニー株式会社 サセプタの回転停止位置検出方法及び気相成長装置
JPH04221820A (ja) * 1990-12-21 1992-08-12 Fujitsu Ltd 有機金属気相成長方法
JPH07249580A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 薄膜製造装置
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
JP4816545B2 (ja) * 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5292963B2 (ja) * 2008-07-16 2013-09-18 株式会社デンソー 成膜装置およびそれを用いた製造方法
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP2010245101A (ja) * 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
KR101582481B1 (ko) * 2010-11-04 2016-01-05 주식회사 원익아이피에스 기판처리장치, 그에 사용되는 커버부재, 그에 사용되는 트레이 및 기판처리방법
KR20120074851A (ko) * 2010-12-28 2012-07-06 주성엔지니어링(주) 박막 증착 방법 및 이에 이용되는 박막 증착 장치 모듈
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5954108B2 (ja) * 2012-10-23 2016-07-20 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
CN106252268A (zh) 2016-12-21
JP2017005184A (ja) 2017-01-05
KR20160147669A (ko) 2016-12-23
KR102094576B1 (ko) 2020-03-27
US20160362789A1 (en) 2016-12-15
US9970109B2 (en) 2018-05-15
CN106252268B (zh) 2021-05-04
JP6494443B2 (ja) 2019-04-03
TWI651800B (zh) 2019-02-21

Similar Documents

Publication Publication Date Title
TWI638904B (zh) Substrate processing device
TW201721797A (zh) 基板處理方法及基板處理裝置
JP2021185603A (ja) 空間的原子層堆積におけるガス分離制御
TWI608117B (zh) 成膜方法
US9831067B2 (en) Film-forming apparatus
TW201625809A (zh) 成膜方法
US10844489B2 (en) Film forming apparatus and shower head
JP6930382B2 (ja) 成膜装置及び成膜方法
US10550470B2 (en) Film forming apparatus and operation method of film forming apparatus
JP6258184B2 (ja) 基板処理装置
JP2016122491A (ja) プラズマ処理装置
JP2021125590A (ja) 成膜装置及び成膜方法
KR20190016896A (ko) 실리콘 질화막의 성막 방법 및 성막 장치
US10370763B2 (en) Plasma processing apparatus
JP2023051251A (ja) 成膜装置および成膜方法
JP2018062703A (ja) 成膜装置及び成膜方法
JP2012094600A (ja) 基板処理装置および半導体装置の製造方法
JP6479550B2 (ja) プラズマ処理装置
JP2022108645A (ja) 成膜装置及び成膜方法