TW201715067A - Deposition of low fluorine tungsten by sequential CVD process - Google Patents

Deposition of low fluorine tungsten by sequential CVD process Download PDF

Info

Publication number
TW201715067A
TW201715067A TW105116371A TW105116371A TW201715067A TW 201715067 A TW201715067 A TW 201715067A TW 105116371 A TW105116371 A TW 105116371A TW 105116371 A TW105116371 A TW 105116371A TW 201715067 A TW201715067 A TW 201715067A
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
containing precursor
layer
deposition
Prior art date
Application number
TW105116371A
Other languages
Chinese (zh)
Other versions
TWI747825B (en
Inventor
亞當 揚德爾
珊傑 戈皮納思
巴曉蘭
拉許納 胡瑪雲
米歇爾 丹納克
勞倫斯 施洛斯
于天驊
思魯提 維克 湯貝爾
凱翰 阿畢迪 艾許地安尼
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/723,270 external-priority patent/US9613818B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201715067A publication Critical patent/TW201715067A/en
Application granted granted Critical
Publication of TWI747825B publication Critical patent/TWI747825B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Provided herein are methods of depositing bulk tungsten by sequential CVD pulses, such as by alternately pulsing tungsten hexafluoride and hydrogen gas in cycles of temporally separated pulses. Some methods include depositing a tungsten nucleation layer at lower pressure followed by deposition of bulk tungsten by sequential CVD to form low stress tungsten films with low fluorine content. Methods described herein may also be performed in combination with non-sequential CVD deposition and fluorine-free tungsten deposition techniques.

Description

藉由順序化學汽相沉積製程所進行的低氟含量之鎢的沉積Low fluorine content tungsten deposition by sequential chemical vapor deposition process

本發明關於低氟含量之鎢之沉積。This invention relates to the deposition of tungsten having a low fluorine content.

含鎢材料之沉積為許多半導體製造處理中不可或缺之部分。這些材料可用於水平內連線、在鄰近金屬層之間之介層窗、在金屬層與矽基板上之元件之間之接觸窗、以及高深寬比特徵部。在半導體基板上之習知的鎢沉積處理中,基板於真空腔室中被加熱至處理溫度,並且沉積做為晶種層或成核層之含鎢膜之非常薄部分。此後,藉由使基板同時暴露至二反應物,而使其餘的鎢膜(主體層)沉積於該成核層上。主體層之沉積通常比成核層快。然而,當元件微縮且更複雜的圖案化結構被使用在工業中時,薄鎢膜之沉積變成一挑戰。The deposition of tungsten-containing materials is an integral part of many semiconductor fabrication processes. These materials can be used for horizontal interconnects, vias between adjacent metal layers, contact windows between the metal and germanium substrates, and high aspect ratio features. In a conventional tungsten deposition process on a semiconductor substrate, the substrate is heated to a processing temperature in a vacuum chamber and deposited as a very thin portion of the tungsten-containing film of the seed layer or nucleation layer. Thereafter, the remaining tungsten film (host layer) is deposited on the nucleation layer by simultaneously exposing the substrate to the two reactants. The deposition of the bulk layer is usually faster than the nucleation layer. However, deposition of thin tungsten films becomes a challenge when patterned and more complex patterned structures are used in the industry.

本文中提出用以沉積鎢之方法及設備。一態樣關於填充特徵部之方法,包含:(a) 使基板在腔室中暴露至還原劑及含鎢前驅物之交替脈衝,以沉積鎢成核層在該基板上;及 (b) 使該基板暴露至氫及含鎢前驅物之交替脈衝,以沉積主體鎢層在該鎢成核層上,其中在步驟 (a) 期間之腔室壓力不大於10 Torr。Methods and apparatus for depositing tungsten are presented herein. A method for filling a feature comprising: (a) exposing a substrate to a reducing agent and an alternating pulse of a tungsten-containing precursor in a chamber to deposit a tungsten nucleation layer on the substrate; and (b) The substrate is exposed to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer on the tungsten nucleation layer, wherein the chamber pressure during step (a) is no greater than 10 Torr.

該方法可更包含:(c) 使該基板同時暴露至還原劑及含鎢前驅物,以沉積第二主體鎢層。該方法亦可更包含:(d) 每實施步驟 (b) 之二或更多循環,實施步驟 (c),其中步驟 (b) 之一循環包含氫之脈衝及該含鎢前驅物之脈衝。The method may further comprise: (c) simultaneously exposing the substrate to a reducing agent and a tungsten-containing precursor to deposit a second bulk tungsten layer. The method may further comprise: (d) performing step (c) every two or more cycles of performing step (b), wherein one of the steps (b) comprises a pulse of hydrogen and a pulse of the tungsten-containing precursor.

在各種實施例中,在包含氫之脈衝及該含鎢前驅物之脈衝之複數循環中實施步驟 (b),每一循環形成厚度至少約0.3Å之次單層。In various embodiments, step (b) is carried out in a plurality of cycles comprising a pulse of hydrogen and a pulse of the tungsten-containing precursor, each cycle forming a single layer having a thickness of at least about 0.3 Å.

在步驟 (a) 中之該含鎢前驅物可不同於在步驟 (b) 中之該含鎢前驅物。在某些實施例中,在步驟 (a) 中之該含鎢前驅物為無氟。The tungsten-containing precursor in step (a) may be different from the tungsten-containing precursor in step (b). In certain embodiments, the tungsten-containing precursor in step (a) is fluorine-free.

該已沉積鎢之拉伸應力可為每500Å沉積小於約1 GPa。The tensile stress of the deposited tungsten can be less than about 1 GPa per 500 Å of deposition.

另一態樣關於在基板上沉積鎢之方法,包含:(a) 沉積鎢層在該基板上,其係藉由:(i) 使該基板暴露至還原劑;及 (ii) 使該基板暴露至無氟含鎢前驅物;及 (b) 在複數循環中沉積主體鎢層,該等循環包含:(i) 使該基板暴露至氫(H2 );(ii) 使該基板暴露至含鎢前驅物;及 (iii) 重複步驟 (i) 至步驟 (ii) 一或更多循環,以沉積該主體鎢層。Another aspect relates to a method of depositing tungsten on a substrate, comprising: (a) depositing a tungsten layer on the substrate by: (i) exposing the substrate to a reducing agent; and (ii) exposing the substrate To a fluorine-free tungsten-containing precursor; and (b) depositing a bulk tungsten layer in a plurality of cycles comprising: (i) exposing the substrate to hydrogen (H 2 ); (ii) exposing the substrate to tungsten-containing Precursor; and (iii) repeating steps (i) through (ii) one or more cycles to deposit the bulk tungsten layer.

在某些實施例中,無氟含鎢前驅物係選自於由金屬-有機含鎢前驅物、鎢氯化物、及六羰鎢所組成之群組。In certain embodiments, the fluorine-free tungsten-containing precursor is selected from the group consisting of metal-organic tungsten-containing precursors, tungsten chloride, and tungsten hexacarbonyl.

在各種實施例中,無氟含鎢前驅物為六氯化鎢。在各種實施例中,無氟含鎢前驅物為五氯化鎢。In various embodiments, the fluorine-free tungsten-containing precursor is tungsten hexachloride. In various embodiments, the fluorine-free tungsten-containing precursor is tungsten pentachloride.

在步驟 (a) 中之該鎢層可沉積至在約2Å與約100Å之間之厚度。在步驟 (b) 中之每一循環可形成厚度至少約0.3Å之次單層。The tungsten layer in step (a) can be deposited to a thickness of between about 2 Å and about 100 Å. Each of the cycles in step (b) can form a single layer having a thickness of at least about 0.3 Å.

另一態樣關於填充特徵部之方法,包含:(a) 使基板暴露至氫及含鎢前驅物之交替脈衝,以沉積主體鎢層在該基板上;及 (b) 使該基板同時暴露至含鎢前驅物及還原劑,以沉積第二主體鎢層在該基板上。Another aspect of the method of filling a feature, comprising: (a) exposing a substrate to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer on the substrate; and (b) simultaneously exposing the substrate to A tungsten precursor and a reducing agent are deposited to deposit a second bulk tungsten layer on the substrate.

在各種實施例中,步驟 (a) 及步驟 (b) 係順序地重複。In various embodiments, steps (a) and (b) are repeated sequentially.

在步驟 (b) 中之該含鎢前驅物可為無氟含鎢前驅物,該無氟含鎢前驅物係選自於由金屬-有機含鎢前驅物、鎢氯化物、及六羰鎢所組成之群組。The tungsten-containing precursor in the step (b) may be a fluorine-free tungsten-containing precursor selected from the group consisting of a metal-organic tungsten-containing precursor, a tungsten chloride, and a tungsten hexahydrate. The group that makes up.

在某些實施例中,在步驟 (a) 中之含鎢前驅物係不同於在步驟 (b) 中之含鎢前驅物。In certain embodiments, the tungsten-containing precursor in step (a) is different from the tungsten-containing precursor in step (b).

另一態樣關於用於處理基板之設備,包含:(a) 至少一處理腔室,包含用以支承基板之基座;(b) 至少一排氣口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體來源;及 (d) 控制器,用以控制在該設備中之操作,包含機械可讀指令以用於:(i) 以交替脈衝的方式引入還原劑及含鎢前驅物至該處理腔室;及 (ii) 以交替脈衝的方式引入氫及含鎢前驅物至該處理腔室,其中在步驟 (i) 期間之腔室壓力不大於10 Torr。Another aspect relates to an apparatus for processing a substrate, comprising: (a) at least one processing chamber including a susceptor for supporting a substrate; (b) at least one vent for coupling to a vacuum; (c One or more process gas inlets coupled to one or more process gas sources; and (d) a controller for controlling operation in the apparatus, including machine readable instructions for: (i) Introducing a reducing agent and a tungsten-containing precursor to the processing chamber in an alternating pulse manner; and (ii) introducing hydrogen and a tungsten-containing precursor into the processing chamber in alternating pulses, wherein the chamber during step (i) The pressure is no more than 10 Torr.

另一態樣關於用於處理基板之設備,該設備包含:(a) 至少一處理腔室,包含用以支承基板之基座;(b) 至少一排氣口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體來源;及 (d) 控制器,用以控制在該設備中之操作,包含機械可讀指令以用於:(i) 以交替脈衝的方式引入氫及含鎢前驅物至該處理腔室,以沉積主體鎢層;及 (ii) 同時引入含鎢前驅物及還原劑至該處理腔室,以沉積第二主體鎢層。該控制器可更包含機械可讀指令以用於:順序地重複步驟 (i) 及步驟 (ii) 。Another aspect relates to an apparatus for processing a substrate, the apparatus comprising: (a) at least one processing chamber including a susceptor for supporting a substrate; and (b) at least one vent for coupling to a vacuum; (c) one or more process gas inlets coupled to one or more process gas sources; and (d) a controller for controlling operation in the apparatus, comprising machine readable instructions for: (i Introducing hydrogen and a tungsten-containing precursor to the processing chamber in an alternating pulse to deposit a bulk tungsten layer; and (ii) simultaneously introducing a tungsten-containing precursor and a reducing agent to the processing chamber to deposit a second bulk tungsten Floor. The controller may further comprise machine readable instructions for: sequentially repeating steps (i) and (ii).

這些及其它態樣將參考著圖式而進一步描述於下。These and other aspects will be further described below with reference to the drawings.

在以下敘述中,提出數個具體細節以提供對本發明實施例之徹底了解。本發明可在缺少這些具體細節之一部份或所有之情況下實施。在其它情況下,已為人所熟知的處理步驟將不再詳述,以免不必要地使本發明失焦。本發明將結合具體實施例進行說明,但應當了解,其並非意圖將本發明侷限於該等實施例。In the following description, numerous specific details are set forth. The invention may be practiced without some or all of these specific details. In other instances, well known processing steps will not be described in detail to avoid unnecessarily defocusing the present invention. The invention will be described in conjunction with the specific embodiments, but it should be understood that it is not intended to limit the invention to the embodiments.

特徵部之鎢(W)填充通常使用在半導體元件製造中,以形成電接觸點。當使用更小技術節點及更複雜圖案結構之元件大小時,鎢填充面臨各種挑戰。一挑戰為減少在所沉積鎢膜中之氟濃度或含量。相較於較大的特徵部,在鎢膜中具有與較大特徵部相同的氟濃度之較小特徵部對元件之效能影響更大。例如,特徵部愈小,所沉積的膜愈薄。因此,在沉積鎢膜中之氟更有可能擴散通過較薄的膜,因而可能造成元件失效。Tungsten (W) filling of features is commonly used in the fabrication of semiconductor components to form electrical contacts. Tungsten filling faces various challenges when using smaller technology nodes and component sizes of more complex pattern structures. One challenge is to reduce the concentration or amount of fluorine in the deposited tungsten film. Compared to larger features, smaller features having the same fluorine concentration in the tungsten film as the larger features have a greater impact on the performance of the component. For example, the smaller the features, the thinner the film deposited. Therefore, fluorine in the deposited tungsten film is more likely to diffuse through the thinner film, which may cause component failure.

一種防止氟擴散之方法包含,在沉積鎢之前沉積一或更多阻障層,以防止氟從鎢擴散至基板之其它層,例如氧化物層。例如,圖1A顯示沉積在基板上之層之示例性堆疊。基板190包含矽層192、氧化物層194(例如,鈦氧化物(TiOx)、四乙氧基矽烷(TEOS)氧化物、等)、阻障層196(例如鈦氮化物(TiN))、鎢成核層198、及主體鎢層199。沉積阻障層196以防止氟從主體鎢層199及鎢成核層198擴散至氧化物層。然而,當元件縮小,阻障層變得更薄,氟仍然可能從所沉積的鎢層擴散。雖然在較高溫實施之主體鎢化學氣相沉積產生較低的氟含量,但這樣的膜具有不佳的階梯覆蓋率。One method of preventing fluorine diffusion involves depositing one or more barrier layers prior to depositing tungsten to prevent diffusion of fluorine from the tungsten to other layers of the substrate, such as an oxide layer. For example, Figure 1A shows an exemplary stack of layers deposited on a substrate. The substrate 190 includes a germanium layer 192, an oxide layer 194 (eg, titanium oxide (TiOx), tetraethoxydecane (TEOS) oxide, etc.), a barrier layer 196 (eg, titanium nitride (TiN)), tungsten. The nucleation layer 198 and the bulk tungsten layer 199. Barrier layer 196 is deposited to prevent diffusion of fluorine from host tungsten layer 199 and tungsten nucleation layer 198 to the oxide layer. However, as the component shrinks, the barrier layer becomes thinner and fluorine may still diffuse from the deposited tungsten layer. Although bulk tungsten chemical vapor deposition at higher temperatures produces lower fluorine content, such films have poor step coverage.

另一挑戰為降低所沉積鎢膜中之電阻。相較於較厚的膜,較薄的膜傾向於具有較高的電阻。當特徵部變得較小,由於在較薄的鎢膜中之散射效應,鎢接觸窗或線電阻會增加。低電阻率鎢膜使積體電路設計之電力損失及過熱最小化。鎢成核層之電阻率通常高於上方的主體層。沉積在接觸窗、介層窗及其它特徵部中之阻障層亦可能具有高電阻率。此外,薄阻障及鎢成核膜佔據較小特徵部之較大比例,增加了特徵部之整體電阻。鎢膜之電阻率決取於所沉積膜之厚度,由於邊界效應,當厚度減少時,電阻率增加。Another challenge is to reduce the electrical resistance in the deposited tungsten film. Thinner films tend to have higher electrical resistance than thicker films. As the features become smaller, the tungsten contact window or line resistance increases due to the scattering effect in the thinner tungsten film. The low resistivity tungsten film minimizes power loss and overheating in the integrated circuit design. The tungsten nucleation layer typically has a higher resistivity than the upper body layer. Barrier layers deposited in contact windows, vias, and other features may also have high resistivity. In addition, the thin barrier and tungsten nucleation film occupy a larger proportion of the smaller features, increasing the overall resistance of the features. The resistivity of the tungsten film is determined by the thickness of the deposited film, and the resistivity increases as the thickness decreases due to the boundary effect.

另一挑戰為減少所沉積膜上之應力。較薄的鎢膜傾向於具有較大的拉伸應力。用於藉由化學氣相沉積以沉積主體鎢膜之習知技術具有大於2.5 GPa(對於200Å之膜)之拉伸應力。高熱拉伸應力造成基板之捲曲,其造成後續處理之困難。例如,後續處理可包含化學機械平坦化、材料之沉積、及∕或夾持基板至基板支座以實施腔室中之處理。然而,這些處理通常仰賴著基板是平的,且捲曲的基板造成非均勻的處理或無法處理基板。雖然有現存的方法以減少在其它材料膜中之應力,例如退火,但一旦鎢被沉積,由於鎢之高熔點,其不具有表面移動性以容許晶粒之移動或改變。Another challenge is to reduce the stress on the deposited film. Thinner tungsten films tend to have greater tensile stress. Conventional techniques for depositing a bulk tungsten film by chemical vapor deposition have a tensile stress greater than 2.5 GPa (for a 200 Å film). The high thermal tensile stress causes curling of the substrate, which causes difficulty in subsequent processing. For example, subsequent processing may include chemical mechanical planarization, deposition of material, and crucible or clamping of the substrate to the substrate support to effect processing in the chamber. However, these processes typically rely on the substrate being flat and the crimped substrate causes non-uniform processing or inability to process the substrate. While there are existing methods to reduce stress in other material films, such as annealing, once tungsten is deposited, it has no surface mobility to allow for grain movement or change due to the high melting point of tungsten.

本文中提出使用順序CVD(sequential CVD)處理以沉積具有低氟濃度之鎢膜之方法。所沉積的膜亦可能具有低應力。方法涉及,以複數循環的方式引入氫及含鎢前驅物(例如六氟化鎢)。所揭露的實施例可與其它鎢沉積處理結合,以沉積具有實質較低氟含量(相較於藉由習知CVD所沉積)之低應力鎢膜。例如,順序CVD處理可與在低壓之成核層沉積、無氟鎢層沉積、及∕或非順序CVD處理相結合。所揭露的實施例具有各種應用。方法可使用於以高階梯覆蓋率而沉積鎢至特徵部中,並且亦可使用於沉積鎢至3D NAND及垂直NAND結構中,包括具有深溝槽之結構中。A method of using a sequential CVD process to deposit a tungsten film having a low fluorine concentration is proposed herein. The deposited film may also have low stress. The method involves introducing hydrogen and a tungsten-containing precursor (eg, tungsten hexafluoride) in a plurality of cycles. The disclosed embodiments can be combined with other tungsten deposition processes to deposit a low stress tungsten film having a substantially lower fluorine content (as compared to deposition by conventional CVD). For example, sequential CVD processing can be combined with nucleation layer deposition at low pressure, deposition of fluorine-free tungsten layers, and non-sequential CVD processing. The disclosed embodiments have a variety of applications. The method can be used to deposit tungsten into the features with high step coverage, and can also be used to deposit tungsten into 3D NAND and vertical NAND structures, including structures with deep trenches.

順序CVD處理與非順序CVD、脈衝式CVD、原子層沉積(ALD)及成核層沉積有所區別。非順序CVD處理涉及同時暴露至二反應物,俾使二反應物在沉積期間同時流動。例如,主體鎢之沉積可藉由使基板同時暴露至氫(H2 )及六氟化鎢(WF6 )足以填充特徵部之一持續時間。在暴露期間,氫及WF6 進行反應以沉積鎢至特徵部中。在脈衝式CVD處理中,一反應物係持續地流動,而另一反應物係脈衝式提供,但在沉積期間基板係暴露至反應物兩者,以在每一脈衝期間沉積材料。例如,基板可暴露至H2 之持續流動,而WF6 係脈衝式提供,在脈衝期間WF6 及H2 進行反應以沉積鎢。Sequential CVD processing differs from non-sequential CVD, pulsed CVD, atomic layer deposition (ALD), and nucleation layer deposition. Non-sequential CVD processing involves simultaneous exposure to the two reactants, causing the two reactants to flow simultaneously during deposition. For example, bulk deposition of tungsten may be simultaneously exposed to hydrogen (H 2) and tungsten hexafluoride (WF 6) sufficient to fill one of the features of the substrate by the duration. During the exposure, hydrogen and WF 6 react to deposit tungsten into the features. In a pulsed CVD process, one reactant system continues to flow while the other reactant is pulsed, but the substrate is exposed to both reactants during deposition to deposit material during each pulse. For example, the substrate may be exposed to a continuous flow of H 2, the pulse train and providing WF 6, WF 6 during the pulse and H 2 react to deposit tungsten.

相較之下,順序CVD處理係執行獨立的暴露至每一反應物,俾使在沉積期間該等反應物並非同時流入腔室中。而是,以短暫分離的脈衝依序將每一反應物流動引入至放置著基板之腔室,以循環的方式重複一或更多次。通常,一循環為用以執行一次表面沉積反應之最小操作組。一循環之結果為在基板表面上產生至少一部分膜層。順序CVD之循環係進一步描述於下。In contrast, sequential CVD processes perform independent exposure to each reactant such that the reactants do not flow into the chamber simultaneously during deposition. Rather, each reactant flow is introduced sequentially into the chamber in which the substrate is placed with a pulse of transient separation, repeated one or more times in a cyclic manner. Typically, one cycle is the minimum set of operations used to perform a surface deposition reaction. The result of a cycle is the creation of at least a portion of the film layer on the surface of the substrate. The cycle of sequential CVD is further described below.

ALD及成核層沉積亦涉及,以循環的方式使基板暴露至二反應物之短暫分離脈衝。例如,在ALD循環中,使第一反應物流至腔室中,吹淨腔室,使第二反應物流至腔室中,再度吹淨腔室。典型地,重複這樣的循環以建立膜厚。在習知的ALD及成核層沉積循環中,第一反應物流動構成自限制反應中之第一“劑量(dose)。例如,基板包含數目有限的活性位置,第一反應物吸附至基板之活性位置上並且使表面飽和,第二反應物與吸附層進行反應,以在循環中一層一層地沉積材料。ALD and nucleation layer deposition also involves exposing the substrate to a transient separation pulse of the two reactants in a cyclic manner. For example, in an ALD cycle, the first reaction is passed to a chamber, the chamber is purged, the second reaction is passed to the chamber, and the chamber is again purged. Typically, such a cycle is repeated to establish a film thickness. In conventional ALD and nucleation layer deposition cycles, the first reactant flow constitutes a first "dose" in the self-limiting reaction. For example, the substrate contains a limited number of active sites, and the first reactant is adsorbed to the substrate. At the active site and saturating the surface, the second reactant reacts with the adsorbent layer to deposit material layer by layer in the cycle.

然而,在順序CVD中,反應物不必然吸附在基板上之活性位置上,且在某些實施例中,反應可能不是自限制的。例如,使用在順序CVD中之反應物可能具有低吸附率。此外,當引入第二反應物時,在基板表面上之反應物可能不必然與第二反應物進行反應。而是,在順序CVD之某些實施例中,在基板上之某些反應物在循環期間保持未反應,且直到後來的循環才進行反應。某些反應物可能由於化學計量性質、立體阻礙、或其它效應而不反應。However, in sequential CVD, the reactants are not necessarily adsorbed on the active sites on the substrate, and in certain embodiments, the reactions may not be self-limiting. For example, reactants used in sequential CVD may have low adsorption rates. Furthermore, when the second reactant is introduced, the reactants on the surface of the substrate may not necessarily react with the second reactant. Rather, in certain embodiments of sequential CVD, certain reactants on the substrate remain unreacted during cycling and are not reacted until later cycles. Certain reactants may not react due to stoichiometric properties, steric hindrance, or other effects.

本文中所述之方法係實施於可能放置在腔室中之基板上。基板可為矽晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含其上沉積著一或更多材料層(例如,介電、導電或半導電材料層)之晶圓。基板可具有特徵部,例如介層窗或接觸窗孔,其特徵可為狹窄及∕或凹陷開口、特徵部內之收縮部、及高深寬比之一或更多者。特徵部可形成在上述層之一或更多者中。例如,特徵部可至少部分地形成在介電層中。在某些實施例中,特徵部之深寬比可為至少約2:1、至少約4:1、至少約6:1、至少約10:1或更高。特徵部之一範例為在半導體基板或基板上之一層中之孔洞或介層窗。The methods described herein are carried out on a substrate that may be placed in a chamber. The substrate can be a germanium wafer, such as a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including one or more layers of material deposited thereon (eg, a layer of dielectric, conductive, or semi-conductive material) Wafer. The substrate can have features, such as vias or contact openings, which can be characterized by narrow and ∕ or recessed openings, constrictions within the features, and high aspect ratios of one or more. The feature portion may be formed in one or more of the above layers. For example, features can be formed at least partially in the dielectric layer. In certain embodiments, the features may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1, at least about 10:1, or higher. One example of a feature is a hole or via in a layer on a semiconductor substrate or substrate.

圖1B-1H為各種結構之概要範例,可根據所揭露的實施例而沉積鎢在該等結構中。圖1B顯示待以鎢填充之垂直特徵部101之橫剖面圖之範例。該特徵部可包含在基板103中之特徵部孔105。孔105或其它特徵部之靠近開口之尺寸(例如,開口直徑或線寬)可介於約10 nm至500 nm之間(例如,介於約25 nm至300 nm之間)。特徵部孔105可稱為未填充特徵部或直接稱為特徵部。該特徵部及任何特徵部之部份特徵為延伸穿過特徵部長度之軸118,垂直定向的特徵部具有垂直軸而水平定向的特徵部具有水平軸。1B-1H are schematic illustrations of various structures in which tungsten can be deposited in accordance with the disclosed embodiments. FIG. 1B shows an example of a cross-sectional view of a vertical feature 101 to be filled with tungsten. The feature can include feature holes 105 in the substrate 103. The size of the apertures 105 or other features near the opening (eg, opening diameter or line width) may be between about 10 nm and 500 nm (eg, between about 25 nm and 300 nm). The feature apertures 105 may be referred to as unfilled features or directly referred to as features. A portion of the feature and any features are characterized by a shaft 118 extending through the length of the feature, the vertically oriented feature having a vertical axis and the horizontally oriented feature having a horizontal axis.

在某些實施例中,特徵部為在3D NAND結構中之溝槽。例如,基板可包含具有至少60條線之字元線結構,具有18至48層,溝槽至少200Å深。另一範例為在基板或層中之溝槽。特徵部可具有任何深度。在各種實施例中,特徵部可具有底層,例如阻障層或黏著層。底層之非限制範例包含介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。In some embodiments, the features are trenches in a 3D NAND structure. For example, the substrate can comprise a word line structure having at least 60 lines, having 18 to 48 layers, and the trenches being at least 200 Å deep. Another example is a trench in a substrate or layer. The feature can have any depth. In various embodiments, the features can have a bottom layer, such as a barrier layer or an adhesive layer. Non-limiting examples of the underlayer include a dielectric layer and a conductive layer such as tantalum oxide, tantalum nitride, tantalum carbide, metal oxide, metal nitride, metal carbide, and metal layers.

圖1C顯示具有凹陷(re-entrant)輪廓之特徵部101之範例。凹陷輪廓係由特徵部之底部、封閉端、或內部變窄至特徵部開口之輪廓。根據各種實施例,輪廓可逐漸變窄及∕或包含懸伸部(overhang)於特徵部開口處。圖1C顯示後者之範例,底層113做為特徵部孔105之側壁或內表面之襯墊。底層113可為,例如,擴散阻障層、黏著層、成核層、該等層之組合、或任何其它適合的材料。底層113之非限制範例可包含介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在特定實行例中,底層可為Ti、TiN、WN、TiAl、及W之一或多者。底層113形成懸伸部115,俾使底層113在靠近特徵部101之開口之厚度比在特徵部101內部之厚度更厚。FIG. 1C shows an example of a feature 101 having a re-entrant profile. The concave profile is narrowed from the bottom, closed end, or interior of the feature to the contour of the feature opening. According to various embodiments, the profile may be tapered and/or overhanged at the feature opening. Figure 1C shows an example of the latter, with the bottom layer 113 acting as a liner for the sidewall or inner surface of the feature aperture 105. The bottom layer 113 can be, for example, a diffusion barrier layer, an adhesive layer, a nucleation layer, a combination of such layers, or any other suitable material. Non-limiting examples of the bottom layer 113 can include a dielectric layer and a conductive layer such as tantalum oxide, tantalum nitride, tantalum carbide, metal oxide, metal nitride, metal carbide, and metal layers. In a particular embodiment, the bottom layer can be one or more of Ti, TiN, WN, TiAl, and W. The bottom layer 113 forms an overhang 115 such that the thickness of the bottom layer 113 near the opening of the feature portion 101 is thicker than the thickness of the interior of the feature portion 101.

在某些實行例中,可填充其內具有一或更多收縮部之特徵部。圖1D顯示具有收縮部之各種填充特徵部之視圖之範例。圖1D之範例 (a)、(b)、及 (c) 其中每一者包含收縮部109於該特徵部內之中間點。收縮部109可為,例如,在約15 nm-20 nm之間之寬度。在使用習知技術將鎢沉積於特徵部中之期間,收縮部可能造成夾止,已沉積的鎢在特徵部之該部分被填充前,阻擋進一步的沉積通過收縮部,導致在特徵部中出現空隙。範例 (b)更包含襯墊∕阻障懸伸部115於特徵部開口處。這樣的懸伸部亦可為潛在的夾止點。範例 (c) 包含收縮部112,收縮部112比範例 (b)之懸伸部115更遠離場區。In some embodiments, features having one or more constrictions therein may be filled. Figure 1D shows an example of a view of various fill features with constrictions. Examples of Figures 1D (a), (b), and (c) each of which includes a constriction 109 at an intermediate point within the feature. The constriction 109 can be, for example, a width between about 15 nm and 20 nm. During the deposition of tungsten into the features using conventional techniques, the constrictions may cause pinching, and the deposited tungsten blocks further deposition through the constrictions before the portion of the features is filled, resulting in appearance in the features. Void. Example (b) further includes a cushion ∕ barrier overhang 115 at the feature opening. Such an overhang can also be a potential pinch point. Example (c) includes a constriction 112 that is further from the field than the overhang 115 of the example (b).

亦可填充水平特徵部,例如在3-D記憶體結構中之水平特徵部。圖1E顯示包含收縮部151之水平特徵部150之範例。例如,水平特徵部150可為在VNAND結構中之字元線。Horizontal features, such as horizontal features in a 3-D memory structure, can also be filled. FIG. 1E shows an example of a horizontal feature 150 that includes a constriction 151. For example, horizontal feature 150 can be a word line in a VNAND structure.

在某些實行例中,收縮部可能由在VNAND或其它結構中存在之支柱所造成。例如,圖1F顯示在VNAND或垂直整合記憶體(VIM)結構148中之支柱125之平面圖,而圖1G顯示支柱125之橫剖面圖之簡化概要圖。圖1F中之箭頭代表沉積材料;由於支柱125設置於區域127與氣體入口或其它沉積來源之間,相鄰的支柱可能產生收縮部151,造成區域127之無空隙填充之挑戰。In some embodiments, the constrictions may be caused by struts that are present in VNAND or other structures. For example, Figure 1F shows a plan view of a post 125 in a VNAND or Vertical Integrated Memory (VIM) structure 148, while Figure 1G shows a simplified schematic view of a cross-sectional view of the post 125. The arrows in Figure 1F represent the deposited material; as the struts 125 are disposed between the regions 127 and the gas inlet or other deposition source, adjacent struts may create constrictions 151, creating the challenge of void-free filling of the regions 127.

可藉由,例如,在基板100上沉積交替的層間介電層129及犧牲層(未顯示)之堆疊及選擇性蝕刻犧牲層而形成結構148。層間介電層可為,例如,矽氧化物及∕或矽氮化物層,而犧牲層為可以蝕刻劑進行選擇性蝕刻之材料。接著可進行蝕刻及沉積處理,以形成支柱125,其可包含已完成記憶體元件之通道區域。The structure 148 can be formed by, for example, depositing a stack of alternating interlayer dielectric layers 129 and sacrificial layers (not shown) on the substrate 100 and selectively etching the sacrificial layer. The interlayer dielectric layer can be, for example, a tantalum oxide and a tantalum or tantalum nitride layer, and the sacrificial layer is a material that can be selectively etched by an etchant. Etching and deposition processes can then be performed to form pillars 125, which can include channel regions of completed memory components.

基板100之主表面可在x及y方向上延伸,而支柱125在z方向上定向。在圖1F及圖1G之範例中,支柱125係以偏移之方式配置,俾使在x方向上直接相鄰之支柱125在y方向上係彼此偏移,反之亦然。根據各種實行例,支柱(以及由相鄰支柱所形成之對應的收縮部)可以任何數量之方式加以配置。此外,支柱125可為任何形狀,包含圓形、正方形、等。支柱125可包含環形的半導電材料、或圓形(或正方形)的半導電材料。閘極介電質可包圍該半導電材料。在每一層間介電層129之間之區域可以鎢加以填充;因此,結構148具有待填充之複數堆疊的水平定向特徵部,其延伸於x及∕或y方向。The major surface of the substrate 100 may extend in the x and y directions while the pillars 125 are oriented in the z direction. In the example of FIGS. 1F and 1G, the struts 125 are configured in an offset manner such that the struts 125 directly adjacent in the x direction are offset from each other in the y direction, and vice versa. According to various embodiments, the struts (and corresponding constrictions formed by adjacent struts) can be configured in any number of ways. Further, the post 125 can be any shape including a circle, a square, and the like. The post 125 can comprise an annular semiconducting material, or a circular (or square) semiconducting material. A gate dielectric can surround the semiconducting material. The region between each of the interlayer dielectric layers 129 may be filled with tungsten; thus, the structure 148 has a plurality of stacked horizontally oriented features to be filled that extend in the x and ∕ or y directions.

圖1H提供,例如,VNAND或包含支柱收縮部151之其它結構之水平特徵部的視圖之另一範例。圖1H中之範例為末端開放式,待沉積之材料能夠由箭頭所示之兩側水平地進入。(應當注意,圖1H中之範例可視為以2D加以描繪之3D結構特徵部,圖1H為待填充區域之橫剖面圖,且圖中所示之支柱收縮部代表平視圖而非橫剖面圖中可看到之收縮部)。 在某些實行例中,3-D 結構之特徵可為待填充區域沿著二維或三維(例如在圖1G之範例中之x及y或x、y及z方向)延伸,且相較於填充沿著一維或二維延伸之孔或溝槽,此填充可帶來更多挑戰。例如,控制3-D結構之填充十分具有挑戰性,因為複數沉積氣體可從數個維度進入特徵部。FIG. 1H provides another example of a view of, for example, a VNAND or a horizontal feature that includes other structures of the pillar constrictions 151. The example in Figure 1H is open ended and the material to be deposited can be accessed horizontally by the sides indicated by the arrows. (It should be noted that the example in FIG. 1H can be regarded as a 3D structural feature depicted in 2D, FIG. 1H is a cross-sectional view of the region to be filled, and the pillar constriction shown in the figure represents a flat view rather than a cross-sectional view. The constriction can be seen). In some embodiments, the 3-D structure may be characterized by a region to be filled that extends in two or three dimensions (eg, x and y or x, y, and z directions in the example of FIG. 1G), as compared to Filling holes or trenches that extend along one or two dimensions can create additional challenges. For example, controlling the filling of 3-D structures is very challenging because multiple deposition gases can enter the features from several dimensions.

對於水平定向及垂直定向特徵部之特徵部填充之範例係描述於下。應當注意,在大部分的情況中,範例係適用於水平定向或垂直定向特徵部兩者。此外,亦應注意,在以下的敘述中,用語“橫向可用於表示與特徵部軸為大致正交之方向,而用語“垂直表示大致沿著特徵部軸的方向。An example of feature filling for horizontally oriented and vertically oriented features is described below. It should be noted that in most cases, the examples are applicable to both horizontally oriented or vertically oriented features. In addition, it should be noted that in the following description, the term "transverse" can be used to indicate a direction substantially orthogonal to the axis of the feature, and the term "vertical" means a direction substantially along the axis of the feature.

雖然以下的敘述聚焦於鎢特徵部填充,但揭露內容之態樣亦可實行於以其它材料填充特徵部。例如,使用本文中所述之一或更多技術之特徵部填充可用於以其它材料填充特徵部,包含其它含鎢材料(例如,鎢氮化物(WN)及鎢碳化物(WC))、含鈦材料(例如,鈦(Ti)、鈦氮化物(TiN)、鈦矽化物(TiSi)、鈦碳化物(TiC)及鈦鋁化物(TiAl))、含鉭材料(例如,鉭(Ta)及鉭氮化物(TaN))、及含鎳材料(例如,鎳(Ni)及鎳矽化物(NiSi))。再者,本文中所揭露的方法及設備並非侷限於特徵部填充,而可使用於沉積鎢在任何合適的表面上,包含在平坦表面上形成無圖案膜。Although the following description focuses on tungsten feature fill, the disclosed aspects can also be practiced to fill features with other materials. For example, feature fills using one or more of the techniques described herein can be used to fill features with other materials, including other tungsten-containing materials (eg, tungsten nitride (WN) and tungsten carbide (WC)), including Titanium materials (for example, titanium (Ti), titanium nitride (TiN), titanium telluride (TiSi), titanium carbide (TiC) and titanium aluminide (TiAl)), germanium-containing materials (for example, tantalum (Ta) and Niobium nitride (TaN), and nickel-containing materials (for example, nickel (Ni) and nickel telluride (NiSi)). Moreover, the methods and apparatus disclosed herein are not limited to feature fills, but can be used to deposit tungsten on any suitable surface, including on a flat surface to form an unpatterned film.

圖2A提供根據所揭露實施例所實施之方法之處理流程圖。圖2A之操作202-210係實施以藉由ALD而沉積鎢成核層。在本文中所述之各種實施例中,實施操作202-210之壓力係低於操作280。例如,操作202-210可在小於約10 Torr之低壓下實施。在某些範例中,操作202-210在約10 Torr之壓力、或約3 Torr之壓力下實施。不受限於特定理論,吾人認為,由於膜沉積時在腔室中之含氟前驅物之較低分壓,使得較少的氟被併入膜中,所以在低壓下實施操作202-210會減少在沉積鎢膜中之氟濃度。在申請日為2015年5月27日之美國專利申請案第14/723,275號中,進一步描述在低壓下沉積鎢成核層以達成低氟濃度之沉積鎢之處理之範例。2A provides a process flow diagram of a method implemented in accordance with the disclosed embodiments. Operations 202-210 of Figure 2A are performed to deposit a tungsten nucleation layer by ALD. In various embodiments described herein, the pressure to perform operations 202-210 is lower than operation 280. For example, operations 202-210 can be performed at a low pressure of less than about 10 Torr. In some examples, operations 202-210 are performed at a pressure of about 10 Torr, or a pressure of about 3 Torr. Without being bound by a particular theory, it is believed that the operation of 202-210 at low pressure will result in less fluorine being incorporated into the membrane due to the lower partial pressure of the fluorine-containing precursor in the chamber during film deposition. Reduce the concentration of fluorine in the deposited tungsten film. An example of a process of depositing a tungsten nucleation layer at a low pressure to achieve a low fluorine concentration deposition of tungsten is further described in U.S. Patent Application Serial No. 14/723,275, filed on May 27,.

在操作202中,使基板暴露至含鎢前驅物,例如WF6 。為了在本文中說明之目的,雖然使用WF6 做為含鎢前驅物之範例,但應當了解,其它含鎢前驅物可能適合用來實施所揭露的實施例。例如,可使用金屬-有機含鎢前驅物。亦可使用有機-金屬前驅物及無氟前驅物,例如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)。含鎢前驅物可包含這些化合物之組合。在某些實施例中,在操作202期間,可使載氣流入,例如氮(N2 )、氬(Ar)、氦(He)、或其它惰性氣體。In operation 202, the substrate is exposed to a tungsten-containing precursor, such as WF 6. For purposes of the description herein, while WF 6 is used as an example of a tungsten-containing precursor, it should be understood that other tungsten-containing precursors may be suitable for use in practicing the disclosed embodiments. For example, a metal-organic tungsten-containing precursor can be used. Organic-metal precursors and fluorine-free precursors such as MDNOW (methylcyclopentadiene-dicarbonyl nitrosonium-tungsten) and EDNOW (ethylcyclopentadiene-dicarbonyl nitrosonium-tungsten) can also be used. . The tungsten-containing precursor may comprise a combination of these compounds. In certain embodiments, during operation 202, a carrier gas stream may be introduced, such as nitrogen (N 2 ), argon (Ar), helium (He), or other inert gas.

操作202之實施可進行任何合適的持續期間及在任何合適的溫度。在某些範例中,實施操作202之持續期間可在約0.25秒與約30秒、約0.25秒與約5秒、或約0.5秒與3秒之間。在某些實施例中,實施此操作之持續期間可能足以使基板表面上之活性位置達到飽和。Operation 202 can be performed for any suitable duration and at any suitable temperature. In some examples, the duration of performing operation 202 can be between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 5 seconds, or about 0.5 seconds and 3 seconds. In some embodiments, the duration of performing this operation may be sufficient to saturate the active sites on the surface of the substrate.

在操作204中,選擇性地吹淨腔室,以移除未吸附至基板表面之過量WF6 。吹淨(purge)之實施可藉由使惰性氣體在固定壓力下流動,藉此而降低腔室之壓力並且在開始另一氣體暴露之前維持腔室之壓力。In operation 204, selectively purge the chamber to remove the excess unadsorbed WF 6 to the substrate surface. The purge can be performed by flowing the inert gas under a fixed pressure, thereby reducing the pressure of the chamber and maintaining the pressure of the chamber before starting another gas exposure.

在操作206中,使基板暴露至還原劑,以沉積鎢成核層。還原劑可為硼烷、矽烷或鍺烷。硼烷之範例包含甲硼烷(BH3 )、二硼烷(B2 H6 )、三硼烷、烷基硼烷、胺基硼烷、碳硼烷、及鹵硼烷。矽烷之範例包含甲矽烷(SiH4 )、二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、烷基矽烷、胺基矽烷、碳矽烷、及鹵矽烷。鍺烷包含Gen Hn+4 、Gen Hn+6 、Gen Hn+8 及Gen Hm ,其中n是1至10之整數,且n是與m不同之整數。亦可使用其它鍺烷,例如,烷基鍺烷、胺基鍺烷、碳鍺烷、及鹵鍺烷。通常,鹵鍺烷可能不具有明顯的還原能力,但可能有處理條件及含鎢前驅物適合於使用鹵鍺烷之膜生成。In operation 206, the substrate is exposed to a reducing agent to deposit a tungsten nucleation layer. The reducing agent can be borane, decane or decane. Examples of borane include borane (BH 3 ), diborane (B 2 H 6 ), triborane, alkyl borane, amine borane, carborane, and haloborane. Examples of decane include methooxane (SiH 4 ), dioxane (Si 2 H 6 ), trioxane (Si 3 H 8 ), alkyl decane, amino decane, carbon decane, and halodecane. The decane includes Ge n H n+4 , Ge n H n+6 , Ge n H n+8 , and Ge n H m , where n is an integer from 1 to 10, and n is an integer different from m. Other decanes such as alkyl decane, amino decane, carbon decane, and halodecane may also be used. In general, halodecane may not have significant reducing power, but may have processing conditions and a tungsten-containing precursor suitable for film formation using halodecane.

操作206之實施可進行任何合適的持續期間。在某些範例中,示例性持續期間包含在約0.25秒與約30秒、約0.25秒與約5秒、或約0.5秒與3秒之間。在某些實施例中,此操作可能足以與在基板表面上之WF6 吸附層進行反應。實施操作206之持續期間可能超出這些示例性範圍。在某些實施例中,可使用載氣,例如,氬(Ar)、氦(He)或氮(N2 )。The implementation of operation 206 can be performed for any suitable duration. In some examples, the exemplary duration is comprised between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 5 seconds, or between about 0.5 seconds and 3 seconds. In certain embodiments, this operation may be sufficient to react with the WF 6 adsorption layer on the surface of the substrate. The duration of implementing operation 206 may be outside of these exemplary ranges. In certain embodiments, the carrier gas may be used, e.g., argon (Ar), helium (He) or nitrogen (N 2).

在操作206之後,可能有選擇性的吹淨步驟,以吹淨仍然為氣相且未與特徵部表面上之WF6 進行反應之過量還原劑。吹淨之實施可藉由使惰性氣體在固定壓力下流動,藉此而降低腔室之壓力並且在開始另一氣體暴露之前維持腔室之壓力。After operation 206, a selective purge step may be performed to purge excess reducing agent that is still in the gas phase and that does not react with WF 6 on the surface of the feature. The purging can be carried out by flowing the inert gas at a fixed pressure, thereby reducing the pressure of the chamber and maintaining the pressure of the chamber before starting another gas exposure.

在操作210中,判定鎢成核層是否已經沉積至適當厚度。若不是的話,則重複操作202-208直到在特徵部表面上沉積期望厚度之鎢成核層。操作202-208之每一重複可稱之為ALD“循環。在某些實施例中,可能顛倒操作202及206之順序,俾使還原劑先被導入。In operation 210, it is determined whether the tungsten nucleation layer has been deposited to a suitable thickness. If not, operations 202-208 are repeated until a desired thickness of tungsten nucleation layer is deposited on the surface of the feature. Each iteration of operations 202-208 may be referred to as an ALD "cycle". In some embodiments, the order of operations 202 and 206 may be reversed to cause the reductant to be introduced first.

在鎢成核層沉積至適當厚度之後,在操作280中,藉由順序CVD以沉積主體鎢。在各種實施例中,實施操作280之壓力可大於在操作202-210期間之壓力。例如,實施操作280之壓力可大於或等於約10 Torr,例如約10 Torr、或約40 Torr。After the tungsten nucleation layer is deposited to a suitable thickness, in operation 280, bulk tungsten is deposited by sequential CVD. In various embodiments, the pressure to perform operation 280 can be greater than the pressure during operations 202-210. For example, the pressure at which operation 280 is performed can be greater than or equal to about 10 Torr, such as about 10 Torr, or about 40 Torr.

圖2B提供可在操作280期間實施之操作之處理流程圖。應當注意,可在不實施圖2A之操作之情況下實施圖2B之操作。圖2C提供時序次序圖,以描繪在處理200中之順序CVD之示例性循環。圖3A-3J係順序CVD之循環之示例性機制之概要圖。FIG. 2B provides a process flow diagram of operations that may be performed during operation 280. It should be noted that the operation of FIG. 2B can be implemented without implementing the operations of FIG. 2A. FIG. 2C provides a timing sequence diagram to depict an exemplary cycle of sequential CVD in process 200. 3A-3J are schematic diagrams of exemplary mechanisms for sequential CVD cycles.

在圖2B之操作282中,使基板暴露至還原劑,例如H2 。此操作可稱之為“脈衝或“劑量,其可能在本文中交替地使用。在本文所述之實施例中,H2 係提供做為示例性還原劑,但應當了解,可使用其它還原劑,包含矽烷、硼烷、鍺烷、膦、含氫氣體、及其組合。與非順序CVD不同,H2 係以脈衝式提供而不流入另外的反應劑。在某些實施例中,可流入載氣。載氣可為關於圖2A中之操作206所述之載氣其中任一者。操作282之實施可進行任何合適的持續期間。在某些範例中,示例性持續期間包含在約0.25秒與約30秒、約0.25秒與約5秒、或約0.5秒與3秒之間。At operation 282 of FIG. 2B, the exposing the substrate to a reducing agent such as H 2. This operation may be referred to as "pulsing" or "dosing", which may be used interchangeably herein. In an embodiment of the herein, H 2 as an exemplary system to provide the reducing agent, it should be appreciated that other reducing agents may be used, containing silicon, borane, germane, phosphine, hydrogen-containing gas, and combinations thereof. And various non-sequential CVD, H 2 pulse train to provide additional reactants without flowing. In some embodiments, a carrier gas can be flowed in. The carrier gas can be any of the carrier gases described with respect to operation 206 in Figure 2A. The implementation of operation 282 can be performed for any suitable duration. In some examples, the exemplary duration is comprised between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 5 seconds, or between about 0.5 seconds and 3 seconds.

圖2C顯示在沉積循環211A中之H2 劑量220A,其可對應至圖2B之操作282。在H2 劑量220A期間,載氣流入、脈衝式提供還原劑、且關閉WF6 流動。H in FIG. 2C shows deposition cycle 211A in 2 doses of 220A, which may correspond to operation 282 of FIG. 2B. During the H 2 dose of 220 A, the carrier gas streamed in, pulverized to provide a reducing agent, and the WF 6 flow was turned off.

圖3A描繪示例性機制,其中將H2 引入至基板300,基板300上沉積有鎢成核層301。將氣態氫(311a及311b)引入,且某些H2 (313a及313b)係在鎢成核層301之表面上,但可能不必然吸附至表面上。例如,H2 可能不必然化學吸附至成核層301上,但在某些實施例中,可能物理吸附至成核層301之表面上。FIG. 3A depicts an exemplary mechanism in which H 2 is introduced to a substrate 300 on which a tungsten nucleation layer 301 is deposited. Gaseous hydrogens (311a and 311b) are introduced, and some of the H 2 (313a and 313b) are on the surface of the tungsten nucleation layer 301, but may not necessarily adsorb to the surface. For example, H 2 may not be necessarily chemically adsorbed on the nucleation layer 301, in some embodiments, may be physically adsorbed to the upper surface 301 of the nucleation layer.

回到圖2B,在操作284中,吹淨腔室。此吹淨操作可移除仍然為氣相之過量H2 。吹淨之實施可藉由使惰性氣體在固定壓力下流動,藉此而降低腔室之壓力並且在開始另一氣體暴露之前維持腔室之壓力。腔室之吹淨可進行任何合適的持續期間,例如,在約0.1秒與約3秒之間之持續期間。圖2B之操作284可對應至圖2C之吹淨階段240A。如圖2C所示,在吹淨階段240A期間,使載氣流動但關閉H2 流動及WF6 流動。圖3B顯示示例性圖式,其中先前為氣態之氫(圖3A中之311a及311b)從腔室中被吹除,而先前在表面上之氫(313a及313b)維持在鎢成核層301之表面上。Returning to Figure 2B, in operation 284, the chamber is purged. This purge operation removes excess H 2 which is still in the gas phase. The purging can be carried out by flowing the inert gas at a fixed pressure, thereby reducing the pressure of the chamber and maintaining the pressure of the chamber before starting another gas exposure. The purge of the chamber can be carried out for any suitable duration, for example, for a duration of between about 0.1 seconds and about 3 seconds. Operation 284 of Figure 2B may correspond to the purge phase 240A of Figure 2C. As shown in Fig. 2C, during the purge phase 240A, the carrier gas is caused to flow but the H 2 flow and the WF 6 flow are closed. 3B shows an exemplary diagram in which previously hydrogen in the gaseous state (311a and 311b in FIG. 3A) is blown out of the chamber while the hydrogen (313a and 313b) previously on the surface is maintained in the tungsten nucleation layer 301. On the surface.

回到圖2B,在操作286中,使基板暴露至含鎢前驅物(例如,WF6 ),以在基板上形成次單層膜。在各種實施例中,在此操作期間,WF6 流動至腔室在約0.1秒與約3秒之間、或約0.5秒之持續期間。在某些實施例中,在用劑(dosing)之前,可使WF6 轉向以填充氣體管線及管線變更。在某些實施例中,WF6 流至腔室但不與在基板表面上之所有H2 分子完全反應。操作286可對應至圖2C中之WF6 劑量260A。如圖2C所示,在WF6 劑量260A期間,使載氣流動,關閉H2 流動,以及開啟WF6 流動。Returning to Figure 2B, in operation 286, the substrate is exposed to a tungsten-containing precursor (e.g., WF 6), to form a sub-monolayer film on the substrate. In various embodiments, during this operation, WF 6 flows to the chamber for a duration of between about 0.1 seconds and about 3 seconds, or for about 0.5 seconds. In certain embodiments, WF 6 can be diverted to fill gas lines and pipeline changes prior to dosing. In certain embodiments, WF 6 flows to the chamber but does not fully react with all of the H 2 molecules on the surface of the substrate. Operation 286 may correspond to WF 6 dose 260A in Figure 2C. As shown in Figure 2C, during the WF 6 dose of 260 A, the carrier gas was flowed, the H 2 flow was turned off, and the WF 6 flow was turned on.

圖3C顯示圖2B之操作286之示例性概要圖。在圖3C中,使基板暴露至WF6 ,一些WF6 是氣態(331a及331b),而一些WF6 在基板表面處或附近(323a及323b)。FIG. 3C shows an exemplary overview of operation 286 of FIG. 2B. In Figure 3C, the substrate is exposed to WF 6, WF 6 gaseous number (331 a and 331b), and some WF 6 at or near the surface of the substrate (323a and 323b).

在圖2B之操作286期間,一些WF6 可與來自先前劑量且留存在表面上之H2 進行反應。如圖3D所示,WF6 可與H2 進行反應,以暫時形成中間產物343b,藉此在圖3E中,中間產物343b完全反應以留下鎢390在基板300之表面上之成核層301上,且HF為氣態(351a及351b,例如)。During operation 286 of FIG. 2B, a number of WF 6 may be retained from a previous dose and H 2 on the surface of the reaction. As shown in FIG. 3D, WF 6 may be reacted with H 2 to temporarily form intermediate product 343b, whereby in FIG. 3E, intermediate product 343b is completely reacted to leave nucleation layer 301 of tungsten 390 on the surface of substrate 300. Above, and HF is in a gaseous state (351a and 351b, for example).

在圖2B之操作286期間,一些WF6 可能不與來自先前劑量且留存在表面上之H2 完全反應。如圖3D所示,WF6 可能與H2 部分反應,以形成中間產物343a,藉此在圖3E中,中間產物343a維持部分反應在基板300之表面上之成核層301上。對於鎢成核層之沉積而言,由於活化能障壁及立體效應,涉及WF6 及H2 之反應機制可能慢於在硼烷或矽烷或鍺烷與WF6 之間之反應。例如,不受限於特定理論,WF6 之化學計量可使用至少三個H2 分子以與一個WF6 分子進行反應。有可能,WF6 與H2 分子部分反應,而不是形成鎢,而形成中間產物。例如,此可能發生於沒有足夠的H2 在其附近以根據化學計量原理(例如,三個H2 分子用於與一個WF6 分子進行反應)與WF6 進行反應,因此留下中間產物343a於基板表面上。During operation 286 of FIG. 2B, a number of WF 6 may not be retained from previous dose and H 2 on the surface of the complete reaction. As shown in FIG. 3D, WF 6 may react with the H 2 moiety to form an intermediate product 343a, whereby in FIG. 3E, the intermediate product 343a remains partially reacted on the nucleation layer 301 on the surface of the substrate 300. For the deposition of tungsten nucleation layer, the reaction mechanism involving WF 6 and H 2 may be slower than the reaction between borane or decane or decane and WF 6 due to activation energy barrier and steric effect. For example, without limitation to a particular theory, the stoichiometry of WF 6 can use at least three H 2 molecules to react with one WF 6 molecule. It is possible that WF 6 reacts partially with the H 2 molecule instead of forming tungsten to form an intermediate product. For example, this may occur when there is not enough H 2 in its vicinity to react with WF 6 according to stoichiometric principles (eg, three H 2 molecules are used to react with one WF 6 molecule), thus leaving intermediate product 343a On the surface of the substrate.

在圖2B之操作286期間,一些WF6 可能完全不與H2 進行反應,而可能物理吸附至沒有H2 物理吸附或留存之基板表面上。在某些實施例中,WF6 可留存在基板表面上,但可能不物理吸附或化學吸附至該表面。During operation 286 of FIG. 2B, a number of WF 6 may not completely react with H 2, but may not physically adsorbed on the substrate surface H 2 of physically adsorbed or retained. In certain embodiments, WF 6 may remain on the surface of the substrate, but may not physically adsorb or chemisorb to the surface.

藉此,在許多實施例中,圖2B之操作286可能形成鎢之次單層。例如,在實施操作282-286之後,可能沉積厚度約0.3 Å之次單層。Thus, in many embodiments, operation 286 of FIG. 2B may form a sub-monolayer of tungsten. For example, after performing operations 282-286, it is possible to deposit a single layer having a thickness of about 0.3 Å.

在圖2B之操作288中,吹淨腔室,以從腔室移除未反應的副產物以及氣相之WF6 。在某些實施例中,在操作288中之太短的吹淨持續期間可能增加非順序CVD反應特性,因此將沉積應力較高的膜。在某些實施例中,吹淨持續期間在約0.1秒與約2秒之間,並且可能防止由於WF6 對鎢表面之低吸附率而從基板表面移除所有的WF6 。在某些實施例中,吹淨持續期間在約0.1秒與約15秒之間,例如約7秒。例如,對於3D NAND結構之製造而言,在操作288期間,可對腔室進行吹淨約7秒。吹淨持續期間取決於基板及應力。At operation 288 of FIG. 2B, the purge chamber to remove unreacted WF byproducts from the chamber 6 and the gas. In certain embodiments, the non-sequential CVD reaction characteristics may increase during the too short purge duration in operation 288, and thus a higher stress film will be deposited. In certain embodiments, the purge duration is between about 0.1 seconds and about 2 seconds, and it is possible to prevent removal of all WF 6 from the substrate surface due to the low adsorption rate of WF 6 to the tungsten surface. In certain embodiments, the purge duration is between about 0.1 seconds and about 15 seconds, such as about 7 seconds. For example, for the fabrication of a 3D NAND structure, during operation 288, the chamber can be blown off for about 7 seconds. The duration of blowing is dependent on the substrate and stress.

圖2B之操作288可對應至圖2C之吹淨階段270A。如圖2C所示,吹淨階段270A結束沉積循環211A。圖3F提供當吹淨腔室時,基板之示例性概要圖。應當注意,化合物343c可為已形成但未完全反應之中間產物,而一些鎢390可能形成在基板上。每一循環藉此而形成鎢之次單層於基板上。Operation 288 of Figure 2B may correspond to the purge phase 270A of Figure 2C. As shown in FIG. 2C, the purge phase 270A ends the deposition cycle 211A. Figure 3F provides an exemplary schematic view of the substrate as the chamber is purged. It should be noted that compound 343c may be an intermediate product that has formed but is not fully reacted, while some tungsten 390 may be formed on the substrate. Each cycle thereby forms a single layer of tungsten on the substrate.

在某些實施例中,可能顛倒操作286及282,俾使在操作282之前實施286。在某些實施例中,可在操作286之前實施282。In some embodiments, operations 286 and 282 may be reversed such that 286 is performed prior to operation 282. In some embodiments, 282 can be implemented prior to operation 286.

在圖2B之操作290中,判定主體鎢是否已經沉積至適當厚度。若不是的話,則重複操作282-288直到沉積至期望厚度。在某些實施例中,重複操作282-288直到特徵部被填滿。在圖2C中,判定主體鎢尚未沉積至適當厚度,所以在沉積循環211B中重複圖2B之操作282-288,因而實施H2 劑量220B,接著是吹淨階段240B。實施WF6 劑量260B,接著是另一吹淨階段270B。In operation 290 of FIG. 2B, it is determined whether the bulk tungsten has been deposited to a suitable thickness. If not, then operations 282-288 are repeated until deposition to the desired thickness. In some embodiments, operations 282-288 are repeated until the features are filled. In FIG. 2C, it is determined yet bulk tungsten deposited to a suitable thickness, the operation is repeated in FIG. 2B deposition cycle of 282-288 211B, and thus H 2 doses embodiment 220B, followed by blowing stage 240B. A WF 6 dose 260B is implemented, followed by another purge phase 270B.

做為一範例,圖3G顯示在重複循環中之操作282,藉此,將氣態的H2 311c引入至基板,而基板上有沉積的鎢390及部分反應的中間產物343d。應當注意,引入的H2 現在可能與在基板上之中間產物343d完全反應,俾使已反應化合物343d留下沉積的鎢390b及390c,且形成氣態的副產物HF 351c及351d,如圖3H所示。一些H2 311c可能維持於氣態,而一些H2 313c可能留在鎢層390a上。在圖3I中,吹淨腔室(藉此對應至圖2B之操作284、或圖2C之操作240B)、留下沉積的鎢390a, 390b, 390c及一些H2 313c。在圖3J中,再次引入WF6 ,俾使分子331c及323c可接著與H2 及基板吸附及∕或反應。圖3J可對應至圖2B之操作286或圖2C之260B。在WF6 劑量之後,可再次吹淨腔室,並且可再次重複循環直到沉積至鎢之期望厚度。As an example, FIG. 3G show the operation of the repetitive cycle 282, whereby gaseous H 2 311c is introduced to the substrate 390 and the tungsten intermediate portion of the reaction product 343d deposited on the substrate. It should be noted that the introduced H 2 may now completely react with the intermediate product 343d on the substrate, leaving the reacted compound 343d leaving the deposited tungsten 390b and 390c and forming gaseous by-products HF 351c and 351d, as shown in Figure 3H. Show. Some H 2 311c may remain in a gaseous state, while some H 2 313c may remain on the tungsten layer 390a. In FIG. 3I, the purge chamber (thereby corresponds to operation 284 of FIG. 2B, 2C, or the operation of FIG. 240B), leaving the deposited tungsten 390a, 390b, 390c, and some of the H 2 313c. In FIG. 3J, again introducing WF 6 to enabling 331c and 323c may then molecule and / or with H 2 and the substrate adsorption. FIG. 3J may correspond to operation 286 of FIG. 2B or 260B of FIG. 2C. After the WF 6 dose, the chamber can be purged again and the cycle can be repeated again until deposition to the desired thickness of tungsten.

使用所揭露實施例所沉積之鎢膜具有低氟濃度,例如比藉由非順序CVD所沉積之鎢之氟濃度低約兩個數量級。根據硬體或處理修改,可改變沉積條件,例如溫度、脈衝時間、及其它參數。膜之總拉伸應力可小於約1 GPa。The tungsten film deposited using the disclosed embodiments has a low fluorine concentration, for example, about two orders of magnitude lower than the fluorine concentration of tungsten deposited by non-sequential CVD. Deposition conditions such as temperature, pulse time, and other parameters can be varied depending on hardware or process modifications. The total tensile stress of the film can be less than about 1 GPa.

圖3K提供根據所揭露實施例所實施之方法之處理流程圖。在操作280中,藉由順序CVD以沉積主體鎢。處理條件及化學品可為上述之關於圖2B及3A-3J其中任一者。在操作299中,藉由非順序CVD以沉積主體鎢。在非順序CVD期間,使基板同時暴露至含鎢前驅物及還原劑以沉積主體鎢。示例性含鎢前驅物包含含氟前驅物(例如,WF6 )、含氯前驅物(例如,WClx )、及六羰鎢(W(CO)6 )。示例性還原劑包含氫。在某些實施例中,非順序CVD之沉積係藉由使基板暴露至WF6 及H2 。操作280及299可依序實施,或者,在實施操作299之前或之後,可實施任何操作280一或更多次。在某些實施例中,操作280及299可以脈衝的方式實施,俾使每實施操作280二或更多循環再實施操作299。因此,可使用順序CVD及非順序CVD之結合而沉積主體鎢。3K provides a process flow diagram of a method implemented in accordance with the disclosed embodiments. In operation 280, bulk tungsten is deposited by sequential CVD. The processing conditions and chemicals can be as described above with respect to Figures 2B and 3A-3J. In operation 299, bulk tungsten is deposited by non-sequential CVD. During non-sequential CVD, the substrate is simultaneously exposed to a tungsten-containing precursor and a reducing agent to deposit bulk tungsten. Exemplary tungsten-containing precursors include a fluorine-containing precursor (eg, WF 6 ), a chlorine-containing precursor (eg, WCl x ), and tungsten hexacarbonyl (W(CO) 6 ). An exemplary reducing agent comprises hydrogen. In some embodiments, the deposition of non-sequential CVD is performed by exposing the substrate to WF 6 and H 2 . Operations 280 and 299 may be performed sequentially, or any operation 280 may be performed one or more times before or after operation 299 is performed. In some embodiments, operations 280 and 299 can be performed in a pulsed manner such that operation 299 is performed for each implementation operation 280 two or more cycles. Thus, bulk tungsten can be deposited using a combination of sequential CVD and non-sequential CVD.

所揭露的實施例可在鎢沉積處理中具有各種應用。例如,在某些實施例中,特徵部之填充可藉由交替還原劑(例如,硼烷、矽烷、或鍺烷)及WF6 之脈衝之ALD循環以沉積鎢成核層,接著藉由上述之關於圖2B之順序CVD以沉積主體鎢。The disclosed embodiments can have a variety of applications in tungsten deposition processes. For example, in some embodiments, the filling of the features can be performed by alternately a reducing agent (eg, borane, decane, or decane) and a pulsed ALD cycle of WF 6 to deposit a tungsten nucleation layer, followed by The CVD is performed in the order of FIG. 2B to deposit bulk tungsten.

在另一範例中,在某些實施例中,鎢成核層之沉積可使用還原劑及WF6 之ALD循環,接著使用利用還原劑及無氟含鎢前驅物(例如,金屬-有機鎢前驅物)之無氟鎢CVD、及如上述之關於圖2B之順序CVD之組合以沉積主體鎢。無氟鎢前驅物亦可包含鎢羰化合物(W(CO)6 )及鎢氯化物(WClx ),例如五氯化鎢(WCl5 )及六氯化鎢(WCl6 )。In another example, in certain embodiments, the tungsten nucleation layer can be deposited using a reducing agent and an ALD cycle of WF 6 followed by a reducing agent and a fluorine-free tungsten-containing precursor (eg, a metal-organo-tungsten precursor) The fluorine-free tungsten CVD of the material) and the combination of the sequential CVD of FIG. 2B as described above are used to deposit the bulk tungsten. The fluorine-free tungsten precursor may also contain a tungsten carbonyl compound (W(CO) 6 ) and a tungsten chloride (WCl x ) such as tungsten pentachloride (WCl 5 ) and tungsten hexachloride (WCl 6 ).

在另一範例中,可藉由交替還原劑及WF6 之脈衝之ALD循環而將鎢成核層沉積在特徵部上,且可藉由在上述之關於圖2B之順序CVD與非順序CVD之間交替而沉積主體鎢。例如,可在非順序CVD之預定持續期間之間使用數個順序CVD循環而沉積主體鎢。在一特定範例中,主體鎢之沉積可使用約5個順序CVD循環,接著為5秒之非順序CVD,接著為5個順序CVD循環,及另一個5秒之非順序CVD。In another example, a tungsten nucleation layer can be deposited on the features by alternate aging cycles of a reducing agent and a pulse of WF 6 and can be performed by sequential CVD and non-sequential CVD as described above with respect to FIG. 2B. The body tungsten is deposited alternately. For example, bulk tungsten can be deposited using several sequential CVD cycles between predetermined durations of non-sequential CVD. In a particular example, bulk tungsten deposition can be performed using about 5 sequential CVD cycles followed by 5 seconds of non-sequential CVD followed by 5 sequential CVD cycles and another 5 seconds of non-sequential CVD.

在另一範例中,特徵部之填充可藉由首先藉由交替還原劑及WF6 之脈衝之ALD循環而沉積鎢成核層,接著使用順序CVD而部分填充特徵部,以及藉由非順序CVD而填充其餘的特徵部。In another example, the filling of the features can be performed by first depositing a tungsten nucleation layer by alternating aging cycles of a reducing agent and WF 6 pulses, then partially filling the features using sequential CVD, and by non-sequential CVD. Fill in the rest of the features.

在另一範例中,特徵部之填充可藉由交替還原劑及WF6 之脈衝之ALD循環而沉積鎢成核層,接著藉由順序CVD而部分沉積主體鎢,及藉由無氟鎢之CVD(例如,使用金屬-有機鎢前驅物)而完成主體填充。例如,可實施數個順序CVD循環以用主體鎢而部分填充特徵部,接著藉由使用同時暴露至MDNOW及H2 之CVD以填充其餘的特徵部。應當注意,在某些實施例中,特徵部之填充可能沒有沉積成核層,但成核層可協助減少主體鎢之生長延遲。In another example, the filling of the features can be performed by depositing a tungsten nucleation layer by alternating aging cycles of a reducing agent and a pulse of WF 6 , followed by partial deposition of bulk tungsten by sequential CVD, and CVD by fluorine-free tungsten. The body fill is completed (for example, using a metal-organic tungsten precursor). For example, it may be implemented in a number of sequential cycles CVD tungsten and partially filled with a body feature, then exposed to the same time by using MDNOW H CVD 2 and to fill the remaining portion of the feature. It should be noted that in some embodiments, the filling of features may not deposit a nucleation layer, but the nucleation layer may assist in reducing the growth retardation of bulk tungsten.

應當了解,可使用本文中所述之應用之各種組合以沉積鎢,且方法不限於本文中所提出之範例。例如,可使用含氯鎢前驅物(WClx )(例如,五氯化鎢(WCl5 )及六氯化鎢(WCl6 ),而不是使用在本文所述之實施例中之WF6 或與WF6 一起使用。It will be appreciated that various combinations of the applications described herein can be used to deposit tungsten, and the methods are not limited to the examples presented herein. For example, a tungsten-containing tungsten precursor (WCl x ) (eg, tungsten pentachloride (WCl 5 ) and tungsten hexachloride (WCl 6 ) may be used instead of using WF 6 or in the examples described herein) WF 6 is used together.

在各種實施例中,在沉積成核層之前,可實施浸泡(soak)或表面處理操作。示例性浸泡或表面處理包含,將基板暴露至甲矽烷(SiH4 )、二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、鍺烷(GeH4 )、氬(Ar)、六氟化鎢(WF6 )、二硼烷(B2 H6 )、氫(H2 )、氮(N2 )氣、或其組合。在某些實施例中,可使用一或更多氣體以浸泡基板。例如,在某些實施例中,基板可於第一持續期間暴露至矽烷,接著於第二持續期間暴露至二硼烷。這樣的操作亦可重複複數循環。在另一範例中,基板可於第一持續期間暴露至二硼烷,接著於第二持續期間暴露至矽烷。在另一範例中,基板可於第一持續期間暴露至二硼烷,接著於第二持續期間暴露至氫。在另一範例中,基板可於第一持續期間暴露至矽烷,接著於第二持續期間暴露至氫。在某些實施例中,基板可暴露至氮氣並結合上述浸泡處理其中任一者。在所揭露實施例其中任一者中,在一或更多浸泡操作之間可對於放置著基板之腔室進行吹淨。可藉由使惰性氣體(例如氬)流至腔室中以實施吹淨。例如,在一範例中,基板可於第一持續期間暴露至二硼烷,接著可吹淨腔室,且接著基板可於第二持續期間暴露至矽烷。In various embodiments, a soak or surface treatment operation can be performed prior to depositing the nucleation layer. An exemplary immersion or surface treatment comprises exposing the substrate to formane (SiH 4 ), dioxane (Si 2 H 6 ), trioxane (Si 3 H 8 ), decane (GeH 4 ), argon (Ar), six Tungsten fluoride (WF 6 ), diborane (B 2 H 6 ), hydrogen (H 2 ), nitrogen (N 2 ) gas, or a combination thereof. In certain embodiments, one or more gases may be used to soak the substrate. For example, in certain embodiments, the substrate can be exposed to decane for a first duration and then exposed to diborane for a second duration. Such an operation can also repeat a complex cycle. In another example, the substrate can be exposed to diborane for a first duration and then exposed to decane for a second duration. In another example, the substrate can be exposed to diborane during a first duration and then exposed to hydrogen during a second duration. In another example, the substrate can be exposed to decane during a first duration and then exposed to hydrogen during a second continuation. In certain embodiments, the substrate can be exposed to nitrogen and combined with any of the soaking treatments described above. In any of the disclosed embodiments, the chamber in which the substrate is placed may be purged between one or more soaking operations. Purging can be carried out by flowing an inert gas such as argon into the chamber. For example, in one example, the substrate can be exposed to diborane for a first duration, then the chamber can be purged, and then the substrate can be exposed to the decane for a second duration.

藉由在含鎢前驅物與還原劑之間交替,可在主體鎢層之沉積之前根據某些所揭露實施例而沉積成核層,還原劑例如為甲矽烷(SiH4 )、二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、鍺烷(GeH4 )、或二硼烷(B2 H6 )。在某些實施例中,可藉由使基板暴露至含鎢前驅物及矽烷之交替脈衝而沉積成核層。在某些實施例中,可藉由使基板暴露至含鎢前驅物及二硼烷之交替脈衝而沉積成核層。在某些實施例中,可藉由使基板暴露至含鎢前驅物及矽烷之交替脈衝,接著使基板暴露至含鎢前驅物及二硼烷之交替脈衝,因而沉積成核層。在某些實施例中,可藉由使基板暴露至含鎢前驅物及二硼烷之交替脈衝,接著使基板暴露至含鎢前驅物及矽烷之交替脈衝,因而沉積成核層。在某些實施例中,可藉由使基板暴露至含鎢前驅物及矽烷之交替脈衝,接著使基板暴露至含鎢前驅物及二硼烷之交替脈衝,接著使基板暴露至含鎢前驅物及矽烷之交替脈衝,因而沉積成核層。在某些實施例中,可藉由使基板暴露至含鎢前驅物及二硼烷之交替脈衝,接著使基板暴露至含鎢前驅物及矽烷之交替脈衝,接著使基板暴露至含鎢前驅物及二硼烷之交替脈衝,因而沉積成核層。在所揭露實施例之任一者中,在用以沉積成核層之一或更多劑量操作之間,可對於放置著基板之腔室進行吹淨。可藉由使惰性氣體(例如氬)流至腔室中以實施吹淨。可使用任何合適的惰性氣體以進行吹淨。例如,在某些實施例中,基板可暴露至含鎢前驅物之脈衝,接著可吹淨腔室,接著基板可暴露至矽烷之脈衝,並且可再次吹淨腔室,可以循環的方式重複這樣的操作。By alternating between the tungsten-containing precursor and the reducing agent, a nucleation layer can be deposited according to certain disclosed embodiments prior to deposition of the bulk tungsten layer, such as for example, methane (SiH 4 ), dioxane (Si) 2 H 6 ), trioxane (Si 3 H 8 ), decane (GeH 4 ), or diborane (B 2 H 6 ). In some embodiments, the nucleation layer can be deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and decane. In some embodiments, the nucleation layer can be deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. In some embodiments, the nucleation layer can be deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and decane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. In some embodiments, the nucleation layer can be deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and decane. In some embodiments, the substrate can be exposed to a tungsten-containing precursor by exposing the substrate to alternating pulses of tungsten-containing precursor and decane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. And alternating pulses of decane, thus depositing a nucleation layer. In some embodiments, the substrate can be exposed to a tungsten-containing precursor by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and decane. And alternating pulses of diborane, thus depositing a nucleation layer. In any of the disclosed embodiments, the chamber in which the substrate is placed may be purged between one or more dose operations for depositing the nucleation layer. Purging can be carried out by flowing an inert gas such as argon into the chamber. Any suitable inert gas can be used for purging. For example, in some embodiments, the substrate can be exposed to a pulse containing a tungsten precursor, and then the chamber can be purged, then the substrate can be exposed to a pulse of decane, and the chamber can be purged again, which can be repeated in a cyclic manner. Operation.

可使用在上述實行例之任一者中之成核層沉積可包含在整個成核沉積處理期間、或在矽烷劑量期間、或在二硼烷劑量期間、或在含鎢前驅物劑量(例如WF6 劑量)期間、或在任何吹淨時間共流氫(H2 )、氬(Ar)、氮(N2 )或其組合其中任一者。在某些實施例中,在藉由使基板暴露至甲矽烷、二矽烷、三矽烷、鍺烷、二硼烷、氫、六氟化鎢、氮、氬、及其組合其中任一者之成核生長期間或之後,可實施表面處理操作。例如,在成核層沉積期間,可使基板暴露至矽烷及WF6 之交替脈衝,接著使基板暴露至矽烷浸泡,接著使基板重新暴露至矽烷及WF6 之交替脈衝。可以循環的方式實施這樣的操作。例如,在某些實施例中,可重複下述循環以沉積成核層:SiH4 及WF6 之交替脈衝、及暴露至表面處理。The nucleation layer deposition that can be used in any of the above embodiments can be included throughout the nucleation deposition process, or during the decane dose, or during the diborane dose, or at the tungsten-containing precursor dose (eg, WF). Any of hydrogen (H 2 ), argon (Ar), nitrogen (N 2 ), or a combination thereof, during a 6 dose period, or at any purge time. In certain embodiments, the substrate is formed by exposing the substrate to a combination of methotane, dioxane, trioxane, decane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, and combinations thereof A surface treatment operation may be performed during or after nuclear growth. For example, during nucleation layer deposition, the substrate can be exposed to alternate pulses of Silane WF 6 and then, and then exposing the substrate to the silane-soaking, then re-expose the substrate to the silane-6 and WF of alternating pulses. Such an operation can be performed in a cyclic manner. For example, in certain embodiments, the following cycle may be repeated to deposit a nucleation layer: SiH 4 and WF 6 of the alternating pulses, and exposed to the surface treatment.

在某些實施例中,成核層之沉積可藉由以任何先後順序、以一或更多循環使基板暴露至含鎢前驅物及下述氣體其中任何一或多者之任何組合:二硼烷、甲矽烷、二矽烷、三矽烷、氫、氮、及鍺烷(GeH4 )。例如,在某些實施例中,成核層之沉積可藉由使基板暴露至二硼烷、使基板暴露至六氟化鎢、使基板暴露至甲矽烷、及使基板暴露至氫。可以一或更多循環而重複這樣的操作。在另一範例中,在某些實施例中,成核層之沉積可藉由使基板暴露至二硼烷、使基板暴露至氫、及使基板暴露至六氟化鎢。可以一或更多循環而重複這樣的操作。在另一範例中,在某些實施例中,成核層之沉積可藉由使基板暴露至氮、使基板暴露至二硼烷、及使基板暴露至六氟化鎢。可以一或更多循環而重複這樣的操作。在另一範例中,在某些實施例中,成核層之沉積可藉由使基板暴露至矽烷、使基板暴露至氮、及使基板暴露至六氟化鎢。可以一或更多循環而重複這樣的操作。在所述實施例之任一者中,在使用任何可利用氣體之成核循環之沉積之前、期間、或之後,可使基板暴露至表面處理及∕或浸泡操作。在某些實施例中,在一或更多成核沉積處理之暴露期間,額外的氣體可與上述氣體其中任一者共流。在所揭露實施例之任一者中,在用以沉積成核層之一或更多劑量操作之間,可對於放置著基板之腔室進行吹淨。可藉由使惰性氣體(例如氬)流至腔室中以實施吹淨。可使用任何合適的惰性氣體以進行吹淨。In some embodiments, the nucleation layer can be deposited by exposing the substrate to any one or more of any one or more of the tungsten-containing precursor and the following gases in any order, in one or more cycles: diboron Alkanes, formane, dioxane, trioxane, hydrogen, nitrogen, and decane (GeH 4 ). For example, in some embodiments, deposition of the nucleation layer can be performed by exposing the substrate to diborane, exposing the substrate to tungsten hexafluoride, exposing the substrate to formoxane, and exposing the substrate to hydrogen. This can be repeated in one or more cycles. In another example, in some embodiments, the deposition of the nucleation layer can be performed by exposing the substrate to diborane, exposing the substrate to hydrogen, and exposing the substrate to tungsten hexafluoride. This can be repeated in one or more cycles. In another example, in some embodiments, the deposition of the nucleation layer can be performed by exposing the substrate to nitrogen, exposing the substrate to diborane, and exposing the substrate to tungsten hexafluoride. This can be repeated in one or more cycles. In another example, in some embodiments, the deposition of the nucleation layer can be performed by exposing the substrate to decane, exposing the substrate to nitrogen, and exposing the substrate to tungsten hexafluoride. This can be repeated in one or more cycles. In any of the embodiments, the substrate can be exposed to a surface treatment and/or a immersion operation before, during, or after deposition using any nucleation cycle of available gas. In certain embodiments, additional gas may be co-flowing with any of the gases described above during exposure to one or more nucleation deposition processes. In any of the disclosed embodiments, the chamber in which the substrate is placed may be purged between one or more dose operations for depositing the nucleation layer. Purging can be carried out by flowing an inert gas such as argon into the chamber. Any suitable inert gas can be used for purging.

主體鎢之沉積可使用本文中所揭露的實施例及申請日為2015年5月27日之美國專利申請案第14/723,275其中任一者,該美國專利申請案之全部內容係合併於此做為參考。在上述實行例之任一者中,亦可週期性地沉積主體鎢,而在主體沉積之間實施再成核及∕或浸泡及∕或表面處理及∕或習知CVD沉積操作。例如,在某些實施例中,可使用上述之關於圖2B所揭露的實施例以沉積主體鎢,接著可暫停主體鎢沉積,接著使基板暴露至甲矽烷及WF6 或二硼烷及WF6 之交替脈衝以使基板表面再成核,接著可使用上述之關於圖2B所揭露的實施例而重新開始主體鎢沉積。可以任何數目之循環而重複這樣的操作。在另一範例中,在某些實施例中,可使用上述之關於圖2B所揭露的實施例以沉積主體鎢,接著可暫停主體鎢沉積,接著藉由使甲矽烷、二矽烷、三矽烷、鍺烷、二硼烷、氫、六氟化鎢、氮、氬、及其組合其中任一者流動而使基板暴露至浸泡或表面處理以處理基板表面,接著可使用上述之關於圖2B所揭露的實施例而重新開始主體鎢沉積。主體鎢沉積之實施可藉由使基板暴露至含鎢前驅物(例如WF6 )及下述氣體其中任何一或多者:氫、甲矽烷、二矽烷、三矽烷、二硼烷、氮、氬、及鍺烷。亦可使用在上述之關於圖3K之順序CVD與習知CVD之組合而沉積主體鎢。習知CVD可在使用順序CVD以沉積主體鎢之前、期間(例如在順序與習知CVD之間之循環)或之後實施。The deposition of the bulk tungsten can be carried out using the embodiments disclosed herein and the application of the US Patent Application No. 14/723,275, filed on May 27, 2015. For reference. In any of the above embodiments, the bulk tungsten may also be periodically deposited, and re-nucleation and/or immersion and/or surface treatment and/or conventional CVD deposition operations may be performed between the deposition of the body. For example, in some embodiments, the embodiment disclosed above with respect to FIG. 2B can be used to deposit bulk tungsten, followed by suspension of bulk tungsten deposition, followed by exposure of the substrate to formane and WF 6 or diborane and WF 6 The alternating pulses are used to re-nucleate the surface of the substrate, and then the bulk tungsten deposition can be restarted using the embodiment disclosed above with respect to Figure 2B. This can be repeated in any number of cycles. In another example, in some embodiments, the embodiment disclosed above with respect to FIG. 2B can be used to deposit bulk tungsten, followed by suspending bulk tungsten deposition, followed by methane, dioxane, trioxane, The substrate is exposed to a soak or surface treatment to treat the surface of the substrate by flowing any of decane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, and combinations thereof, and then using the above-described disclosure with respect to Figure 2B The embodiment re-starts bulk tungsten deposition. The bulk tungsten deposition can be performed by exposing the substrate to a tungsten-containing precursor (eg, WF 6 ) and any one or more of the following gases: hydrogen, formane, dioxane, trioxane, diborane, nitrogen, argon. And decane. The bulk tungsten may also be deposited using a combination of the sequential CVD described above with respect to Figure 3K and conventional CVD. Conventional CVD can be performed before or during the use of sequential CVD to deposit bulk tungsten, such as during cycling between sequential and conventional CVD.

在某些實施例中,在沉積主體鎢之前及在沉積成核層之後,可以任何合適的溫度對基板進行退火。在某些實施例中,在沉積主體鎢層之後,可以任何合適的溫度對基板進行退火。在某些實施例中,在主體鎢之沉積期間之中間時間內,可以任何合適的溫度對基板進行退火。可在任何合適的氣體環境中實施退火,例如包含下述氣體其中一或更多者之環境:含鎢氣體(例如WF6 )、氫、甲矽烷、二矽烷、三矽烷、二硼烷、氮、氬、及鍺烷。In some embodiments, the substrate can be annealed at any suitable temperature prior to depositing the bulk tungsten and after depositing the nucleation layer. In some embodiments, the substrate can be annealed at any suitable temperature after deposition of the bulk tungsten layer. In some embodiments, the substrate can be annealed at any suitable temperature during the intermediate period of deposition of the bulk tungsten. It may be annealed at any suitable gas atmosphere, for example comprising the gaseous environment in which one or more of the: tungsten-containing gas (e.g. WF 6), hydrogen, methyl Silane, two Silane, tris Silane, diborane, nitrogen , argon, and decane.

在各種實施例中,在根據上述之關於圖2B所揭露的實施例之用於沉積主體鎢之含鎢前驅物及還原劑之劑量之前或之後,可對於放置著基板之腔室進行抽氣或吹淨。在某些實施例中,延遲時間可併入至本文所述之順序CVD沉積之劑量或吹淨步驟中。在某些實施例中,一或更多氣體可在劑量或吹淨操作期間共流,使用一或更多下述氣體之任一者:WF6 、氫、甲矽烷、二矽烷、三矽烷、二硼烷、氮、氬、及鍺烷。In various embodiments, the chamber in which the substrate is placed may be evacuated or before or after the dose of the tungsten-containing precursor and reducing agent used to deposit the bulk tungsten according to the embodiment disclosed above with respect to FIG. 2B. Blowing off. In certain embodiments, the delay time can be incorporated into the sequential CVD deposition dose or purge step described herein. In certain embodiments, one or more gases may be co-flowed during a dose or purge operation using either one or more of the following gases: WF 6 , hydrogen, formane, dioxane, trioxane, Diborane, nitrogen, argon, and decane.

在成核沉積期間之基板溫度可能不同於在上述關於圖2B之順序CVD期間之基板溫度。應當了解,基板溫度表示支承著基板之基座所設定之溫度。可在任何適合的壓力下實施所揭露的實施例,例如大於約10 Torr之壓力、或小於約10 Torr之壓力。對於多站腔室而言,每一基座可設定為不同溫度。在某些實施例中,每一基座可設定為相同溫度。在任一或全部根據所揭露實施例之上述操作其中任一者期間,基板可在站與站之間輪轉。在某些所揭露實施例之一或更多操作中,亦可調整腔室壓力。在某些實施例中,在成核沉積期間之腔室壓力與在主體沉積期間之腔室壓力不同。在某些實施例中,在成核沉積期間之腔室壓力與在主體沉積期間之腔室壓力相同。The substrate temperature during nucleation deposition may differ from the substrate temperature during the sequential CVD described above with respect to Figure 2B. It should be understood that the substrate temperature represents the temperature set by the susceptor supporting the substrate. The disclosed embodiments can be practiced at any suitable pressure, such as a pressure greater than about 10 Torr, or a pressure less than about 10 Torr. For a multi-station chamber, each pedestal can be set to a different temperature. In some embodiments, each pedestal can be set to the same temperature. During any or all of the above operations in accordance with the disclosed embodiments, the substrate may be rotated between stations. The chamber pressure can also be adjusted in one or more of the disclosed embodiments. In certain embodiments, the chamber pressure during nucleation deposition is different than the chamber pressure during bulk deposition. In certain embodiments, the chamber pressure during nucleation deposition is the same as the chamber pressure during bulk deposition.

在上述暴露之任一者期間,氣體可為脈衝式提供或連續地流動。例如,在某些實施例中,在順序CVD操作之WF6 劑量期間,可在單一劑量期間脈衝式提供WF6 一或更多次。同樣地,在某些實施例中,在吹淨期間,可在單一吹淨操作期間脈衝式提供惰性氣體一或更多次。可於成核沉積之任何操作或主體沉積之任何操作或其任何組合期間實施這樣的脈衝式操作。在某些實施例中,可使用對於一或更多參數(例如壓力、流速、及溫度)之一或更多改變。在某些實施例中,在成核沉積或主體沉積或兩者之任何操作期間,可移動基座,俾能調整在基板與基座上之噴淋頭之間之間隙。基座之移動可結合一或更多參數(例如壓力、溫度、或流速)之改變。調整在基板與噴淋頭之間之間隙可能影響根據某些所揭露實施例可使用之壓力、溫度、或流速。應當了解,本文中所述之任何處理可適用於與ALD有關之技術。設備 During either of the above exposures, the gas may be pulsed or continuously flowing. For example, in certain embodiments, the dosage of WF 6 during the sequential CVD operations, may provide a WF 6 or more times during dose in a single pulse. Likewise, in certain embodiments, the inert gas may be pulsed one or more times during a single purge operation during purge. Such pulsed operation can be performed during any operation of any operation or bulk deposition of nucleation deposition, or any combination thereof. In some embodiments, one or more changes to one or more parameters (eg, pressure, flow rate, and temperature) can be used. In some embodiments, during any operation of nucleation deposition or bulk deposition or both, the movable susceptor can adjust the gap between the substrate and the showerhead on the susceptor. Movement of the pedestal can incorporate changes in one or more parameters (eg, pressure, temperature, or flow rate). Adjusting the gap between the substrate and the showerhead may affect the pressure, temperature, or flow rate that may be used in accordance with certain disclosed embodiments. It should be understood that any of the processes described herein may be applied to techniques related to ALD. device

可使用任何合適的腔室以實現所揭露的實施例。示例性沉積設備包含各種系統,例如,位於Fremont, California之Lam Research Corp.之ALTUS® 及ALTUS® Max,或各種其它商業上可取得之處理系統之任一者。在某些實施例中,順序化學氣相沉積(CVD)可實施於第一站,第一站為設置在單一沉積腔室中之二、五、或甚至更多沉積站其中一者。因此,例如,在第一站,可使用在基板表面產生局部氣圈之個別的氣體供應系統,將氫(H2 )及六氟化鎢(WF6 )交替地引入至半導體基板之表面。另一站可用於無氟鎢沉積、或非順序CVD。另一站可用於在低壓沉積鎢成核層。二或更多站可用於在平行處理中沉積鎢。或者,晶圓可被索引為具有在二或更多站依序實施之順序CVD操作。Any suitable chamber can be used to implement the disclosed embodiments. Exemplary deposition equipment includes various systems, such as ALTUS ® and ALTUS ® Max from Lam Research Corp. of Fremont, California, or any of a variety of other commercially available processing systems. In some embodiments, sequential chemical vapor deposition (CVD) can be performed at a first station, the first station being one of two, five, or even more deposition stations disposed in a single deposition chamber. Thus, for example, at the first station, hydrogen (H 2 ) and tungsten hexafluoride (WF 6 ) can be alternately introduced to the surface of the semiconductor substrate using a separate gas supply system that creates a local balloon on the surface of the substrate. Another station can be used for fluorine-free tungsten deposition, or non-sequential CVD. Another station can be used to deposit a tungsten nucleation layer at low pressure. Two or more stations can be used to deposit tungsten in a parallel process. Alternatively, the wafer can be indexed to have sequential CVD operations performed sequentially at two or more stations.

圖4係適合執行根據實施例之鎢薄膜沉積處理之處理系統之方塊圖。系統400包含傳送模組403。傳送模組403提供乾淨的加壓環境,以當被處理基板在不同的反應器模組之間移動時,使被處理基板之污染之風險最小化。多站反應器409安裝在傳送模組403上,多站反應器409可執行原子層沉積(ALD)及根據實施例之順序CVD。在某些實施例中,多站反應器409亦可用於實施無氟鎢沉積及∕或非順序CVD。反應器409可包含多個站411、413、415、及417,該等站可根據所揭露的實施例而依序執行操作。例如,反應器409可配置為使得站411藉由ALD而實施成核層沉積,站413實施順序CVD,站415實施無氟鎢沉積,站417實施非順序CVD。站可包含加熱的基座或基板支撐部、一或更多氣體入口或噴淋頭或分散板。沉積站500之範例係描繪於圖5中,包含基板支撐部502及噴淋頭503。加熱器可設置在基座部分501之中。4 is a block diagram of a processing system suitable for performing a tungsten thin film deposition process according to an embodiment. System 400 includes a transfer module 403. The transfer module 403 provides a clean pressurized environment to minimize the risk of contamination of the substrate being processed as the substrate being processed moves between different reactor modules. Multi-station reactor 409 is mounted on transfer module 403, which can perform atomic layer deposition (ALD) and sequential CVD according to an embodiment. In some embodiments, multi-station reactor 409 can also be used to perform fluorine-free tungsten deposition and or non-sequential CVD. Reactor 409 can include a plurality of stations 411, 413, 415, and 417 that can be sequentially operated in accordance with the disclosed embodiments. For example, reactor 409 can be configured such that station 411 performs nucleation layer deposition by ALD, station 413 performs sequential CVD, station 415 performs fluorine-free tungsten deposition, and station 417 performs non-sequential CVD. The station may include a heated susceptor or substrate support, one or more gas inlets or a showerhead or dispersion plate. An example of a deposition station 500 is depicted in FIG. 5 and includes a substrate support 502 and a showerhead 503. A heater may be disposed in the base portion 501.

在傳送模組403上亦可安裝一或更多單站或多站模組407,其可執行電漿或化學(非電漿)預清潔。該模組亦可用於各種處理以,例如,準備用於沉積處理之基板。系統400亦包含一或更多晶圓來源模組401,其在處理之前及之後儲存晶圓。在常壓傳送腔室419中之常壓機械臂(未顯示)首先從來源模組401移動晶圓至裝載鎖室(loadlock)421。在傳送模組403中之晶圓傳送裝置(通常為機械臂單元)從裝載鎖室421移動晶圓至安裝於傳送模組403上之多個模組及在多個模組之間。One or more single or multi-station modules 407 may also be mounted on the transfer module 403, which may perform plasma or chemical (non-plasma) pre-cleaning. The module can also be used in a variety of processes to, for example, prepare a substrate for deposition processing. System 400 also includes one or more wafer source modules 401 that store wafers before and after processing. An atmospheric pressure robot arm (not shown) in the atmospheric pressure transfer chamber 419 first moves the wafer from the source module 401 to a load lock 421. The wafer transfer device (typically a robot arm unit) in the transfer module 403 moves the wafer from the load lock chamber 421 to a plurality of modules mounted on the transfer module 403 and between the plurality of modules.

在各種實施例中,系統控制器429用於在沉積期間控制處理條件。控制器429通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。In various embodiments, system controller 429 is used to control processing conditions during deposition. Controller 429 typically includes one or more memory devices and one or more processors. The processor can include a CPU or computer, an analog and/or digital input/output connection, a stepper motor controller board, and the like.

控制器429可控制沉積設備之所有活動。系統控制器429執行系統控制軟體,包含用於控制特定處理之時序、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或基座位置、及其它參數之指令集。在某些實施例中,可使用儲存於與控制器相聯之記憶體裝置中之其它電腦軟體。Controller 429 can control all activities of the deposition device. System controller 429 executes system control software including timing for controlling specific processing, gas mixing, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power level, wafer chuck or pedestal position, And the instruction set of other parameters. In some embodiments, other computer software stored in a memory device associated with the controller can be used.

通常,具有與控制器429相聯之使用者介面。使用者介面可包括顯示螢幕、設備及∕或處理條件之圖形軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等。Typically, there is a user interface associated with controller 429. The user interface can include a graphical software display that displays screens, devices and/or processing conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

系統控制邏輯可以任何適合的方式加以配置。通常,邏輯可被設計或配置於硬體及∕或軟體中。用以控制驅動電路之指令可為硬編碼或被提供做為軟體。指令可由“程式設計提供。應當了解,這樣的程式設計包含任何形式之邏輯,包含在數位訊號處理器、特殊應用積體電路、及具有實現為硬體之特定演算法之其它裝置中之硬編碼邏輯。亦應了解,程式設計包含可在通用處理器上執行之軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言加以編碼。System control logic can be configured in any suitable manner. In general, the logic can be designed or configured in hardware and/or software. The instructions used to control the drive circuitry can be hard coded or provided as software. Instructions may be provided by "programming." It should be understood that such programming encompasses any form of logic, including in digital signal processors, special application integrated circuits, and other devices having a particular algorithm implemented as hardware. Encoding logic. It should also be understood that the programming includes software or firmware instructions that can be executed on a general purpose processor. The system control software can be encoded in any suitable computer readable programming language.

在處理序列中用以控制含鍺還原劑脈衝、氫流動、及含鎢前驅物脈衝、及其它處理之電腦程式碼可以任何習知的電腦可讀程式設計語言加以撰寫:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其它。編譯目的碼或腳本係由處理器實行以執行在程式中所指定的任務。亦如所述,程式碼可為硬編碼。Computer code for controlling helium-containing reductant pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processing in the processing sequence can be written in any conventional computer readable programming language: for example, a combination language, C , C++, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks specified in the program. As also mentioned, the code can be hard coded.

控制器參數係關於處理條件,例如,處理氣體成分及流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數係以配方的形式提供給使用者,並且可利用使用者介面輸入。The controller parameters are related to processing conditions such as process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe and can be entered using the user interface.

用於監控處理之訊號可由系統控制器429之類比及∕或數位輸入連接提供。用於控制處理之訊號係輸出在沉積設備400之類比及數位輸出連接上。Signals for monitoring processing may be provided by analogy and/or digital input connections of system controller 429. The signal output for control processing is on the analog and digital output connections of the deposition apparatus 400.

系統軟體可以許多不同的方式設計或配置。例如,可撰寫各種腔室元件副程式(subroutine)或控制物件,以控制執行根據所揭露的實施例之沉積處理所需的腔室元件之操作。為了此目的之程式或程式片段之範例包含基板定位碼、處理氣體控制碼、壓力控制碼、及加熱器控制碼。System software can be designed or configured in many different ways. For example, various chamber component sub-brouts or control articles can be written to control the operation of the chamber components required to perform the deposition process in accordance with the disclosed embodiments. Examples of programs or program segments for this purpose include a substrate location code, a process gas control code, a pressure control code, and a heater control code.

在某些實行例中,控制器429為系統之一部分,其可為上述範例之一部分。這樣的系統可包含半導體處理設備,包含一處理工具或複數處理工具、一腔室或複數腔室、用於處理之一平臺或複數平臺、及∕或特定處理元件(晶圓基座、氣體流動系統等)。這些系統可與電子元件整合,電子元件係用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統之操作。電子元件可稱之為“控制器,其可控制該系統或該等系統之各種元件或子部分。依據系統之處理需求及∕或類型,控制器429可被程式化以控制本文中所揭露的任何處理,包含:處理氣體之運送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、在某些系統中之射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體運送設定、位置及操作設定、出入一工具及其它轉移工具及∕或與特定系統連接或接合之裝載鎖室之晶圓轉移。In some embodiments, controller 429 is part of the system and may be part of the above examples. Such a system may comprise a semiconductor processing apparatus comprising a processing tool or a plurality of processing tools, a chamber or a plurality of chambers, for processing one or more platforms, and/or a specific processing element (wafer base, gas flow) System, etc.). These systems can be integrated with electronic components that are used to control the operation of these systems before, during, and after processing semiconductor wafers or substrates. Electronic components may be referred to as "controllers" that control the various components or sub-portions of the system or systems. Depending on the processing requirements and/or type of system, controller 429 may be programmed to control the disclosure herein. Any processing, including: processing gas delivery, temperature setting (eg, heating and/or cooling), pressure setting, vacuum setting, power setting, radio frequency (RF) generator settings in some systems, RF matching circuit settings , frequency setting, flow rate setting, fluid transport settings, position and operational settings, access to a tool and other transfer tools and/or wafer transfer to a lock chamber that is connected or bonded to a particular system.

廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式傳遞至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在某些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。Broadly speaking, a controller can be defined as having various integrated circuits, logic, memory, and ports for receiving commands, issuing commands, controlling operations, enabling cleaning operations, enabling end point measurements, and achieving similar functions. Or software electronic components. The integrated circuit may include a firmware in the form of a firmware for storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and/or one or more microprocessors, or an execution program. A microcontroller for instructions (eg, software). Program instructions may be instructions that are passed to the controller in various separate settings (or program files) that define operational parameters for performing particular processing on or on the semiconductor wafer. In some embodiments, the operational parameters may be defined by the process engineer to be in one or more layers of the wafer, material, metal, oxide, germanium, germanium dioxide, surface, circuitry, and germanium or die. Part of a recipe that completes one or more processing steps during the manufacturing period.

在某些實行例中,控制器429可為電腦之一部分或耦接至電腦,電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,控制器429可在“雲端中、或在允許晶圓處理之遠端控制之工廠主機電腦系統之全部或一部分中。電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設置在當前處理之後之處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至系統。在某些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是在腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平臺等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中之處理。In some embodiments, controller 429 can be part of a computer or coupled to a computer, integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 429 can be in the "cloud" or in all or a portion of the factory host computer system that is remotely controlled to allow wafer processing. The computer can enable remote control of the system to monitor the current manufacturing operation. Process, verify historical records of past manufacturing operations, verify trends or performance measures for complex manufacturing operations, change current processing parameters, set processing steps after current processing, or start new processing. In some examples, remote A computer (such as a server) can provide processing recipes to the system via the network, and the network can include a local area network or an Internet. The remote computer can include a user interface, and the user interface allows input of parameters and/or settings. Or stylized, the parameters and/or settings are then passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data that are to be executed during one or more operations Each of the processing steps specifies a parameter. It should be understood that the parameters can be selected for the type of processing to be performed and the controller Or the type of tool that controls it. Thus, as noted above, the controllers may be decentralized, such as by including network connections and working toward a common target, such as the processing and control described herein. One or more independent controllers. An example of a decentralized controller for such a target would be one or more integrated circuits in the chamber, the one or more integrated circuits being located at the far end (eg Combined with one or more integrated circuit communications at the platform level or as part of a remote computer to control processing in the chamber.

非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、CVD腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。By way of non-limiting example, an exemplary system can include a plasma etch chamber or module, a deposition chamber or module, a rotary cleaning chamber or module, a metal plating chamber or module, a cleaning chamber or module, and a tilt Edge etching chamber or module, physical vapor deposition (PVD) chamber or module, CVD chamber or module, ALD chamber or module, atomic layer etching (ALE) chamber or module, ion implantation A chamber or module, a track chamber or module, and any other semiconductor processing system relating to or used in the processing and fabrication or fabrication of semiconductor wafers.

如上所述,取決於欲由工具所執行之處理步驟,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具元件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。As noted above, depending on the processing steps to be performed by the tool, the controller can communicate with one or more of the following: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, proximity Tools, tools located throughout the plant, host computer, another controller, or material transfer tool that moves wafer containers into and out of the tool location and/or load in a semiconductor manufacturing facility.

控制器429可包含各種程式。基板定位程式可包含用以控制腔室元件的程式碼,用以裝載基板至基座或卡盤上,以及控制基板與腔室其它部分(例如氣體入口及∕或目標物)之間之間距。處理氣體控制程式可包含程式碼,用於控制氣體成分、流率、脈衝時間,及選用性地用於在沉積之前使氣體流至腔室中,以穩定腔室中之壓力。壓力控制程式可包含程式碼,用於藉由調節,例如,在腔室之排氣系統中之節流閥而控制腔室中之壓力。加熱器控制程式可包含程式碼,用以控制供應至用以加熱基板之加熱單元之電流。或者,加熱器控制程式可控制熱傳氣體(例如氦)遞送至晶圓卡盤。Controller 429 can include a variety of programs. The substrate positioning program can include code for controlling the chamber components for loading the substrate onto the base or chuck and controlling the distance between the substrate and other portions of the chamber, such as gas inlets and ports or objects. The process gas control program can include code for controlling gas composition, flow rate, pulse time, and optionally for flowing gas into the chamber prior to deposition to stabilize the pressure in the chamber. The pressure control program can include code for controlling the pressure in the chamber by adjusting, for example, a throttle valve in the exhaust system of the chamber. The heater control program can include code to control the current supplied to the heating unit used to heat the substrate. Alternatively, the heater control program can control the delivery of heat transfer gases (eg, helium) to the wafer chuck.

於沉積期間可被監控之腔室感測器之範例包含:質量流量控制器、壓力感測器(例如壓力計)、及位於基座或卡盤之熱電偶。適當編程的反饋及控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。Examples of chamber sensors that can be monitored during deposition include mass flow controllers, pressure sensors (such as pressure gauges), and thermocouples located on the base or chuck. Properly programmed feedback and control algorithms can be used with data from these sensors to maintain desired process conditions.

前文描述在單腔室或多腔室半導體處理工具中實行所揭露的實施例。本文中所述之設備∕處理可結合微影圖案化工具或處理而使用,例如,用於半導體元件、顯示器、LED、太陽光電板等之製造或生產。通常,雖然不必然,這樣的工具∕處理將一起使用或執行於共同的製造設施內。膜之微影圖案化通常包含下列步驟之一些或全部,每個步驟以幾個可能的工具提供:(1)工作件(亦即基板)上光阻之塗佈,使用旋轉式或噴塗式工具;(2)光阻之固化,使用加熱板或加熱爐或UV固化工具;(3)以工具(例如晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4)使光阻顯影以便使用工具(例如溼式清洗台)選擇性地移除光阻並從而使其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案至下方膜或工作件中;及(6)使用工具(例如RF或微波電漿光阻剝除器)移除光阻。實驗 實驗1The foregoing describes the implementation of the disclosed embodiments in a single or multi-chamber semiconductor processing tool. The device processing described herein can be used in conjunction with a lithographic patterning tool or process, for example, for the fabrication or production of semiconductor components, displays, LEDs, solar photovoltaic panels, and the like. Typically, although not necessarily, such tooling processes will be used together or in a common manufacturing facility. The lithographic patterning of the film typically involves some or all of the following steps, each step being provided by several possible tools: (1) coating of the photoresist on the workpiece (ie, the substrate), using a rotary or spray tool (2) curing of the photoresist, using a heating plate or a heating furnace or a UV curing tool; (3) exposing the photoresist to visible light or UV light or x-ray light with a tool (such as a wafer stepper); (4) The photoresist is developed to selectively remove and thereby pattern the photoresist using a tool (eg, a wet cleaning station); (5) transfer the photoresist pattern to the underlying film or work by using a dry or plasma assisted etching tool And (6) using a tool (such as RF or microwave plasma photoresist stripper) to remove the photoresist. Experiment experiment 1

實驗之實施係關於四個處理,用以在395°C及40 Torr之壓力下沉積主體鎢。在每一處理中,主體鎢係沉積在鎢成核層上,鎢成核層係使用交替二硼烷(B2 H6 )及六氟化鎢(WF6 )循環之原子層沉積(ALD)而沉積。圖6提供此四個處理其中每一者之示例性脈衝方案。在處理1中,H2 及WF6 同時且連續地流動至腔室中,如同在傳統的化學氣相沉積(CVD)期間。在處理2中,H2 係連續地流動,而WF6 係脈衝式提供(例如,脈衝式CVD)。在處理3中,WF6 係連續地流動,而H2 係脈衝式提供(例如,脈衝式CVD)。在處理4中,H2 及WF6 係使用如上述關於圖2B之方法(例如,順序CVD)而交替地以脈衝式提供。鎢成核層之厚度、及使用此四個處理其中每一者所沉積之膜之應力、非均勻性、及電阻率被測量及匯整在下列的表1中。 表1,電阻率及應力 The experiment was carried out with respect to four treatments for depositing bulk tungsten at 395 ° C and 40 Torr. In each treatment, the bulk tungsten is deposited on the tungsten nucleation layer, and the tungsten nucleation layer is atomic layer deposition (ALD) using alternating diborane (B 2 H 6 ) and tungsten hexafluoride (WF 6 ) cycles. And deposition. Figure 6 provides an exemplary pulse scheme for each of these four processes. In Process 1, H 2 and WF 6 flow simultaneously and continuously into the chamber as during conventional chemical vapor deposition (CVD). In Process 2, the H 2 system is continuously flowing, while the WF 6 is pulsed (for example, pulsed CVD). In Process 3, the WF 6 system is continuously flowing, while the H 2 system is pulsed (for example, pulsed CVD). In Process 4, H 2 and WF 6 are alternately pulsed as described above with respect to the method of Figure 2B (e.g., sequential CVD). The thickness of the tungsten nucleation layer, and the stress, non-uniformity, and resistivity of the film deposited using each of the four treatments were measured and consolidated in Table 1 below. Table 1, resistivity and stress

如表1所示,使用處理4所沉積之鎢膜之應力及電阻率兩者明顯地低於使用處理1-3其中任一者所沉積之膜。 實驗2As shown in Table 1, both the stress and resistivity of the tungsten film deposited using Process 4 were significantly lower than those deposited using either of Processes 1-3. Experiment 2

實驗之實施係關於用以在二基板上沉積主體鎢之處理,二基板皆包含鈦氮化物(TiN)阻障層及鎢成核層,鎢成核層係藉由交替B2 H6 及WF6 循環之ALD而沉積。一基板涉及使用非順序CVD之主體鎢沉積,涉及使基板在300°C下同時暴露至WF6 及H2 。另一基板涉及使用如上述關於圖2B之順序CVD之主體鎢沉積,涉及在10 Torr之腔室壓力下之WF6 及H2 之交替脈衝。對於基板兩者測量氟濃度。此實驗之條件係顯示在表2中。其結果係繪製在圖7中。 表2,實驗2之條件 The experiment is performed on a process for depositing bulk tungsten on two substrates, both of which comprise a titanium nitride (TiN) barrier layer and a tungsten nucleation layer, and the tungsten nucleation layer is alternated by B 2 H 6 and WF. 6 cycles of ALD deposition. A substrate involves bulk tungsten deposition using non-sequential CVD involving simultaneous exposure of the substrate to WF 6 and H 2 at 300 °C. Another substrate involves bulk tungsten deposition using sequential CVD as described above with respect to Figure 2B, involving alternating pulses of WF 6 and H 2 at a chamber pressure of 10 Torr. The fluorine concentration was measured for both substrates. The conditions of this experiment are shown in Table 2. The results are plotted in Figure 7. Table 2, conditions of experiment 2

線700顯示具有藉由非順序CVD所沉積之鎢之基板之氟濃度。線701顯示具有藉由順序CVD所沉積之鎢之基板之氟濃度。在約350Å處之W/TiN界面線係表示在鎢成核層與TiN阻障層之間之界面。在約475Å處之TiN/氧化物界面點線係表示在TiN阻障層與氧化物之間之界面。應當注意,在圖之y軸上之氟濃度係根據數量級,且順序CVD氟濃度701實質上低於非順序CVD氟濃度700,在某些基板深度處,氟濃度較低而達到兩個數量級。 實驗3Line 700 shows the fluorine concentration of a substrate having tungsten deposited by non-sequential CVD. Line 701 shows the fluorine concentration of the substrate having tungsten deposited by sequential CVD. The W/TiN interface line at about 350 Å represents the interface between the tungsten nucleation layer and the TiN barrier layer. The TiN/oxide interface dotted line at about 475 Å represents the interface between the TiN barrier layer and the oxide. It should be noted that the fluorine concentration on the y-axis of the graph is on the order of magnitude, and the sequential CVD fluorine concentration 701 is substantially lower than the non-sequential CVD fluorine concentration 700, and at some substrate depths, the fluorine concentration is lower to two orders of magnitude. Experiment 3

實驗之實施係關於用以在不同壓力下於基板上沉積主體鎢之處理。三基板之每一者包含TiN阻障層。一基板之鎢成核層之沉積係藉由在10 Torr下之B2 H6 及WF6 之ALD交替循環,接著藉由在300°C下使基板暴露至WF6 及H2 之主體鎢之CVD。另一基板之鎢成核層之沉積係藉由在10 Torr下之B2 H6 及WF6 之ALD交替循環,接著藉由在10 Torr下之WF6 及H2 之交替脈衝之主體鎢之順序CVD。第三基板之鎢成核層之ALD沉積係藉由在3 Torr下之B2 H6 及WF6 之交替循環,接著藉由在10 Torr下使用WF6 及H2 之交替脈衝之主體鎢之順序CVD。對於基板三者測量氟濃度。此實驗之條件係顯示在表3中。其結果係繪製在圖8中。 表3,實驗3之條件 The experiment was carried out with respect to the treatment of depositing bulk tungsten on a substrate under different pressures. Each of the three substrates includes a TiN barrier layer. The deposition of a tungsten nucleation layer of a substrate is alternately cycled by ALD of B 2 H 6 and WF 6 at 10 Torr, followed by exposure of the substrate to the bulk tungsten of WF 6 and H 2 at 300 ° C. CVD. The deposition of the tungsten nucleation layer of the other substrate is alternately cycled by ALD of B 2 H 6 and WF 6 at 10 Torr, followed by alternating tungsten of WF 6 and H 2 at 10 Torr. Sequential CVD. The ALD deposition of the tungsten nucleation layer of the third substrate is performed by alternating cycles of B 2 H 6 and WF 6 at 3 Torr, followed by the use of alternating tungsten of WF 6 and H 2 at 10 Torr. Sequential CVD. The fluorine concentration was measured for the substrate. The conditions of this experiment are shown in Table 3. The results are plotted in Figure 8. Table 3, conditions of experiment 3

線800表示第一基板之氟濃度,其中主體鎢係藉由非順序CVD而沉積。虛線801表示第二基板之氟濃度,其中在10 Torr下沉積成核層,接著藉由順序CVD以沉積主體鎢。點線803表示第三基板之氟濃度,其中在3 Torr下沉積成核層,接著藉由順序CVD以沉積主體鎢。結果顯示,低壓成核層接著順序CVD(803)之氟濃度低於第二基板(801),甚至是在W/TiN界面處且甚至在TiN層中(在350Å與475Å之間)。此建議著,由於減少了在鎢膜中氟濃度之量,可能減少了氟擴散至TiN層及氧化物中。 實驗4Line 800 represents the fluorine concentration of the first substrate in which the bulk tungsten is deposited by non-sequential CVD. A broken line 801 indicates the fluorine concentration of the second substrate in which a nucleation layer is deposited at 10 Torr, followed by sequential CVD to deposit bulk tungsten. Dotted line 803 represents the fluorine concentration of the third substrate in which a nucleation layer is deposited at 3 Torr, followed by sequential CVD to deposit bulk tungsten. The results show that the low pressure nucleation layer followed by sequential CVD (803) has a lower fluorine concentration than the second substrate (801), even at the W/TiN interface and even in the TiN layer (between 350 Å and 475 Å). This suggests that by reducing the amount of fluorine in the tungsten film, it is possible to reduce the diffusion of fluorine into the TiN layer and oxide. Experiment 4

實驗之實施係關於使用不同的鎢沉積組合以於基板上沉積主體鎢之處理。對於三基板進行比較。一基板包含1 kÅ之熱氧化物、30Å TiN、在3 Torr下使用WF6 及B2 H6 之ALD交替脈衝所沉積之18Å鎢成核層、及在10 Torr下使用WF6 及H2 之順序CVD脈衝所沉積之主體鎢。此基板之氟濃度係描繪在圖9中之虛線912。另一基板包含1 kÅ之熱氧化物、30Å TiN、10Å之無氟鎢、在3 Torr下使用WF6 及B2 H6 之ALD交替脈衝所沉積之12Å鎢成核層、及藉由在10 Torr下使用WF6 及H2 之脈衝之順序CVD所沉積之主體鎢。此第二基板之氟濃度係描繪在圖9中之線911。第三基板包含5 kÅ之TEOS-沉積氧化物、30Å之無氟鎢、在3 Torr下使用WF6 及B2 H6 之ALD交替脈衝所沉積之12Å鎢成核層、及藉由在10 Torr下使用WF6 及H2 之順序CVD所沉積之主體鎢。此基板之氟濃度係描繪在圖9中之點線913。此實驗之每一基板上所沉積之層係整理在表4中。 表4,實驗4之條件 The experiment was performed with respect to the treatment of depositing bulk tungsten on the substrate using different combinations of tungsten deposition. Compare the three substrates. A substrate comprising 1 kÅ of thermal oxide, 30 Å TiN, 18 Å tungsten nucleation layer deposited using ALD alternating pulses of WF 6 and B 2 H 6 at 3 Torr, and WF 6 and H 2 at 10 Torr The bulk tungsten deposited by sequential CVD pulses. The fluorine concentration of this substrate is depicted by the dashed line 912 in FIG. The other substrate comprises a 1 kÅ thermal oxide, 30 Å TiN, 10 Å fluorine-free tungsten, a 12 Å tungsten nucleation layer deposited using ALD alternating pulses of WF 6 and B 2 H 6 at 3 Torr, and by 10 The bulk tungsten deposited by sequential CVD using WF 6 and H 2 pulses under Torr. The fluorine concentration of this second substrate is depicted by line 911 in FIG. The third substrate comprises a 5 kÅ TEOS-deposited oxide, 30 Å of fluorine-free tungsten, a 12 Å tungsten nucleation layer deposited using ALD alternating pulses of WF 6 and B 2 H 6 at 3 Torr, and by 10 Torr The bulk tungsten deposited by sequential CVD using WF 6 and H 2 is used. The fluorine concentration of this substrate is depicted by the dotted line 913 in FIG. The layers deposited on each of the substrates of this experiment are organized in Table 4. Table 4, conditions of experiment 4

如圖9所示,對於使用無氟鎢、低壓成核層、及順序CVD之組合所沉積之膜之氟濃度具有較少的氟擴散(參見線911及線913,超出W/TiN界面,深度大於425Å)。對於在基板上沉積著較多無氟鎢之膜而言,在成核層附近之氟濃度在300Å與425Å之間為最低,而對於沒有無氟鎢層、使用順序CVD及低壓成核所沉積之主體鎢在約50Å與300Å之間具有較低的氟濃度(參見線912)。這些結果建議,沉積無氟鎢及鎢之順序CVD之組合可能造成鎢膜達到相當低的氟濃度並且減少氟擴散。 實驗5As shown in Figure 9, the fluorine concentration of the film deposited using a combination of fluorine-free tungsten, low-pressure nucleation layer, and sequential CVD has less fluorine diffusion (see line 911 and line 913, beyond the W/TiN interface, depth). More than 425 Å). For a film with more fluorine-free tungsten deposited on the substrate, the fluorine concentration near the nucleation layer is the lowest between 300 Å and 425 Å, and is deposited without a fluorine-free tungsten layer, using sequential CVD and low-pressure nucleation. The bulk tungsten has a lower fluorine concentration between about 50 Å and 300 Å (see line 912). These results suggest that the combination of sequential CVD deposition of fluorine-free tungsten and tungsten may cause the tungsten film to reach a relatively low fluorine concentration and reduce fluorine diffusion. Experiment 5

實驗之實施係關於藉由順序CVD與低壓對高壓成核層沉積之組合所沉積之膜之處理。一基板包含在10 Torr下使用WF6 及B2 H6 之ALD交替循環所沉積之鎢成核層,且主體鎢沉積係藉由根據上述之圖2B、在10 Torr下使用WF6 及H2 之交替脈衝之順序CVD。在各種厚度下測量膜之應力及電阻率,並且顯示在圖10A及10B中之線1001“低壓成核。另一基板包含在40 Torr下使用WF6 及B2 H6 之ALD交替循環所沉積之鎢成核層,且主體鎢沉積係藉由根據上述之圖2B、在10 Torr下使用WF6 及H2 之交替脈衝之順序CVD。在各種厚度下測量膜之應力及電阻率,並且顯示在圖10A及10B中之線1002“高壓成核。成核及主體層沉積之條件係顯示在表5中。 表5,實驗5之條件 The experiment was carried out with respect to the treatment of a film deposited by sequential CVD and a combination of low pressure and high pressure nucleation layer deposition. A substrate comprises a tungsten nucleation layer deposited using an ALD alternating cycle of WF 6 and B 2 H 6 at 10 Torr, and the bulk tungsten deposition is performed by using WF 6 and H 2 at 10 Torr according to Figure 2B above. The sequential CVD of alternating pulses. The stress and resistivity of the film were measured at various thicknesses and shown in line 1001 "low pressure nucleation" in Figures 10A and 10B. The other substrate contained an ALD alternating cycle using WF 6 and B 2 H 6 at 40 Torr. Depositing a tungsten nucleation layer, and the bulk tungsten deposition is performed by sequential CVD using alternating pulses of WF 6 and H 2 at 10 Torr according to Figure 2B above. The stress and resistivity of the film are measured at various thicknesses, and Line 1002 "high pressure nucleation" shown in Figures 10A and 10B. The conditions for nucleation and bulk layer deposition are shown in Table 5. Table 5, conditions of experiment 5

如結果中所示,具有在低壓下所沉積之成核層之基板之應力實質上低於具有在高壓下所沉積之成核層之基板,而電阻率大致保持相同。 實驗6As shown in the results, the stress of the substrate having the nucleation layer deposited at a low pressure is substantially lower than that of the substrate having the nucleation layer deposited under high pressure, and the resistivity remains substantially the same. Experiment 6

實驗之實施係關於藉由順序CVD與低溫對高溫成核層沉積之組合所沉積之膜之處理。一基板包含在10 Torr及250°C下使用WF6 及B2 H6 之ALD交替循環所沉積之鎢成核層,且主體鎢沉積係藉由根據上述之圖2B、在10 Torr下使用WF6 及H2 之交替脈衝之順序CVD。在各種厚度下測量膜之應力及電阻率,並且顯示在圖11A及11B中之線1102“低溫成核。另一基板包含在10 Torr及300°C下使用WF6 及B2 H6 之ALD交替循環所沉積之鎢成核層,且主體鎢沉積係藉由根據上述之圖2B、在10 Torr下使用WF6 及H2 之交替脈衝之順序CVD。在各種厚度下測量膜之應力及電阻率,並且顯示在圖11A及11B中之線1104 “高溫成核。成核及主體層沉積之條件係顯示在表5中。 表6,實驗6之條件 The experiment was carried out with respect to the treatment of a film deposited by sequential CVD and a combination of low temperature and high temperature nucleation layer deposition. A substrate comprises a tungsten nucleation layer deposited using ALD alternating cycles of WF 6 and B 2 H 6 at 10 Torr and 250 ° C, and the bulk tungsten deposition is performed by using WF at 10 Torr according to Figure 2B above. Sequential CVD of alternating pulses of 6 and H 2 . The stress and resistivity of the film were measured at various thicknesses and shown in line 1102 of Figure 11A and 11B "low temperature nucleation. Another substrate comprised WF 6 and B 2 H 6 at 10 Torr and 300 °C. The ALD alternately circulates the deposited tungsten nucleation layer, and the bulk tungsten deposition is performed by sequential CVD using alternating pulses of WF 6 and H 2 at 10 Torr according to Figure 2B above. The film stress is measured at various thicknesses. The resistivity, and is shown in line 1104 in Figures 11A and 11B, "High Temperature Nucleation". The conditions for nucleation and bulk layer deposition are shown in Table 5. Table 6, conditions of experiment 6

如結果中所示,具有在低溫下所沉積之成核層之基板之應力實質上低於具有在高溫下所沉積之成核層之基板,而在較高溫下所沉積之膜之電阻率略低於在較低溫下所沉積之膜之電阻率。這些結果建議,成核層之較低溫沉積與順序CVD主體沉積之結合可顯著地降低膜之應力。 結論As shown in the results, the stress of the substrate having the nucleation layer deposited at a low temperature is substantially lower than that of the substrate having the nucleation layer deposited at a high temperature, and the resistivity of the film deposited at a higher temperature is slightly lower. It is lower than the resistivity of the film deposited at a lower temperature. These results suggest that the combination of lower temperature deposition of the nucleation layer and sequential CVD host deposition can significantly reduce the stress of the film. in conclusion

儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯而易見的,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。Although the above-described embodiments have been described in detail for the purpose of clarity, it is apparent that certain modifications and changes may be made in the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and devices of the present embodiments. Therefore, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments of the present invention should not be limited to the details set forth herein.

100‧‧‧基板
101‧‧‧垂直特徵部
103‧‧‧基板
105‧‧‧特徵部孔
109‧‧‧收縮部
112‧‧‧收縮部
113‧‧‧底層
115‧‧‧懸伸部
118‧‧‧軸
125‧‧‧支柱
127‧‧‧區域
129‧‧‧層間介電質
148‧‧‧結構
150‧‧‧水平特徵部
151‧‧‧收縮部
190‧‧‧基板
192‧‧‧矽層
194‧‧‧氧化物層
196‧‧‧阻障層
198‧‧‧鎢成核層
199‧‧‧主體鎢層
200‧‧‧處理
202,204,206,208,210,280‧‧‧操作
211A,211B‧‧‧沉積循環
220A,220B H2‧‧‧劑量
240A,240B‧‧‧吹淨階段
260A,260B WF6‧‧‧劑量
270A,270B‧‧‧吹淨階段
280,282,284,286,288,290,299‧‧‧操作
300‧‧‧基板
301‧‧‧鎢成核層
311a,311b,311c,313a,313b,313c H2 331a,331b,331c,323a,323b,323c WF6 343a,343b,343d‧‧‧中間產物
343c‧‧‧化合物
351a,351b,351c,351d HF 390,390a,390b,390c‧‧‧鎢
400‧‧‧系統
401‧‧‧晶圓來源模組
403‧‧‧傳送模組
407‧‧‧模組
409‧‧‧多站反應器
411,413,415,417‧‧‧站
419‧‧‧常壓傳送腔室
421‧‧‧裝載鎖室
429‧‧‧系統控制器
500‧‧‧沉積站
501‧‧‧基座部分
502‧‧‧基板支撐部
503‧‧‧噴淋頭
700‧‧‧線
701‧‧‧線
800‧‧‧線
801‧‧‧虛線
803‧‧‧點線
911‧‧‧線
912‧‧‧虛線
913‧‧‧點線
1001‧‧‧線
1002‧‧‧線
1102‧‧‧線
1104‧‧‧線
100‧‧‧Substrate
101‧‧‧Vertical features
103‧‧‧Substrate
105‧‧‧Characteristic holes
109‧‧‧Contraction
112‧‧‧Contraction
113‧‧‧ bottom layer
115‧‧‧Overhanging
118‧‧‧Axis
125‧‧‧ pillar
127‧‧‧Area
129‧‧‧Interlayer dielectric
148‧‧‧structure
150‧‧‧Horizontal Features
151‧‧‧Contraction
190‧‧‧Substrate
192‧‧‧矽
194‧‧‧Oxide layer
196‧‧‧ barrier layer
198‧‧‧Tungsten nucleation layer
199‧‧‧Main body tungsten layer
200‧‧‧Process
202,204,206,208,210,280‧‧‧ operations
211A, 211B‧‧‧Sedimentation cycle
220A, 220B H 2 ‧‧‧ dose
240A, 240B‧‧‧ blowing phase
260A, 260B WF 6 ‧‧‧ dose
270A, 270B‧‧ ‧ blowing phase
280,282,284,286,288,290,299‧‧‧ operations
300‧‧‧Substrate
301‧‧‧Tungsten nucleation layer
311a, 311b, 311c, 313a, 313b, 313c H 2 331a, 331b, 331c, 323a, 323b, 323c WF 6 343a, 343b, 343d‧‧‧ intermediate
343c‧‧‧ compound
351a, 351b, 351c, 351d HF 390, 390a, 390b, 390c‧‧ ‧ tungsten
400‧‧‧ system
401‧‧‧ Wafer source module
403‧‧‧Transmission module
407‧‧‧Module
409‧‧‧Multi-site reactor
411,413,415,417‧‧
419‧‧‧Normal pressure transfer chamber
421‧‧‧Load lock room
429‧‧‧System Controller
500‧‧‧deposition station
501‧‧‧Base section
502‧‧‧Substrate support
503‧‧‧Sprinkler
700‧‧‧ line
701‧‧‧ line
800‧‧‧ line
801‧‧‧ dotted line
803‧‧‧ dotted line
Line 911‧‧
912‧‧‧ dotted line
913‧‧‧ dotted line
Line 1001‧‧
Line 1002‧‧
Line 1102‧‧
Line 1104‧‧

圖1A為在基板上之示例性膜之概要圖。FIG. 1A is a schematic view of an exemplary film on a substrate.

圖1B-1H為各種結構之概要範例,可根據所揭露的實施例而沉積鎢在該等結構中。1B-1H are schematic illustrations of various structures in which tungsten can be deposited in accordance with the disclosed embodiments.

圖2A及2B為處理流程圖,繪示根據所揭露實施例之方法之操作。2A and 2B are process flow diagrams illustrating the operation of the method in accordance with the disclosed embodiments.

圖2C為時序序列圖,顯示根據所揭露實施例之方法中之示例性循環。2C is a timing sequence diagram showing an exemplary loop in a method in accordance with the disclosed embodiments.

圖3A-3J為概要圖,說明根據所揭露實施例之膜沉積機制之範例。3A-3J are schematic diagrams illustrating examples of film deposition mechanisms in accordance with the disclosed embodiments.

圖3K為處理流程圖,繪示根據所揭露實施例之方法之操作。3K is a process flow diagram illustrating the operation of the method in accordance with the disclosed embodiments.

圖4為概要圖,說明用以執行所揭露實施例之示例性處理工具。4 is a schematic diagram illustrating an exemplary processing tool for performing the disclosed embodiments.

圖5為概要圖,說明用以執行所揭露實施例之示例性處理站。Figure 5 is a schematic diagram illustrating an exemplary processing station for performing the disclosed embodiments.

圖6繪示各種時序序列圖。Figure 6 illustrates various timing sequence diagrams.

圖7-11B為實驗結果圖。Figure 7-11B is a graph of experimental results.

700‧‧‧線 700‧‧‧ line

701‧‧‧線 701‧‧‧ line

Claims (22)

一種填充特徵部之方法,包含: (a) 使一基板在一腔室中暴露至一還原劑及一第一含鎢前驅物之交替脈衝,以沉積一鎢成核層在該基板上;及 (b) 使該基板暴露至氫及一第二含鎢前驅物之交替脈衝,以沉積一主體鎢層在該鎢成核層上,其中在步驟 (a) 期間之腔室壓力不大於10 Torr。A method of filling a feature, comprising: (a) exposing a substrate to an alternating pulse of a reducing agent and a first tungsten-containing precursor in a chamber to deposit a tungsten nucleation layer on the substrate; (b) exposing the substrate to alternating pulses of hydrogen and a second tungsten-containing precursor to deposit a bulk tungsten layer on the tungsten nucleation layer, wherein the chamber pressure during step (a) is no greater than 10 Torr . 如申請專利範圍第1項之填充特徵部之方法,更包含:(c) 使該基板同時暴露至一還原劑及一第三含鎢前驅物,以沉積一第二主體鎢層。The method of filling the features of claim 1, further comprising: (c) simultaneously exposing the substrate to a reducing agent and a third tungsten-containing precursor to deposit a second bulk tungsten layer. 如申請專利範圍第2項之填充特徵部之方法,更包含:(d) 每實施步驟 (b) 之二或更多循環,實施步驟 (c),其中步驟 (b) 之一循環包含氫之脈衝及該第二含鎢前驅物之脈衝。The method of filling the feature part of claim 2, further comprising: (d) performing step (c) every two or more cycles of step (b), wherein one of the steps (b) comprises hydrogen Pulses and pulses of the second tungsten-containing precursor. 如申請專利範圍第1-3項其中任一項之填充特徵部之方法,其中在包含氫之脈衝及該含鎢前驅物之脈衝之複數循環中實施步驟 (b),每一循環形成厚度至少約0.3Å之一次單層。The method of filling a feature according to any one of claims 1-3, wherein the step (b) is carried out in a plurality of cycles comprising a pulse of hydrogen and a pulse of the tungsten-containing precursor, each cycle forming a thickness of at least A single layer of about 0.3 Å. 如申請專利範圍第1-3項其中任一項之填充特徵部之方法,其中該第一含鎢前驅物係不同於該第二含鎢前驅物。A method of filling a feature according to any one of claims 1-3, wherein the first tungsten-containing precursor is different from the second tungsten-containing precursor. 如申請專利範圍第5項之填充特徵部之方法,其中該第一含鎢前驅物為無氟。A method of filling a feature of claim 5, wherein the first tungsten-containing precursor is fluorine-free. 如申請專利範圍第1-3項其中任一項之填充特徵部之方法,其中該已沉積鎢成核層及已沉積主體鎢層之拉伸應力為每500Å沉積小於約1 GPa。A method of filling a feature according to any one of claims 1-3, wherein the deposited tungsten nucleation layer and the deposited bulk tungsten layer have a tensile stress of less than about 1 GPa per 500 Å of deposition. 一種在基板上沉積鎢之方法,該方法包含: (a)  沉積一鎢層在該基板上,其係藉由                              (i)      使該基板暴露至一還原劑;及                            (ii)      使該基板暴露至一第一無氟含鎢前驅物;及 (b) 在複數循環中沉積一主體鎢層,該等循環包含:                              (i)      使該基板暴露至氫(H2 );                            (ii)      使該基板暴露至一第二含鎢前驅物;及                          (iii)      重複步驟 (i) 至步驟 (ii) 一或更多循環,以沉積該主體鎢層。A method of depositing tungsten on a substrate, the method comprising: (a) depositing a tungsten layer on the substrate by (i) exposing the substrate to a reducing agent; and (ii) exposing the substrate to a first fluorine-free tungsten-containing precursor; and (b) depositing a bulk tungsten layer in a plurality of cycles, the cycles comprising: (i) exposing the substrate to hydrogen (H 2 ); (ii) exposing the substrate Up to a second tungsten-containing precursor; and (iii) repeating steps (i) through (ii) one or more cycles to deposit the bulk tungsten layer. 如申請專利範圍第8項之在基板上沉積鎢之方法,其中該第一無氟含鎢前驅物係選自於由金屬-有機含鎢前驅物及六羰鎢所組成之群組。A method of depositing tungsten on a substrate according to claim 8 wherein the first fluorine-free tungsten-containing precursor is selected from the group consisting of a metal-organic tungsten-containing precursor and tungsten hexacarbonyl. 如申請專利範圍第8或9項之在基板上沉積鎢之方法,其中在步驟 (a) 中之該鎢層係沉積至在約2Å與約100Å之間之厚度。A method of depositing tungsten on a substrate as in claim 8 or 9, wherein the tungsten layer in step (a) is deposited to a thickness of between about 2 Å and about 100 Å. 如申請專利範圍第8或9項之在基板上沉積鎢之方法,其中在步驟 (b) 中之每一循環形成厚度至少約0.3Å之一次單層。A method of depositing tungsten on a substrate as in claim 8 or 9, wherein each cycle in step (b) forms a single layer having a thickness of at least about 0.3 Å. 一種填充特徵部之方法,包含: (a) 使一基板暴露至氫及一第一含鎢前驅物之交替脈衝,以沉積一主體鎢層在該基板上;及 (b) 使該基板同時暴露至一第二含鎢前驅物及一還原劑,以沉積一第二主體鎢層在該基板上。A method of filling a feature, comprising: (a) exposing a substrate to alternating pulses of hydrogen and a first tungsten-containing precursor to deposit a bulk tungsten layer on the substrate; and (b) simultaneously exposing the substrate And a second tungsten-containing precursor and a reducing agent to deposit a second bulk tungsten layer on the substrate. 如申請專利範圍第12項之填充特徵部之方法,其中步驟 (a) 及步驟 (b) 係順序地重複。A method of filling a feature according to claim 12, wherein steps (a) and (b) are sequentially repeated. 如申請專利範圍第12項之填充特徵部之方法,其中在步驟 (b) 中之該含鎢前驅物係無氟含鎢前驅物,該無氟含鎢前驅物係選自於由金屬-有機含鎢前驅物、鎢氯化物、及六羰鎢所組成之群組。The method of claim 12, wherein the tungsten-containing precursor in step (b) is a fluorine-free tungsten-containing precursor, and the fluorine-free tungsten-containing precursor is selected from the group consisting of metal-organic A group consisting of a tungsten-containing precursor, a tungsten chloride, and a tungsten hexacarbonyl. 如申請專利範圍第12-14項其中任一項之填充特徵部之方法,其中該第一含鎢前驅物係不同於該第二含鎢前驅物。The method of filling a feature according to any one of claims 12-14, wherein the first tungsten-containing precursor is different from the second tungsten-containing precursor. 一種用於處理基板之設備,該設備包含: (a)  至少一處理腔室,包含用以支承一基板之一基座; (b) 至少一排氣口,用以耦接至真空; (c)  一或更多處理氣體入口,耦接至一或更多處理氣體來源;及 (d) 一控制器,用以控制在該設備中之複數操作,包含複數機械可讀指令以用於:                              (i)      以交替脈衝的方式引入一還原劑及一第一含鎢前驅物至該處理腔室;及                            (ii)      以交替脈衝的方式引入氫及一第二含鎢前驅物至該處理腔室, 其中在步驟 (i) 期間之腔室壓力不大於10 Torr。An apparatus for processing a substrate, the apparatus comprising: (a) at least one processing chamber including a base for supporting a substrate; (b) at least one exhaust port for coupling to a vacuum; One or more process gas inlets coupled to one or more process gas sources; and (d) a controller for controlling the plurality of operations in the apparatus, comprising a plurality of machine readable instructions for: i) introducing a reducing agent and a first tungsten-containing precursor to the processing chamber in an alternating pulse; and (ii) introducing hydrogen and a second tungsten-containing precursor to the processing chamber in an alternating pulse manner, Wherein the chamber pressure during step (i) is no more than 10 Torr. 如申請專利範圍第16項之用於處理基板之設備,其中該控制器更包含複數機械可讀指令以用於:(iii) 同時引入一還原劑及一第三含鎢前驅物至該處理腔室,以沉積一第二主體鎢層。The apparatus for processing a substrate according to claim 16, wherein the controller further comprises a plurality of mechanically readable instructions for: (iii) simultaneously introducing a reducing agent and a third tungsten-containing precursor to the processing chamber a chamber to deposit a second bulk tungsten layer. 如申請專利範圍第16項之用於處理基板之設備,其中該控制器更包含複數機械可讀指令以用於:(iv) 每實施步驟 (ii) 之二或更多循環,實施步驟 (iii),其中步驟 (ii) 之一循環包含氫之脈衝及該第二含鎢前驅物之脈衝。An apparatus for processing a substrate according to claim 16, wherein the controller further comprises a plurality of mechanically readable instructions for: (iv) performing two or more cycles per step (ii), implementing step (iii) And wherein one of the steps (ii) comprises a pulse of hydrogen and a pulse of the second tungsten-containing precursor. 如申請專利範圍第16-18其中任一項之用於處理基板之設備,其中該第一含鎢前驅物係不同於該第二含鎢前驅物。An apparatus for processing a substrate according to any one of claims 16 to 18, wherein the first tungsten-containing precursor is different from the second tungsten-containing precursor. 如申請專利範圍第16-18其中任一項之用於處理基板之設備,其中該第一含鎢前驅物為無氟。An apparatus for processing a substrate according to any one of claims 16 to 18, wherein the first tungsten-containing precursor is fluorine-free. 一種用於處理基板之設備,該設備包含: (e)  至少一處理腔室,包含用以支承一基板之一基座; (f)   至少一排氣口,用以耦接至真空; (g) 一或更多處理氣體入口,耦接至一或更多處理氣體來源;及 (h) 一控制器,用以控制在該設備中之複數操作,包含複數機械可讀指令以用於:                              (i)      以交替脈衝的方式引入氫及一第一含鎢前驅物至該處理腔室,以沉積主體鎢層;及                            (ii)      同時引入一第二含鎢前驅物及一還原劑至該處理腔室,以沉積一第二主體鎢層。An apparatus for processing a substrate, the apparatus comprising: (e) at least one processing chamber including a base for supporting a substrate; (f) at least one exhaust port for coupling to a vacuum; One or more process gas inlets coupled to one or more process gas sources; and (h) a controller for controlling the plurality of operations in the apparatus, comprising a plurality of machine readable instructions for: i) introducing hydrogen and a first tungsten-containing precursor to the processing chamber in an alternating pulse to deposit a bulk tungsten layer; and (ii) simultaneously introducing a second tungsten-containing precursor and a reducing agent to the processing chamber a chamber to deposit a second bulk tungsten layer. 如申請專利範圍第21項之用於處理基板之設備,其中該控制器更包含複數機械可讀指令以用於:順序地重複步驟 (i) 及步驟 (ii) 。An apparatus for processing a substrate according to claim 21, wherein the controller further comprises a plurality of mechanically readable instructions for: sequentially repeating steps (i) and (ii).
TW105116371A 2015-05-27 2016-05-26 Deposition of low fluorine tungsten by sequential cvd process TWI747825B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/723,270 2015-05-27
US14/723,270 US9613818B2 (en) 2015-05-27 2015-05-27 Deposition of low fluorine tungsten by sequential CVD process
US201662328759P 2016-04-28 2016-04-28
US62/328,759 2016-04-28

Publications (2)

Publication Number Publication Date
TW201715067A true TW201715067A (en) 2017-05-01
TWI747825B TWI747825B (en) 2021-12-01

Family

ID=57573895

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105116371A TWI747825B (en) 2015-05-27 2016-05-26 Deposition of low fluorine tungsten by sequential cvd process

Country Status (3)

Country Link
JP (1) JP7092456B2 (en)
KR (1) KR102397797B1 (en)
TW (1) TWI747825B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110310919A (en) * 2013-12-27 2019-10-08 朗姆研究公司 Realize the tungsten nucleation technique of low-resistivity tungsten feature filling
CN112533873A (en) * 2018-08-17 2021-03-19 中央硝子株式会社 Method for producing tungsten hexafluoride
TWI760607B (en) * 2018-04-30 2022-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6788545B2 (en) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 How to form a tungsten film
US10854511B2 (en) * 2017-06-05 2020-12-01 Applied Materials, Inc. Methods of lowering wordline resistance
CN111149190A (en) * 2017-07-13 2020-05-12 应用材料公司 Method and apparatus for depositing tungsten nucleation layers
KR102424993B1 (en) 2017-09-11 2022-07-25 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
KR102513403B1 (en) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 Methods of depositing tungsten
JP7138518B2 (en) 2018-08-31 2022-09-16 東京エレクトロン株式会社 Film forming method and film forming system
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device
KR20200099112A (en) 2019-02-13 2020-08-21 세종대학교산학협력단 Composition for reducing metal precusor in ald process, and method of producing metal thin film using the same
JP2021038442A (en) * 2019-09-04 2021-03-11 東京エレクトロン株式会社 Substrate treatment method and substrate treatment apparatus
TW202206634A (en) * 2020-06-30 2022-02-16 美商應用材料股份有限公司 Selective tungsten deposition at low temperatures
KR20220060818A (en) 2020-11-05 2022-05-12 세종대학교산학협력단 Tunsten precusor, method and apparatus for deposition of tungsten film using the same
CN115836380A (en) * 2020-11-20 2023-03-21 朗姆研究公司 Low resistance pulsed CVD tungsten
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TWI493058B (en) 2007-05-15 2015-07-21 Applied Materials Inc Atomic layer deposition of tungsten materials
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101356332B1 (en) * 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8975142B2 (en) * 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
JP5864503B2 (en) 2013-09-30 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110310919A (en) * 2013-12-27 2019-10-08 朗姆研究公司 Realize the tungsten nucleation technique of low-resistivity tungsten feature filling
TWI760607B (en) * 2018-04-30 2022-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
CN112533873A (en) * 2018-08-17 2021-03-19 中央硝子株式会社 Method for producing tungsten hexafluoride

Also Published As

Publication number Publication date
KR20160140448A (en) 2016-12-07
JP7092456B2 (en) 2022-06-28
TWI747825B (en) 2021-12-01
JP2017008412A (en) 2017-01-12
KR102397797B1 (en) 2022-05-12

Similar Documents

Publication Publication Date Title
TWI747825B (en) Deposition of low fluorine tungsten by sequential cvd process
US11355345B2 (en) Method for preventing line bending during metal fill process
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
TWI709656B (en) Tungsten films having low fluorine content
TWI704251B (en) Tungsten for wordline applications
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US20200402846A1 (en) Self-limiting growth
JP2017008412A5 (en)
KR20220110343A (en) Low resistivity films containing molybdenum
TW201610201A (en) Methods of filling high aspect ratio features with fluorine free tungsten
TW201606121A (en) Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
TW202129049A (en) Molybedenum fill
KR20210092840A (en) Atomic Layer Deposition on 3D NAND Structures
TW202104638A (en) High step coverage tungsten deposition
TW202237880A (en) Low resistance pulsed cvd tungsten
TW201920748A (en) Tungsten nitride barrier layer deposition
TWI831756B (en) Method and apparatus for forming metal film
WO2023038905A1 (en) Process gas ramp during semiconductor processing
KR20240052872A (en) Process gas ramping during semiconductor processing
TW202302895A (en) Non-metal incorporation in molybdenum on dielectric surfaces