KR20160140448A - Deposition of low fluorine tungsten by sequential cvd process - Google Patents

Deposition of low fluorine tungsten by sequential cvd process Download PDF

Info

Publication number
KR20160140448A
KR20160140448A KR1020160064157A KR20160064157A KR20160140448A KR 20160140448 A KR20160140448 A KR 20160140448A KR 1020160064157 A KR1020160064157 A KR 1020160064157A KR 20160064157 A KR20160064157 A KR 20160064157A KR 20160140448 A KR20160140448 A KR 20160140448A
Authority
KR
South Korea
Prior art keywords
tungsten
substrate
containing precursor
layer
deposited
Prior art date
Application number
KR1020160064157A
Other languages
Korean (ko)
Other versions
KR102397797B1 (en
Inventor
아담 잔들
산제이 고피나스
샤오란 바
라아시나 후마윤
미첼 다넥
로렌스 슐로스
텐화 유
슈르티 비벡 톰바레
카이한 아비디 아쉬티아니
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/723,270 external-priority patent/US9613818B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160140448A publication Critical patent/KR20160140448A/en
Application granted granted Critical
Publication of KR102397797B1 publication Critical patent/KR102397797B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Abstract

The present invention relates to a method of deposition of low fluorine tungsten by a sequential CVD process, for example, by alternately pulsing tungsten hexafluoride and hydrogen gas in a cycle of temporally divided pulses. Partial methods include a step of deposing bulk tungsten by the sequential CVD process so as to form tungsten films with low stress having low fluorine composition after a step of deposing a tungsten nucleation layer at low pressure. Methods described on the specification can be performed by combining a non-sequential CVD deposition method and a fluorine-free tungsten deposition method.

Description

순차적인 CVD 프로세스에 의한 저 불소 텅스텐의 증착{DEPOSITION OF LOW FLUORINE TUNGSTEN BY SEQUENTIAL CVD PROCESS}[0001] DEPOSITION OF LOW FLUORINE TUNGSTEN BY SEQUENTIAL CVD PROCESS [0002]

텅스텐-함유 재료들의 증착은 많은 반도체 제조 프로세스들의 중요한 일부이다. 이러한 재료들은 수평적 상호접속부들, 인접하는 금속 층들 간의 비아들, 금속 층들과 실리콘 기판 상의 디바이스들 간의 콘택트들, 및 고 종횡비 피처들을 위해서 사용될 수도 있다. 반도체 기판에 대한 통상적인 텅스텐 증착 프로세스에서, 기판은 진공 챔버 내에서 프로세스 온도로 가열되고, 그리고 씨드 또는 핵생성 층 역할을 하는 텅스텐 막의 매우 얇은 부분이 증착된다. 이후에, 나머지 텅스텐 막 (벌크 층) 이 동시에 2개의 반응물질들에 기판을 노출시킴으로써 핵생성 층 상에 증착된다. 벌크 층은 일반적으로 핵생성 층보다 신속하게 증착된다. 그러나, 디바이스들이 축소되고 보다 복잡한 패터닝 스킴들 (schemes) 이 산업에서 활용됨에 따라, 얇은 텅스텐 막들의 증착은 문제가 생기게 된다.Deposition of tungsten-containing materials is an important part of many semiconductor manufacturing processes. These materials may be used for horizontal interconnections, vias between adjacent metal layers, contacts between the metal layers and devices on the silicon substrate, and high aspect ratio features. In a typical tungsten deposition process for a semiconductor substrate, the substrate is heated to the process temperature in a vacuum chamber and a very thin portion of the tungsten film is deposited which serves as a seed or nucleation layer. Thereafter, the remaining tungsten film (bulk layer) is deposited on the nucleation layer by simultaneously exposing the substrate to the two reactants. The bulk layer is generally deposited faster than the nucleation layer. However, as devices shrink and more complex patterning schemes are utilized in the industry, deposition of thin tungsten films becomes problematic.

텅스텐을 증착하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는: (a) 기판 상에 텅스텐 핵생성 층을 증착하도록 환원제와 텅스텐-함유 전구체의 교번하는 펄스들에 챔버 내의 기판을 노출시키는 단계; 및 (b) 텅스텐 핵생성 층 위에 벌크 텅스텐 층을 증착하도록 수소와 텅스텐-함유 전구체의 교번하는 펄스들에 기판을 노출시키는 단계를 포함하는 피처를 충진하는 방법을 수반하고, 여기서 단계 (a) 동안 챔버 압력은 10 Torr 이하이다.Methods and apparatus for depositing tungsten are provided herein. One aspect includes: (a) exposing a substrate in a chamber to alternating pulses of a reducing agent and a tungsten-containing precursor to deposit a tungsten nucleation layer on the substrate; And (b) exposing the substrate to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer over the tungsten nucleation layer, wherein during step (a) The chamber pressure is 10 Torr or less.

방법은: (c) 제 2 벌크 텅스텐 층을 증착하도록 동시에 환원제와 텅스텐-함유 전구체에 기판을 노출시키는 단계를 더 포함할 수도 있다. 방법은 또한 (d) 단계 (b) 의 2 이상의 사이클들마다 단계 (c) 를 수행하는 단계를 더 포함할 수도 있고, 단계 (b) 의 사이클은 수소의 펄스와 텅스텐-함유 전구체의 펄스를 포함한다.The method may further comprise: (c) exposing the substrate to a reducing agent and a tungsten-containing precursor simultaneously to deposit a second bulk tungsten layer. The method may further comprise (d) performing step (c) for every two or more cycles of step (b), wherein the cycle of step (b) comprises a pulse of hydrogen and a pulse of tungsten- do.

다양한 실시예들에서, 단계 (b) 는 수소의 펄스와 텅스텐-함유 전구체의 펄스를 포함한 사이클들로 수행되고, 그리고 사이클 각각은 적어도 약 0.3 Å의 두께를 갖는 서브모노레이어 (submonolayer) 를 형성한다.In various embodiments, step (b) is performed with cycles comprising a pulse of hydrogen and a pulse of tungsten-containing precursor, and each cycle forms a submonolayer having a thickness of at least about 0.3 A .

단계 (a) 의 텅스텐-함유 전구체는 단계 (b) 의 텅스텐-함유 전구체와 상이할 수도 있다. 일부 실시예들에서, 단계 (a) 의 텅스텐-함유 전구체는 불소 프리이다.The tungsten-containing precursor of step (a) may be different from the tungsten-containing precursor of step (b). In some embodiments, the tungsten-containing precursor of step (a) is fluorine-free.

증착된 텅스텐은, 증착된 500 Å 당 약 1 ㎬ 미만의 인장 응력을 가질 수도 있다.The deposited tungsten may have a tensile stress of less than about 1 micron per deposited 500 angstroms.

또 다른 양태는 (i) 기판을 환원제에 노출시키고, 그리고 (ii) 기판을 불소 프리 텅스텐-함유 전구체에 노출시킴으로써 (a) 텅스텐 층을 기판 상에 증착하는 단계; 및 (i) 기판을 수소 (H2) 에 노출시키는 단계, (ii) 기판을 제 2 텅스텐-함유 전구체에 노출시키는 단계, 및 (iii) 벌크 텅스텐 층을 증착하도록 하나 이상의 사이클들로 단계 (i) 및 (ii) 를 반복하는 단계를 포함하는, 벌크 텅스텐 층을 사이클들로 증착하는 단계를 포함한, 기판 상에 텅스텐을 증착하는 방법을 수반한다.Another aspect provides a method of forming a tungsten layer, comprising: (a) exposing a substrate to a reducing agent; and (ii) exposing the substrate to a fluorine free tungsten-containing precursor; And (i) exposing the substrate to the hydrogen (H 2), (ii) the substrate second tungsten-exposing the containing precursor, and (iii) into one or more cycles to deposit the bulk tungsten layer (i ) And (ii), comprising depositing a bulk tungsten layer as a cycle, comprising depositing tungsten on the substrate.

일부 실시예들에서, 불소 프리 텅스텐-함유 전구체는 금속-유기 텅스텐-함유 전구체들, 텅스텐 클로라이드들, 및 텅스텐 헥사카보닐로 구성된 그룹으로부터 선택된다.In some embodiments, the fluorine-free tungsten-containing precursor is selected from the group consisting of metal-organic tungsten-containing precursors, tungsten chlorides, and tungsten hexacarbonyl.

다양한 실시예들에서, 불소 프리 텅스텐-함유 전구체는 텅스텐 헥사클로라이드이다. 다양한 실시예들에서, 불소 프리 텅스텐-함유 전구체는 텅스텐 펜타클로라이드이다.In various embodiments, the fluorine free tungsten-containing precursor is tungsten hexachloride. In various embodiments, the fluorine free tungsten-containing precursor is tungsten pentachloride.

단계 (a) 의 텅스텐 층은 약 2 Å 내지 약 100 Å의 두께로 증착될 수도 있다. 단계 (b) 의 사이클 각각은 적어도 약 0.3 Å의 두께를 갖는 서브모노레이어를 형성할 수도 있다.The tungsten layer of step (a) may be deposited to a thickness of about 2 A to about 100 A. [ Each of the cycles of step (b) may form a sub-mono layer having a thickness of at least about 0.3 Angstroms.

또 다른 양태는 (a) 기판 위에 벌크 텅스텐 층을 증착하도록 수소와 텅스텐-함유 전구체의 교번하는 펄스들에 기판을 노출시키는 단계; 및 (b) 기판 위에 제 2 벌크 텅스텐 층을 증착하도록 동시에 텅스텐-함유 전구체와 환원제에 기판을 노출시키는 단계를 포함하는, 피처를 충진하는 방법을 수반한다.Another aspect includes a method comprising: (a) exposing a substrate to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer on the substrate; And (b) exposing the substrate to a tungsten-containing precursor and a reducing agent simultaneously to deposit a second bulk tungsten layer over the substrate.

다양한 실시예들에서, 단계 (a) 및 단계 (b) 는 순차적으로 반복된다.In various embodiments, step (a) and step (b) are repeated sequentially.

단계 (b) 의 텅스텐-함유 전구체는 금속-유기 텅스텐-함유 전구체들, 텅스텐 클로라이드들, 및 텅스텐 헥사카보닐로 구성된 그룹으로부터 선택된 불소 프리 텅스텐-함유 전구체일 수도 있다. The tungsten-containing precursor of step (b) may be a fluorine-free tungsten-containing precursor selected from the group consisting of metal-organic tungsten-containing precursors, tungsten chlorides, and tungsten hexacarbonyl.

일부 실시예들에서, 단계 (a) 의 텅스텐-함유 전구체는 단계 (b) 의 텅스텐-함유 전구체와 상이하다.In some embodiments, the tungsten-containing precursor of step (a) is different from the tungsten-containing precursor of step (b).

또 다른 양태는 (a) 기판을 홀딩하도록 구성된 페데스탈을 포함한 적어도 하나의 프로세스 챔버; (b) 진공과 커플링하기 위한 적어도 하나의 유출부; (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 (d) 장치 내의 동작들을 제어하기 위한 제어기를 포함하는, 기판들을 프로세싱하기 위한 장치를 수반하고, 제어기는, (i) 프로세스 챔버에 교번하는 펄스들로 환원제 및 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션; 및 (ii) 프로세스 챔버에 교번하는 펄스들로 수소 및 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션을 포함하고, 머신-판독가능 인스트럭션 (i) 동안 챔버 압력은 10 Torr 이하이다.Yet another aspect includes a process chamber comprising: (a) at least one process chamber including a pedestal configured to hold a substrate; (b) at least one outlet for coupling with a vacuum; (c) one or more process gas inlets coupled to the one or more process gas sources; And (d) a controller for controlling operations within the apparatus, the controller comprising: (i) a processor for introducing a reducing agent and a tungsten-containing precursor into the process chamber in alternating pulses; Machine-readable instructions; And (ii) machine-readable instructions for introducing hydrogen and a tungsten-containing precursor into alternating pulses in the process chamber, wherein the chamber pressure during the machine-readable instruction (i) is less than or equal to 10 Torr.

또 다른 양태는 (a) 기판을 홀딩하도록 구성된 페데스탈을 포함한 적어도 하나의 프로세스 챔버; (b) 진공과 커플링하기 위한 적어도 하나의 유출부; (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 (d) 장치 내의 동작들을 제어하기 위한 제어기를 포함한, 기판들을 프로세싱하기 위한 장치를 수반하고, 제어기는, (i) 벌크 텅스텐 층을 증착하도록 프로세스 챔버에 교번하는 펄스들로 수소 및 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션; 및 (ii) 제 2 벌크 텅스텐 층을 증착하도록 프로세스 챔버에 텅스텐-함유 전구체 및 환원제를 동시에 도입하기 위한 머신-판독가능 인스트럭션을 포함한다. 제어기는 머신-판독가능 인스트럭션들 (i) 및 (ii) 를 순차적으로 반복하기 위한 머신-판독가능 인스트럭션들을 더 포함할 수도 있다.Yet another aspect includes a process chamber comprising: (a) at least one process chamber including a pedestal configured to hold a substrate; (b) at least one outlet for coupling with a vacuum; (c) one or more process gas inlets coupled to the one or more process gas sources; And (d) a controller for controlling operations within the apparatus, the controller comprising: (i) a plasma processing system including (i) pulses of alternating hydrogen and tungsten in the process chamber to deposit a bulk tungsten layer Machine-readable instructions for introducing a precursor; And (ii) machine-readable instructions for concurrently introducing a tungsten-containing precursor and a reducing agent into the process chamber to deposit a second bulk tungsten layer. The controller may further include machine-readable instructions for serially repeating machine-readable instructions (i) and (ii).

이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.These and other aspects are further described below with reference to the drawings.

도 1a는 기판 상의 예시적인 막들의 개략적인 예시이다.
도 1b 내지 도 1h는 개시된 실시예들에 따른, 내부에 텅스텐이 증착될 수도 있는 다양한 구조체들의 개략적인 예들이다.
도 2a 및 도 2b는 개시된 실시예들에 따른, 방법들에 대한 동작들을 도시하는 프로세스 흐름도들이다.
도 2c는 개시된 실시예들에 따른, 방법의 예시적인 사이클들을 도시하는 타이밍 시퀀스도이다.
도 3a 내지 도 3j는 개시된 실시예들에 따른, 막들을 증착하기 위한 메커니즘의 예의 개략도들이다.
도 3k는 개시된 실시예들에 따른, 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 5는 개시된 실시예들을 수행하기 위한 예시적인 스테이션의 개략도이다.
도 6은 다양한 타이밍 시퀀스도들을 도시한다.
도 7 내지 도 11b는 실험 결과들의 플롯들이다.
Figure 1A is a schematic illustration of exemplary films on a substrate.
Figures 1B-1H are schematic illustrations of various structures in which tungsten may be deposited, according to the disclosed embodiments.
Figures 2a and 2b are process flow diagrams illustrating operations for methods in accordance with the disclosed embodiments.
2C is a timing sequence diagram illustrating exemplary cycles of a method, in accordance with the disclosed embodiments.
Figures 3A-J are schematic diagrams of examples of mechanisms for depositing films, in accordance with the disclosed embodiments.
3K is a process flow diagram illustrating operations for a method, in accordance with the disclosed embodiments.
4 is a schematic diagram of an exemplary process tool for performing the disclosed embodiments.
5 is a schematic diagram of an exemplary station for performing the disclosed embodiments.
6 shows various timing sequence diagrams.
Figures 7 to 11B are plots of experimental results.

다음의 기술에서, 수많은 구체적인 상세들이 제공된 실시예들의 완전한 이해를 제공하도록 제시된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않음이 이해될 것이다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the embodiments provided. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the disclosed embodiments. The disclosed embodiments will be described in conjunction with specific embodiments, but it will be understood that they are not intended to limit the disclosed embodiments.

피처들의 텅스텐 (W) 충진은 전기적 콘택트들을 형성하도록 반도체 디바이스 제조에서 종종 사용된다. 디바이스들이 보다 작은 기술 노드들로 스케일되고 (scale) 보다 복잡한 패터닝 구조체들이 사용되기 때문에 텅스텐 충진의 다양한 과제들이 있게 된다. 일 과제는 증착된 텅스텐 막 내의 불소 농도 또는 함량을 감소시키는 것이다. 보다 큰 피처들과 비교할 때, 보다 큰 피처와 텅스텐 막 내의 불소 농도가 동일한 보다 작은 피처는, 디바이스의 성능에 더 상당히 영향을 준다. 예를 들어, 피처가 더 작아질수록, 더 얇은 막들이 증착된다. 그 결과, 증착된 텅스텐 막 내의 불소가 보다 얇은 막들을 통해 확산을 더 해서, 잠재적으로 디바이스 고장을 유발한다.Tungsten (W) filling of features is often used in semiconductor device fabrication to form electrical contacts. As the devices scale to smaller technology nodes and more complex patterning structures are used, various challenges of tungsten filling occur. One task is to reduce the fluorine concentration or content in the deposited tungsten film. Compared to larger features, smaller features with smaller fluoride concentrations in the tungsten film have a greater impact on the performance of the device. For example, the smaller the feature, the thinner the films are deposited. As a result, fluorine in the deposited tungsten film adds diffusion through thinner films, potentially resulting in device failure.

불소 확산을 방지하는 일 방법은, 텅스텐으로부터 옥사이드 층과 같은 기판의 다른 층들로 불소가 확산하는 것을 방지하도록 텅스텐을 증착하기 전에 하나 이상의 배리어 층들을 증착하는 단계를 포함한다. 예를 들어, 도 1a는 기판 상에 증착된 층들의 예시적인 스택을 도시한다. 기판 (190) 은 실리콘 층 (192), 옥사이드 층 (194) (예를 들어, 티타늄 옥사이드 (TiOx), TEOS (tetraethyl orthosilicate) 옥사이드, 등), 배리어 층 (196) (예를 들어, 티타늄 나이트라이드 (TiN)), 텅스텐 핵생성 층 (198), 및 벌크 텅스텐 층 (199) 을 포함한다. 배리어 층 (196) 은 벌크 텅스텐 층 (199) 및 텅스텐 핵생성 층 (198) 으로부터 옥사이드 층으로의 불소 확산을 방지하도록 증착된다. 그러나, 디바이스들이 축소됨에 따라, 배리어 층들이 보다 얇아지게 되고, 불소는 여전히 증착된 텅스텐 층들로부터 확산할 수도 있다. 보다 고온에서 수행된 벌크 텅스텐의 CVD (chemical vapor deposition) 가 보다 저 불소 함량을 발생시키지만, 이러한 막들은 불량한 단차 커버리지를 갖는다.One method of preventing fluorine diffusion involves depositing one or more barrier layers prior to depositing tungsten to prevent fluorine from diffusing from tungsten to other layers of the substrate, such as an oxide layer. For example, Figure IA shows an exemplary stack of layers deposited on a substrate. The substrate 190 may include a silicon layer 192, an oxide layer 194 (e.g., TiOx, TEOS, etc.), a barrier layer 196 (e.g., titanium nitride (TiN)), a tungsten nucleation layer (198), and a bulk tungsten layer (199). The barrier layer 196 is deposited to prevent fluorine diffusion from the bulk tungsten layer 199 and the tungsten nucleation layer 198 to the oxide layer. However, as the devices shrink, the barrier layers become thinner and fluorine may still diffuse out of the deposited tungsten layers. Although chemical vapor deposition (CVD) of bulk tungsten performed at higher temperatures produces lower fluorine content, these films have poor step coverage.

또 다른 과제는 증착된 텅스텐 막들 내의 저항을 감소시키는 것이다. 보다 얇은 막들은 보다 두꺼운 막들보다 보다 고 저항을 갖는 경향이 있다. 피처들이 보다 작아짐에 따라, 텅스텐 콘택트 또는 선 저항이 보다 얇은 텅스텐 막들의 스캐터링 효과들에 기인하여 증가한다. 저 저항률 텅스텐 막들은 집적 회로 설계들의 전력 손실들 및 과열을 최소화시킨다. 텅스텐 핵생성 층들은 통상적으로 상부 벌크 층들보다 보다 고 전기 저항률들을 갖는다. 콘택트들, 비아들, 및 다른 피처들 내에 증착된 배리어 층들은 또한 고 저항률들을 가질 수도 있다. 또한, 얇은 배리어 및 텅스텐 핵생성 막들은 보다 작은 피처들의 보다 큰 퍼센티지를 차지하고, 피처 내의 전체 저항을 증가시킨다. 텅스텐 막의 저항률은 증착된 막의 두께에 의존하며, 이러한 저항률은 경계 효과들로 인해서 두께가 감소함에 따라 증가한다. Another challenge is to reduce the resistance in the deposited tungsten films. Thinner films tend to have higher resistance than thicker films. As the features become smaller, the tungsten contact or line resistance increases due to the scattering effects of thinner tungsten films. Low resistivity tungsten films minimize power losses and overheating of integrated circuit designs. The tungsten nucleation layers typically have higher electrical resistivities than the top bulk layers. The barrier layers deposited in the contacts, vias, and other features may also have high resistivities. In addition, thin barrier and tungsten nucleation films occupy a larger percentage of smaller features and increase overall resistance in the features. The resistivity of the tungsten film depends on the thickness of the deposited film, and this resistivity increases as the thickness decreases due to boundary effects.

또 다른 과제는 증착된 막들 상의 응력을 감소시키는 것이다. 보다 얇은 텅스텐 막들은 증가된 인장 응력을 갖는 경향이 있다. CVD에 의해 벌크 텅스텐 막들을 증착하기 위한 종래의 기법들은 200 Å 막에 대해 2.5 ㎬ 초과의 인장 응력을 갖는다. 고 열 인장 응력은 기판이 컬링되게 (curl) 하고, 이는 차후의 프로세싱을 어렵게 만든다. 예를 들어, 차후의 프로세스들은 CMP (chemical mechanical planarization), 재료들의 증착, 및/또는 챔버 내에서 프로세스들을 수행하도록 기판 홀더에 기판을 클램핑하는 것을 포함할 수도 있다. 그러나, 이들 프로세스들은 종종 평평한 기판을 필요로 하고, 컬링된 기판은 불균일한 프로세싱 또는 기판 프로세싱의 불능을 발생시킨다. 어닐링과 같은, 다른 재료들의 막들 내의 응력을 감소시키기 위한 기존의 방법들이 있지만, 텅스텐은 텅스텐의 고 융해점에 기인하여 일단 증착된다면 입자들이 이동되거나 변경되게 하는 표면 이동도를 갖지 않는다.Another challenge is to reduce the stress on the deposited films. Thinner tungsten films tend to have increased tensile stress. Conventional techniques for depositing bulk tungsten films by CVD have tensile stresses greater than 2.5 microns for the 200 Å film. High thermal tensile stress curl the substrate, which makes subsequent processing difficult. For example, subsequent processes may include chemical mechanical planarization (CMP), deposition of materials, and / or clamping the substrate to a substrate holder to perform processes in the chamber. However, these processes often require a flat substrate, and the curled substrate causes non-uniform processing or incapability of substrate processing. There are conventional methods for reducing the stresses in the films of other materials, such as annealing, but tungsten has no surface mobility that would cause the particles to move or change if once deposited due to the high melting point of tungsten.

순차적인 CVD 프로세스를 사용하여 저 불소 농도를 가진 텅스텐 막들을 증착하는 방법들이 본 명세서에 제공된다. 증착된 막들은 또한 저 응력을 가질 수도 있다. 방법들은 수소 및 텅스텐 헥사플루오라이드와 같은 텅스텐-함유 전구체를 사이클들로 도입하는 단계를 수반한다. 개시된 실시예들은 종래의 CVD에 의해 증착된 막들보다 실질적으로 보다 저 불소 함량을 가진 저 응력 텅스텐 막을 증착하도록 다른 텅스텐 증착 프로세스들과 통합될 수도 있다. 예를 들어, 순차적인 CVD 프로세스들은 저압의 핵생성 층 증착 프로세스, 불소 프리 텅스텐 층 증착 프로세스, 및/또는 비순차적인 CVD 프로세스와 통합될 수도 있다. 개시된 실시예들은 폭 넓은 다양한 애플리케이션들을 갖는다. 방법들은 고 단차 커버리지를 가진 피처들 내로 텅스텐을 증착하도록 사용될 수도 있고, 그리고 또한 깊은 트렌치들을 가진 구조체들을 포함한, 3D NAND 및 수직 NAND 구조체들 내로 텅스텐을 증착하도록 사용될 수도 있다.Methods for depositing tungsten films with low fluorine concentration using sequential CVD processes are provided herein. The deposited films may also have low stress. The processes involve introducing hydrogen and tungsten-containing precursors such as tungsten hexafluoride into the cycles. The disclosed embodiments may be integrated with other tungsten deposition processes to deposit a low stress tungsten film having a substantially lower fluorine content than films deposited by conventional CVD. For example, sequential CVD processes may be integrated with low pressure nucleation layer deposition processes, fluorine pre tungsten layer deposition processes, and / or non-sequential CVD processes. The disclosed embodiments have a wide variety of applications. The methods may be used to deposit tungsten into features with high step coverage and may also be used to deposit tungsten into 3D NAND and vertical NAND structures, including structures with deep trenches.

순차적인 CVD 프로세스들은 비순차적인 CVD, 펄싱된 CVD, ALD (atomic layer deposition), 및 핵생성 층 증착과 구별된다. 비순차적인 CVD 프로세스들은 2개의 반응물질들 양자가 증착 동안 동시에 흐르도록 2개의 반응물질들의 동시의 노출을 수반한다. 예를 들어, 벌크 텅스텐은 피처들을 충진하기에 충분한 지속기간 동안 동시에 수소 (H2) 및 텅스텐 헥사플루오라이드 (WF6) 에 기판을 노출시킴으로써 증착될 수도 있다. 수소 및 WF6은 피처들 내로 텅스텐을 증착하도록 노출 동안 반응한다. 펄싱된 CVD 프로세스들에서, 일 반응물질이 연속적으로 흐르는 동안 다른 반응물질이 펄싱되지만, 기판은 펄스 각각 동안 재료를 증착하도록 증착 동안 반응물질들 양자에 노출된다. 예를 들어, 기판은 WF6이 펄싱되는 동안 H2의 연속적인 플로우에 노출될 수도 있고, 그리고 WF6 및 H2는 텅스텐을 증착하도록 펄스 동안 반응한다.Sequential CVD processes are distinguished from non-sequential CVD, pulsed CVD, atomic layer deposition (ALD), and nucleation layer deposition. Non-sequential CVD processes involve simultaneous exposure of the two reactants such that both reactants flow simultaneously during deposition. For example, bulk tungsten may be deposited by simultaneously exposing the substrate to hydrogen (H 2 ) and tungsten hexafluoride (WF 6 ) for a duration sufficient to fill the features. Hydrogen and WF 6 react during exposure to deposit tungsten into the features. In pulsed CVD processes, while one reactant material is pulsed while the other reactant is flowing, the substrate is exposed to both reactants during deposition to deposit the material for each pulse. For example, the substrate may be exposed to a continuous flow of H 2 while WF 6 is pulsed, and WF 6 and H 2 react during pulses to deposit tungsten.

대조적으로, 순차적인 CVD 프로세스들은 반응물질들이 동시에 증착 동안 챔버 내로 흐르지 않도록 반응물질 각각에 대한 개별적인 노출들을 구현한다. 오히려, 반응물질 플로우 각각은 시퀀스로 시간적으로 분리된 펄스들로 기판을 하우징하는 챔버에 도입되고, 사이클들로 1회 이상 반복된다. 일반적으로 사이클은 표면 증착 반응을 1회 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 하나의 부분적인 막 층의 생성이다. 순차적인 CVD의 사이클들은 이하에 더 상세히 기술된다.In contrast, sequential CVD processes implement individual exposures for each of the reactants so that reactants do not flow into the chamber during deposition at the same time. Rather, each of the reactant flows is introduced into the chamber housing the substrate with the pulses temporally separated in sequence and repeated one or more times in cycles. Generally, the cycle is the minimum set of operations used to perform the surface deposition reaction once. The result of one cycle is the creation of at least one partial film layer on the substrate surface. The cycles of sequential CVD are described in more detail below.

ALD 및 핵생성 층 증착은 또한 사이클들로 시간적으로 분리된 펄스들로 2개의 반응물질들에 기판을 노출시키는 것을 수반한다. 예를 들어, ALD 사이클에서, 제 1 반응물질은 챔버 내로 흐르고, 챔버는 퍼지되고, 제 2 반응물질은 챔버 내로 흐르고, 그리고 챔버는 다시 퍼지된다. 이러한 사이클들은 통상적으로 막 두께를 구축하도록 반복된다. 종래의 ALD 및 핵생성 층 증착 사이클들에서, 제 1 반응물질 플로우는 자기-제한 반응의 제 1 "도즈"를 이룬다. 예를 들어, 기판은 제한된 수의 활성 사이트들을 포함하고, 제 1 반응물질이 기판 상의 활성 사이트들 상에 흡착되고 그리고 표면을 포화시키고, 그리고 제 2 반응물질은 사이클들에서 층 단위로 (layer by layer) 재료를 증착하도록 흡착된 층과 반응한다.ALD and nucleation layer deposition also involves exposing the substrate to two reactants with the pulses temporally separated into cycles. For example, in an ALD cycle, the first reactant flows into the chamber, the chamber is purged, the second reactant flows into the chamber, and the chamber is purged again. These cycles are typically repeated to build the film thickness. In conventional ALD and nucleation layer deposition cycles, the first reactant flow forms the first "dose" of the self-limiting reaction. For example, the substrate may comprise a limited number of active sites, the first reactant being adsorbed on the active sites on the substrate and saturating the surface, and the second reactant being deposited in layers by layer lt; RTI ID = 0.0 > layer < / RTI > material.

그러나, 순차적인 CVD에서, 반응물질들은 반드시 기판 상의 활성 사이트들 상에 흡착될 필요가 없고 그리고 일부 실시예들에서, 반응은 자기-제한적이지 않을 수도 있다. 예를 들어, 순차적인 CVD에서 사용된 반응물질들은 저 흡착 레이트를 가질 수도 있다. 게다가, 기판의 표면 상의 반응물질들은, 제 2 반응물질이 도입될 때 제 2 반응물질과 반드시 반응할 필요가 없을 수도 있다. 오히려, 순차적인 CVD의 일부 실시예들에서, 기판 상의 일부 반응물질들은 사이클 동안 반응되지 않고, 그리고 차후의 사이클까지 반응되지 않는다. 일부 반응물질들은 화학량론적 특성들, 입체 장해 (steric hindrance), 또는 다른 효과들에 기인하여 반응하지 않을 수도 있다.However, in sequential CVD, the reactants do not necessarily need to be adsorbed onto the active sites on the substrate and, in some embodiments, the reactions may not be self-limiting. For example, the reactants used in sequential CVD may have low adsorption rates. In addition, the reactants on the surface of the substrate may not necessarily react with the second reactant when the second reactant is introduced. Rather, in some embodiments of sequential CVD, some of the reactants on the substrate are not reacted during the cycle and are not reacted until further cycles. Some reactants may not react due to stoichiometric properties, steric hindrance, or other effects.

본 명세서에 기술된 방법들이 챔버 내에 하우징될 수도 있는 기판 상에서 수행된다. 기판은 실리콘 웨이퍼일 수도 있으며, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있으며, 이들은 그 상에 증착된 재료, 예를 들어 유전체, 도전성 또는 반도전성 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함한다. 기판들은 좁은 개구 및/또는 재차 들어간 (re-entrant) 개구, 피처 내의 협착부들 (constriction), 및 고종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 피처들을 포함할 수도 있다. 피처는 하나 이상의 상기에 기술된 층들 내에 형성될 수도 있다. 예를 들어, 피처는 적어도 부분적으로 유전체 층 내에 형성될 수도 있다. 일부 실시예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 또는 그보다 높은 종횡비를 가질 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 홀 또는 비아이다.The methods described herein are performed on a substrate that may be housed in a chamber. The substrate may be a silicon wafer, for example, a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, which may be a material, such as a dielectric, a conductive or semiconductive material And wafers having one or more layers. The substrates may include features such as vias or contact holes that may feature one or more of narrow openings and / or re-entrant openings, constrictions in features, and high aspect ratios . The features may be formed in one or more of the layers described above. For example, the features may be formed at least partially within the dielectric layer. In some embodiments, the features may have an aspect ratio of at least about 2: 1, at least about 4: 1, at least about 6: 1, at least about 10: 1, or even higher. One example of a feature is a hole or via in a layer on a semiconductor substrate or substrate.

도 1b 내지 도 1h는 개시된 실시예들에 따른, 내부에 텅스텐이 증착될 수도 있는 다양한 구조체들의 개략적인 예들이다. 도 1b는 텅스텐으로 충진될 수직 피처 (101) 의 단면도의 예를 도시한다. 피처는 기판 (103) 내에 피처 홀 (105) 을 포함할 수 있다. 홀 (105) 은 또한 개구와 근사한 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어, 약 25 ㎚ 내지 300 ㎚의 개구 직경 또는 라인 폭을 가질 수도 있다. 피처 홀 (105) 은 충진되지 않은 피처 또는 단순히 피처로서 지칭될 수 있다. 피처 (101), 및 임의의 피처는 수직 축들을 가진 수직으로-배향된 피처들 및 수평 축들을 가진 수평으로-배향된 피처들과 함께, 피처의 길이를 통해 연장하는 축 (118) 을 부분적으로 특징으로 할 수도 있다.Figures 1B-1H are schematic illustrations of various structures in which tungsten may be deposited, according to the disclosed embodiments. Figure IB shows an example of a cross-sectional view of a vertical feature 101 to be filled with tungsten. The features may include feature holes 105 in the substrate 103. The hole 105 may also have an opening diameter or line width that approximates the opening, for example, from about 10 nm to 500 nm, for example, from about 25 nm to 300 nm. The feature hole 105 may be referred to as an unfilled feature or simply as a feature. The features 101, and any features, may be used to partially (or at least partially) define an axis 118 that extends through the length of the feature, with horizontally-oriented features having vertically-oriented features and horizontal axes with vertical axes It can also be a feature.

일부 실시예들에서, 피처들은 3D NAND 구조체 내의 트렌치들이다. 예를 들어, 기판은 적어도 60개의 라인들을 가진 워드선 구조체, 18 내지 48개의 층들, 적어도 200 Å 깊이의 트렌치들을 포함할 수도 있다. 또 다른 예는 기판 또는 층 내의 트렌치이다. 피처들은 임의의 깊이의 피처들일 수도 있다. 다양한 실시예들에서, 피처는 배리어 층 또는 부착 층과 같은 하층 (under-layer) 을 가질 수도 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. In some embodiments, the features are trenches within the 3D NAND structure. For example, the substrate may comprise a word line structure with at least 60 lines, 18 to 48 layers, trenches at least 200 angstroms deep. Another example is a trench in a substrate or layer. The features may be features of any depth. In various embodiments, the features may have an under-layer such as a barrier layer or an adhesion layer. Non-limiting examples of sublayers include dielectric layers and conductive layers, such as silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.

도 1c는 재차 들어간 프로파일을 가진 피처 (101) 의 예를 도시한다. 재차 들어간 프로파일은 폐쇄된 하단 단부로부터 피처 개구로 또는 피처의 내부로부터 피처 개구로 좁아지는 프로파일이다. 다양한 구현예들에 따르면, 프로파일은 점점 좁아질 수도 있고 그리고/또는 피처 개구에서 오버행 (overhang) 을 포함할 수도 있다. 도 1c는 피처 홀 (105) 의 내부 표면들 또는 측벽을 라이닝하는 (line) 하층 (113) 을 가진 후자의 예를 도시한다. 하층 (113) 은 예를 들어, 확산 배리어 층, 접착 층, 핵생성 층, 이들의 조합, 또는 임의의 다른 적절한 재료일 수 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함할 수 있다. 특정한 구현예들에서, 하층은 하나 이상의 Ti, TiN, WN, TiAl, 및 W일 수 있다. 하층 (113) 은 하층 (113) 이 피처 (101) 의 내부보다 피처 (101) 의 개구 근방에서 보다 두껍도록 오버행 (115) 을 형성한다.Fig. 1C shows an example of a feature 101 having a recessed profile again. The reentrant profile is a profile that narrows from the closed lower end to the feature opening or from the interior of the feature to the feature opening. According to various implementations, the profile may become narrower and / or may include overhang at the feature aperture. FIG. 1C illustrates the latter example with a lower layer 113 lining the inner surfaces or sidewalls of the feature hole 105. The lower layer 113 may be, for example, a diffusion barrier layer, an adhesive layer, a nucleation layer, a combination thereof, or any other suitable material. Non-limiting examples of sublayers may include dielectric layers and conductive layers, such as silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. have. In certain embodiments, the underlayer can be one or more of Ti, TiN, WN, TiAl, and W. The lower layer 113 forms the overhang 115 such that the lower layer 113 is thicker than the inside of the feature 101 in the vicinity of the opening of the feature 101. [

일부 구현예들에서, 피처 내에 하나 이상의 협착부들을 가진 피처들이 충진될 수도 있다. 도 1d는 협착부들을 가진 다양한 충진된 피처들의 도면들의 예들을 도시한다. 도 1d에서 예들 (a), (b) 및 (c) 각각은, 피처 내의 중간지점에 협착부 (109) 를 포함한다. 협착부 (109) 는 예를 들어, 약 15 ㎚ 내지 20 ㎚ 너비일 수 있다. 협착부들은 피처의 부분이 충진되기 전에 협착부를 지나서 추가의 증착을 차단하는 증착된 텅스텐과 함께, 종래의 기법들을 사용하여 피처 내에 텅스텐을 증착하는 동안 핀치 오프 (pinch off) 를 유발할 수 있고, 피처 내에 보이드들을 발생시킨다. 예 (b) 는 피처 개구에 라이너/배리어 오버행 (115) 을 더 포함한다. 이러한 오버행은 또한 잠재적인 핀치-오프 지점일 수 있다. 예 (c) 는 예 (b) 의 오버행 (115) 보다 필드 구역으로부터 더 떨어져서 협착부 (112) 를 포함한다.In some implementations, the features may be filled with features having one or more constriction in the feature. Figure ID shows examples of drawings of various filled features with constriction. In Figures 1 (d), each of Figures (a), (b) and (c) includes a constriction 109 at an intermediate point in the feature. The constriction 109 may be, for example, about 15 nm to 20 nm wide. The constrictions may cause pinch off during depositing tungsten in the feature using conventional techniques, with deposited tungsten blocking the further deposition past the constriction before the portion of the feature is filled, Voids are generated. Example (b) further includes a liner / barrier overhang 115 in the feature opening. This overhang may also be a potential pinch-off point. The example (c) includes the constriction 112 further away from the field zone than the overhang 115 of example (b).

3-D 메모리 구조체들과 같은, 수평 피처들이 또한 충진될 수 있다. 도 1e는 협착부 (151) 를 포함하는 수평 피처 (150) 의 예를 도시한다. 예를 들어, 수평 피처 (150) 는 VNAND 구조체 내의 워드선일 수도 있다.Horizontal features, such as 3-D memory structures, can also be filled. FIG. 1E shows an example of a horizontal feature 150 including a constriction 151. FIG. For example, the horizontal feature 150 may be a word line in the VNAND structure.

일부 구현예들에서, 협착부들은 VNAND 구조체 또는 다른 구조체 내의 필라들 (pillar) 의 존재 때문에 있을 수 있다. 도 1f는 예를 들어, VNAND 또는 VIM (vertically integrated memory) 구조체 (148) 내의 필라들 (125) 의 평면도를 도시하고, 도 1g는 필라들 (125) 의 단면도의 간략한 개략도를 도시한다. 도 1f에서 화살표들은 증착 재료를 나타내고; 필라들 (125) 이 영역 (127) 과 가스 유입부 또는 다른 증착 소스 사이에 배치되기 때문에, 인접한 필라들은 영역 (127) 의 보이드 프리 충진에서 과제들을 나타내는 협착부들 (151) 을 발생시킬 수 있다.In some embodiments, the constriction may be due to the presence of pillars in the VNAND structure or other structures. 1F shows a top view of pillars 125 in a VNAND or vertically integrated memory (VIM) structure 148, and FIG. 1G shows a simplified schematic view of a cross-section of pillars 125. FIG. The arrows in Fig. 1f represent the deposition material; Because the pillars 125 are disposed between the region 127 and the gas inlet or other deposition source, adjacent pillars can generate stalks 151 that exhibit challenges in void-free filling of the region 127.

구조체 (148) 는 예를 들어, 기판 (100) 상에 교번하는 층간 유전체 층들 (154) 과 희생적인 층들 (미도시) 의 스택을 증착함으로써 그리고 희생적인 층들을 선택적으로 에칭함으로써 형성될 수 있다. 층간 유전체 층들은 예를 들어, 에천트를 사용하여 선택적으로 에칭 가능한 재료로 이루어진 희생적인 층들을 가진, 실리콘 옥사이드 및/또는 실리콘 나이트라이드 층들일 수도 있다. 완성된 메모리 디바이스의 채널 구역들을 포함할 수 있는, 필라들 (125) 을 형성하도록 에칭 프로세스 및 증착 프로세스가 이어질 수도 있다.The structure 148 may be formed, for example, by depositing a stack of alternating interlayer dielectric layers 154 and sacrificial layers (not shown) on the substrate 100 and selectively etching the sacrificial layers. The interlayer dielectric layers may be silicon oxide and / or silicon nitride layers, for example, with sacrificial layers of selectively etchable material using an etchant. An etching process and a deposition process may be followed to form the pillars 125, which may include channel regions of the completed memory device.

기판 (100) 의 주 표면은 x 방향 및 y 방향으로 연장될 수 있고, 필라들 (125) 은 z 방향으로 배향된다. 도 1f 및 도 1g의 예에서, x-방향에서 바로 인접한 필라들 (125) 이 y-방향에서 서로 오프셋되도록 (그 역도 가능) 필라들 (125) 은 오프셋 방식으로 배치된다. 다양한 구현예들에 따르면, 필라들 (그리고 인접한 필라들에 의해 형성된 대응하는 협착부들) 은 임의의 수의 방식들로 배치될 수도 있다. 게다가, 필라들 (125) 은 원형, 정사각형, 등을 포함한 임의의 형상일 수도 있다. 필라들 (125) 은 환형 반도전성 재료, 또는 원형 (또는 정사각형) 반도전성 재료를 포함할 수 있다. 게이트 유전체는 반도전성 재료를 둘러쌀 수도 있다. 층간 유전체 층 (129) 각각 사이의 영역은 텅스텐으로 충진될 수 있고; 구조체 (148) 는 x 방향 및/또는 y 방향으로 충진되도록 연장하는 복수의 스택된 수평으로-배향된 피처들을 갖는다.The major surface of the substrate 100 may extend in the x and y directions and the pillars 125 are oriented in the z direction. In the example of Figures 1F and Ig, the pillars 125 are arranged in an offset manner so that adjacent pillars 125 immediately in the x-direction are offset from each other in the y-direction (and vice versa). According to various implementations, the pillars (and corresponding constrictions formed by adjacent pillars) may be arranged in any number of ways. In addition, the pillars 125 may be of any shape, including circular, square, and the like. Pillars 125 may comprise an annular semiconductive material, or a circular (or square) semiconductive material. The gate dielectric may surround the semiconductive material. The area between each of the interlayer dielectric layers 129 can be filled with tungsten; The structure 148 has a plurality of stacked horizontally-oriented features extending to fill in the x and / or y directions.

도 1h는 수평 피처, 예를 들어, 필라 협착부들 (151) 을 포함하는 VNAND 또는 다른 구조체의 도면의 또 다른 예를 제공한다. 도 1h의 예는 개방-단부를 갖고 (open-ended), 증착될 재료는 화살표들로 나타낸 바와 같이 2개의 측면들로부터 수평으로 진입할 수 있다. (도 1h의 예가 구조체의 3-D 피처들을 제공하는 2-D 피처들로서 보일 수 있고, 도 1h가 충진될 영역의 단면도이고 필라 협착부들이 단면도보다는 평면으로 보일 협착부들을 나타낸 도면으로 도시된다는 것을 주의해야 한다.) 일부 구현예들에서, 3-D 구조체들은 2차원 또는 3차원을 따라 (예를 들어, 도 1g의 예에서 x 방향과 y 방향으로 또는 x 방향, y 방향 및 z 방향으로) 확장하여 충진될 영역을 특징으로 할 수 있고, 1차원 또는 2차원을 따라 확장하는 홀들 또는 트렌치들을 충진하는 것보다 충진을 위한 보다 많은 과제들을 제공할 수 있다. 예를 들어, 3-D 구조체의 충진을 제어하는 것은, 증착 가스들이 다중 차원으로부터 피처에 진입할 수도 있기 때문에 어려울 수 있다.1 H provides another example of a view of a VNAND or other structure that includes a horizontal feature, e.g., pillar narrowing portions 151. The example of Figure 1h is open-ended so that the material to be deposited can enter horizontally from the two sides as indicated by the arrows. (Note that the example of FIG. 1h can be seen as 2-D features providing 3-D features of the structure, FIG. 1h is a cross-sectional view of the area to be filled and the pillars are shown with planes rather than cross- In some implementations, the 3-D structures may extend along two or three dimensions (e.g., in the x and y directions or in the x, y, and z directions in the example of Figure 1g) Can characterize the area to be filled and can provide more challenges for filling than filling holes or trenches that extend along one or two dimensions. For example, controlling the filling of 3-D structures can be difficult because the deposition gases may enter the features from multiple dimensions.

수평으로-배향된 피처와 수직으로-배향된 피처에 대한 피처 충진의 예들은 이하에 기술된다. 대부분의 경우들에서, 이러한 예들이 수평으로-배향된 피처와 수직으로-배향된 피처 양자에 적용 가능하다는 것을 주의해야 한다. 게다가, 이하의 기술에서, 용어 "측면"은 피처 축에 대체로 직각인 방향을 지칭하도록 사용될 수도 있고 용어 "수직"은 대체로 피처 축을 따르는 방향을 지칭하도록 사용될 수도 있다.Examples of feature filling for horizontally-oriented features and vertically-oriented features are described below. It should be noted that, in most cases, these examples are applicable to both horizontally-oriented and vertically-oriented features. In addition, in the following description, the term "side" may be used to refer to a direction generally perpendicular to the feature axis, and the term "vertical" may be used to refer generally to a direction along a feature axis.

이하의 기술이 텅스텐 피처 충진에 초점을 맞추지만, 본 개시의 양태들은 또한 다른 재료들로 피처들을 충진하는데 있어서 구현될 수도 있다. 예를 들어, 본 명세서에 기술된 하나 이상의 기법들을 사용하는 피처 충진은, 다른 텅스텐-함유 재료들 (예를 들어, 텅스텐 나이트라이드 (WN) 및 텅스텐 카바이드 (WC)), 티타늄-함유 재료들 (예를 들어, 티타늄 (Ti), 티타늄 나이트라이드 (TiN), 티타늄 실리사이드 (TiSi), 티타늄 카바이드 (TiC) 및 티타늄 알루마이드 (TiAl)), 탄탈륨-함유 재료들 (예를 들어, 탄탈륨 (Ta), 및 탄탈륨 나이트라이드 (TaN)), 및 니켈-함유 재료들 (예를 들어, 니켈 (Ni) 및 니켈 실리사이드 (NiSi) 을 포함한 다른 재료들로 피처들을 충진하도록 사용될 수도 있다. 또한, 본 명세서에 개시된 방법들 및 장치는 피처 충진으로 제한되지 않지만, 평평한 표면들 상에 블랭킷 막들을 형성하는 것을 포함하여, 임의의 적절한 표면 상에 텅스텐을 증착하도록 사용될 수 있다.Although the following techniques focus on tungsten feature fill, aspects of the present disclosure may also be implemented in filling features with other materials. For example, feature filling using one or more of the techniques described herein may be performed using other tungsten-containing materials (e.g., tungsten nitride (WN) and tungsten carbide (WC)), titanium-containing materials (E.g., titanium (Ti), titanium nitride (TiN), titanium silicide (TiSi), titanium carbide (TiC), and titanium alumite (TiAl)), tantalum- , And tantalum nitride (TaN)), and other materials including nickel-containing materials (e.g., nickel (Ni) and nickel silicide (NiSi). The disclosed methods and apparatus are not limited to filling a feature, but may be used to deposit tungsten on any suitable surface, including forming blanket films on flat surfaces.

도 2a는 개시된 실시예들에 따라 수행된 방법에 대한 프로세스 흐름도를 제공한다. 도 2a의 동작들 202 내지 210은 ALD에 의해 텅스텐 핵생성 층을 증착하도록 수행된다. 본 명세서에 기술된 다양한 실시예들에서, 동작들 202 내지 210은 동작 280보다 보다 저압에서 수행된다. 예를 들어, 동작들 202 내지 210은 약 10 Torr 미만인 저압에서 수행될 수도 있다. 일부 예들에서, 동작들 202 내지 210은 약 10 Torr의 압력, 또는 약 3 Torr의 압력에서 수행된다. 특정한 이론에 매이지 않고, 저압에서 동작들 202 내지 210을 수행하는 것이, 보다 적은 불소가 막 내로 포함되도록 막이 증착될 때 챔버 내의 불소-함유 전구체의 보다 저 분압에 기인하여 증착된 텅스텐 막 내의 불소 농도를 감소시킨다고 여겨진다. 증착된 텅스텐 내의 저 불소 농도를 달성하도록 저압에서 텅스텐 핵생성 층을 증착하기 위한 프로세스들의 예들은, 2015년 5월 27일 출원된 미국 특허 출원 일련번호 제 14/723,275 호 (대리인 문서 제 LAMRP183/3623-1US 호) 에 더 기술된다.Figure 2a provides a process flow diagram for a method performed in accordance with the disclosed embodiments. Operations 202-210 of FIG. 2A are performed to deposit a tungsten nucleation layer by ALD. In various embodiments described herein, operations 202-210 are performed at a lower pressure than operation 280. [ For example, operations 202-210 may be performed at a low pressure of less than about 10 Torr. In some instances, operations 202-210 are performed at a pressure of about 10 Torr, or a pressure of about 3 Torr. Performing operations 202-210 at a low pressure, without being bound to any particular theory, is advantageous in that the fluorine concentration in the deposited tungsten film due to the lower partial pressure of the fluorine-containing precursor in the chamber when the film is deposited such that less fluorine is incorporated into the film . ≪ / RTI > Examples of processes for depositing a tungsten nucleation layer at low pressure to achieve a low fluorine concentration in deposited tungsten are described in U.S. Patent Application Serial No. 14 / 723,275, filed May 27, 2015 (Attorney Docket LAMRP 183/3623 -1US).

동작 202에서, 기판은 WF6과 같은 텅스텐-함유 전구체에 노출된다. 본 명세서의 기술의 목적들을 위해, WF6이 텅스텐-함유 전구체의 예로서 사용되지만, 다른 텅스텐-함유 전구체들이 개시된 실시예들을 수행하기에 적합할 수도 있다는 것이 이해되어야 한다. 예를 들어, 금속-유기 텅스텐-함유 전구체가 사용될 수도 있다. 유기-금속 전구체들 및 불소 프리인 전구체들, 예를 들어, MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 가 또한 사용될 수도 있다. 텅스텐-함유 전구체는 이들 화합물들의 조합을 포함할 수도 있다. 일부 실시예들에서, 캐리어 가스, 예를 들어, 질소 (N2), 아르곤 (Ar), 헬륨 (He), 또는 다른 불활성 가스들이 동작 202 동안 흐를 수도 있다.At act 202, the substrate is exposed to a tungsten-containing precursor such as WF 6 . It is to be understood that for purposes of the present description WF 6 is used as an example of a tungsten-containing precursor, other tungsten-containing precursors may be suitable for carrying out the disclosed embodiments. For example, metal-organic tungsten-containing precursors may be used. Organic-metal precursors and fluorine-free precursors such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) may also be used. The tungsten-containing precursor may comprise a combination of these compounds. In some embodiments, a carrier gas, such as nitrogen (N 2 ), argon (Ar), helium (He), or other inert gases may flow during operation 202.

동작 202는 임의의 적합한 지속기간 동안 그리고 임의의 적합한 온도에서 수행될 수도 있다. 일부 예들에서, 동작 202는 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초의 지속기간 동안 수행될 수도 있다. 일부 실시예들에서 이 동작은 기판의 표면 상의 활성 사이트들을 포화시키기에 충분한 지속기간 동안 수행될 수도 있다.Operation 202 may be performed for any suitable duration and at any suitable temperature. In some instances, operation 202 may be performed for a duration of from about 0.25 seconds to about 30 seconds, from about 0.25 seconds to about 5 seconds, or from about 0.5 seconds to about 3 seconds. In some embodiments, this operation may be performed for a duration sufficient to saturate active sites on the surface of the substrate.

동작 204에서, 챔버는 기판의 표면에 흡착되지 않은 과잉의 WF6을 제거하도록 선택 가능하게 퍼지된다. 퍼지는 고정압에서 불활성 가스를 흘림으로써 챔버의 압력을 감소시키고 그리고 또 다른 가스 노출을 개시하기 전에 챔버를 재가압함으로써 실시될 수도 있다.In operation 204, the chamber is selectively purged to remove excess WF 6 that is not adsorbed to the surface of the substrate. Reducing the pressure of the chamber by flowing an inert gas at a pumping fixed pressure and re-pressurizing the chamber before initiating another gas exposure.

동작 206에서, 기판은 텅스텐 핵생성 층을 증착하도록 환원제에 노출된다. 환원제는 보란, 실란, 또는 게르만일 수도 있다. 예시적인 보란들은 보란 (BH3), 디보란 (B2H6), 트리보란, 알킬 보란들, 아미노보란들, 카르보보란들, 및 할로보란을 포함한다. 예시적인 실란들은 실란 (SiH4), 디실란 (Si2H6), 트리실란 (Si3H8), 알킬 실란들, 아미노실란들, 카르보실란들, 및 할로실란을 포함한다. 게르만들은 GenHn+4, GenHn +6, GenHn +8, 및 GenHm을 포함하고, 여기서 n은 1 내지 10의 정수이고, 그리고 n은 m과 상이한 정수이다. 다른 게르만들, 예를 들어, 알킬 게르만들, 아미노게르만들, 카르보게르만들, 및 할로게르만들이 또한 사용될 수도 있다. 일반적으로, 할로게르만들은 상당한 환원성 (reducing potential) 을 갖고 있지 않을 수도 있지만, 할로게르만들을 사용하여 막 형성에 적합한 텅스텐-함유 전구체들 및 프로세스 조건들이 있을 수도 있다.At operation 206, the substrate is exposed to a reducing agent to deposit a tungsten nucleation layer. The reducing agent may be borane, silane, or germane. Exemplary boranes include borane (BH 3 ), diborane (B 2 H 6 ), triboran, alkyl borane, amino borane, carboborane, and haloborane. Exemplary silanes include silane (SiH 4), disilane (Si 2 H 6), trisilane s (Si 3 H 8), of the alkyl silanes, amino silanes, carboxylic bosilran, and halosilane. Germans include Ge n H n + 4 , Ge n H n +6 , Ge n H n +8 , and Ge n H m where n is an integer from 1 to 10 and n is an integer different from m . Other germans, for example, alkyl germans, amino germans, carbogermans, and halo germans may also be used. Generally, halo germans may not have significant reducing potential, but there may be tungsten-containing precursors and process conditions suitable for film formation using halo germans.

동작 206은 임의의 적합한 지속기간 동안 수행될 수도 있다. 일부 예들에서, 예시적인 지속기간들은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초를 포함한다. 일부 실시예들에서, 이 동작은 기판의 표면 상에서 WF6의 흡착된 층과 반응하기에 충분할 수도 있다. 동작 206은 이러한 예시적인 범위들 외의 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 예를 들어, 아르곤 (Ar), 헬륨 (He), 또는 질소 (N2) 와 같은 캐리어 가스가 사용될 수도 있다.Operation 206 may be performed for any suitable duration. In some instances, exemplary durations include from about 0.25 seconds to about 30 seconds, from about 0.25 seconds to about 5 seconds, or from about 0.5 seconds to about 3 seconds. In some embodiments, this operation may be sufficient to react with the adsorbed layer of WF 6 on the surface of the substrate. Operation 206 may be performed for a duration other than these exemplary ranges. In some embodiments, a carrier gas such as, for example, argon (Ar), helium (He), or nitrogen (N 2 ) may be used.

동작 208 후에, 피처의 표면 상에서 WF6과 반응하지 않은 과잉의 환원제를 여전히 가스 상으로 퍼지하기 위한 선택 가능한 퍼지 단계가 있을 수도 있다. 퍼지는 고정압으로 불활성 가스를 흘림으로써 실시될 수도 있고 그렇게 함으로써 챔버의 압력을 감소시키고 그리고 또 다른 가스 노출을 개시하기 전에 챔버를 재가압한다.After operation 208, there may be a selectable purge step to still purge the excess reducing agent that has not reacted with WF 6 on the surface of the features in the gas phase. May be effected by flowing an inert gas through the purging fixed pressure, thereby reducing the pressure in the chamber and re-pressurizing the chamber before initiating another gas exposure.

동작 210에서, 텅스텐 핵생성 층이 충분한 두께로 증착되었는지가 결정된다. 만약 그렇지 않다면, 동작들 202 내지 208은, 목표된 두께의 텅스텐 핵생성 층이 피처의 표면 상에 증착될 때까지 반복된다. 동작들 202 내지 208의 반복 각각은 ALD "사이클"로서 지칭될 수도 있다. 일부 실시예들에서, 동작 202와 동작 206의 순서는 환원제가 먼저 도입된다면 반전될 수도 있다.At operation 210, it is determined whether the tungsten nucleation layer has been deposited to a sufficient thickness. If not, the operations 202-208 are repeated until the desired thickness of the tungsten nucleation layer is deposited on the surface of the feature. Each iteration of operations 202-208 may be referred to as an ALD "cycle ". In some embodiments, the order of operations 202 and 206 may be reversed if a reducing agent is introduced first.

텅스텐 핵생성 층이 충분한 두께로 증착된 후에, 동작 280에서, 벌크 텅스텐이 순차적인 CVD에 의해 증착된다. 다양한 실시예들에서, 동작 280은 동작들 202 내지 210 동안의 압력보다 보다 높은 압력에서 수행될 수도 있다. 예를 들어, 동작 280은 약 10 Torr 이상의 압력, 예를 들어 약 10 Torr, 또는 약 40 Torr에서 수행될 수도 있다.After the tungsten nucleation layer is deposited to a sufficient thickness, at operation 280, bulk tungsten is deposited by sequential CVD. In various embodiments, operation 280 may be performed at a higher pressure than that during operations 202-210. For example, operation 280 may be performed at a pressure of at least about 10 Torr, e.g., about 10 Torr, or about 40 Torr.

도 2b는 동작 280 동안 수행될 수도 있는 동작들에 대한 프로세스 흐름도를 제공한다. 도 2b의 동작들은 도 2a의 동작들을 수행하지 않고 수행될 수도 있다. 도 2c는 프로세스 (200) 의 순차적인 CVD의 예시적인 사이클들을 도시한 타이밍 시퀀스도를 제공한다. 도 3a 내지 도 3j는 순차적인 CVD의 사이클들에 대한 예시적인 메커니즘의 개략적인 예시들이다.FIG. 2B provides a process flow diagram for operations that may be performed during operation 280. FIG. The operations of FIG. 2B may be performed without performing the operations of FIG. 2A. FIG. 2C provides a timing sequence diagram illustrating exemplary cycles of sequential CVD of process 200. FIG. Figures 3A-3J are schematic illustrations of exemplary mechanisms for sequential CVD cycles.

도 2b에서, 동작 282에서, 기판은 H2와 같은 환원제에 노출된다. 이 동작은 본 명세서에서 교체 가능하게 사용될 수도 있는, "펄스" 또는 "도즈"로서 지칭될 수도 있다. 본 명세서에 기술된 실시예들에서, H2가 예시적인 환원제로서 제공되지만, 실란들, 보란들, 게르만들, 포스핀들, 수소-함유 가스들, 및 이들의 조합들을 포함한 다른 환원제들이 사용될 수도 있음이 이해될 것이다. 비순차적인 CVD와 달리, H2는 또 다른 반응물질을 흘리지 않고 펄싱된다. 일부 실시예들에서, 캐리어 가스가 흐를 수도 있다. 캐리어 가스는 도 2a의 동작 204에 대해 상기에 기술된 것들 중 임의의 것일 수도 있다. 동작 282가 임의의 적합한 지속기간 동안 수행될 수도 있다. 일부 예들에서, 예시적인 지속기간들은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초를 포함한다.In FIG. 2B, at operation 282, the substrate is exposed to a reducing agent such as H 2 . This operation may be referred to as "pulse" or "dose ", which may be used interchangeably herein. In the embodiments described herein, although H 2 is provided as an exemplary reducing agent, other reducing agents may be used including silanes, boranes, germanes, foams, hydrogen-containing gases, and combinations thereof Will be understood. Unlike the non-sequential CVD, H 2 is pulsed without spilling another reactant. In some embodiments, a carrier gas may flow. The carrier gas may be any of those described above for operation 204 of FIG. 2A. Operation 282 may be performed for any suitable duration. In some instances, exemplary durations include from about 0.25 seconds to about 30 seconds, from about 0.25 seconds to about 5 seconds, or from about 0.5 seconds to about 3 seconds.

도 2c는 도 2b의 동작 282에 대응할 수도 있는 증착 사이클 211A의 H2 도즈 220A를 도시한다. H2 도즈 220A 동안, 캐리어 가스가 흐르고, 환원제가 펄싱되고, 그리고 WF6 플로우가 턴 오프된다 (turned off).Figure 2C shows the H 2 dose 220A of the deposition cycle 211A, which may correspond to operation 282 of Figure 2B. H 2 During dose 220A, the carrier gas flows, the reducing agent is pulsed, and the WF 6 flow is turned off.

도 3a는 상부에 증착된 텅스텐 핵생성 층 (301) 을 가진 기판 (300) 에 H2가 도입되는, 예시적인 메커니즘을 도시한다. 수소는 가스 상 (311a 및 311b) 으로 도입되고 그리고 일부 H2 (313a 및 313b) 는 텅스텐 핵생성 층 (301) 의 표면 상에 있지만, 표면 상에 흡착할 필요는 없을 수도 있다. 예를 들어, H2는 핵생성 층 (301) 상에 화학흡착할 필요는 없을 수도 있지만, 일부 실시예들에서, 핵생성 층 (301) 의 표면 상에 물리흡착할 수도 있다.3A illustrates an exemplary mechanism in which H 2 is introduced into a substrate 300 having a tungsten nucleation layer 301 deposited thereon. Hydrogen is introduced into the gas phases 311a and 311b and some H 2 313a and 313b are on the surface of the tungsten nucleation layer 301 but may not need to be adsorbed on the surface. For example, H 2, but may not have to be chemically adsorbed onto the nucleation layer 301, and in some embodiments, may be physically adsorbed on the surface of the nucleation layer 301.

도 2b를 다시 참조하면, 동작 284에서, 챔버는 퍼지된다. 이 퍼지 동작은 가스 상으로 남아 있는 과잉의 H2를 제거할 수도 있다. 퍼지는 고정압에서 불활성 가스를 흘림으로써 챔버의 압력을 감소시키고 그리고 또 다른 가스 노출을 개시하기 전에 챔버를 재가압함으로써 실시된다. 챔버는 임의의 적합한 지속기간 동안, 예를 들어, 약 0.1 초 내지 약 3 초의 지속기간 동안 퍼지될 수도 있다. 도 2b의 동작 284는 도 2c의 퍼지 페이즈 240A에 대응할 수도 있다. 도 2c에 도시된 바와 같이, 퍼지 페이즈 240A 동안, 캐리어 가스는 흐르지만, H2 플로우 및 WF6 플로우는 턴 오프된다. 도 3b는 이전에 가스 상인 H2 (도 3a에서 311a 및 311b) 가 챔버로부터 퍼지되고, 그리고 이전에 표면 상의 H2 (313a 및 313b) 가 텅스텐 핵생성 층 (301) 의 표면 상에 남아 있는 예시적인 예를 도시한다.Referring back to FIG. 2B, at operation 284, the chamber is purged. This purge operation may also remove excess H 2 remaining in the gas phase. Reducing the pressure of the chamber by flowing an inert gas through the purging fixed pressure and repressurizing the chamber before initiating another gas exposure. The chamber may be purged for any suitable duration, e. G., A duration of from about 0.1 seconds to about 3 seconds. Operation 284 of FIG. 2B may correspond to purge phase 240A of FIG. 2C. As shown in FIG. 2C, during the purge phase 240A, the carrier gas flows but the H 2 flow and the WF 6 flow are turned off. 3B shows an example in which the gas phase H 2 (311 a and 311 b in FIG. 3 a) has been purged from the chamber and H 2 (313 a and 313 b) on the surface has previously remained on the surface of the tungsten nucleation layer 301 ≪ / RTI >

도 2b를 다시 참조하면, 동작 286에서, 기판은 기판 상에 막의 서브-모노레이어 (sub-monolayer) 를 형성하도록 텅스텐-함유 전구체 (예를 들어, WF6) 에 노출된다. 다양한 실시예들에서, WF6은 이 동작 동안 약 0.1 초 내지 약 3 초, 또는 약 0.5 초의 지속기간 동안 챔버로 흐른다. 일부 실시예들에서, WF6은 가스 라인을 충진하고 도징 전에 라인을 변경하도록 방향 전환될 수도 있다. 일부 실시예들에서, WF6은 챔버로 흐르지만 기판의 표면 상에서 모든 H2 분자들과 완전히 반응하지 않는다. 동작 286은 도 2c의 WF6 도즈 260A에 대응할 수도 있다. 도 2c에 도시된 바와 같이, WF6 도즈 260A 동안, 캐리어 가스는 흐르고, H2 플로우는 턴 오프되고, 그리고 WF6 플로우는 턴 온된다 (turned on).Referring again to FIG. 2B, at operation 286, the substrate is exposed to a tungsten-containing precursor (e.g., WF 6 ) to form a sub-monolayer of film on the substrate. In various embodiments, WF 6 flows into the chamber during this operation for a duration of about 0.1 seconds to about 3 seconds, or about 0.5 seconds. In some embodiments, WF 6 may be redirected to fill the gas line and change the line before dosing. In some embodiments, WF 6 flows into the chamber but does not fully react with all the H 2 molecules on the surface of the substrate. Act 286 may correspond to WF 6 Dose 260A in Figure 2C. As shown in FIG. 2C, during the WF 6 dose 260A, the carrier gas flows, the H 2 flow is turned off, and the WF 6 flow is turned on.

도 3c는 도 2b의 동작 286에 대한 예시적인 개략도를 도시한다. 도 3c에서, 기판은 WF6에 노출되고, WF6의 일부는 가스 상으로 있고 (331a 및 331b), WF6의 일부는 기판의 표면에 있거나 표면 근방에 있다 (323a 및 323b).FIG. 3C shows an exemplary schematic for operation 286 of FIG. 2B. In Figure 3c, the substrate is part of, and WF 6 exposed to WF 6 is onto the gas, and (331a and 331b), a part of the WF 6 is in the vicinity of the surface or the surface of the substrate (323a and 323b).

도 2b의 동작 286 동안, 일부 WF6은 이전 도즈로부터 표면 상에 남아 있는 H2와 반응할 수도 있다. 도 3d에 도시된 바와 같이, WF6은 일시적으로 중간 생성물 (343b) 을 형성하도록 H2와 반응할 수도 있고, 도 3e에서, 중간 생성물 (343b) 은 핵생성 층 (301) 상에서 기판 (300) 의 표면 상에 텅스텐 (390) 및 가스 상인 HF (예를 들어, 351a 및 351b) 를 남기도록 완전히 반응한다.During operation 286 of FIG. 2B, some WF 6 may react with H 2 remaining on the surface from the previous dose. As shown in Figure 3D, WF 6 may react with H 2 to form intermediate product 343b temporarily, and intermediate product 343b in Figure 3e reacts with H 2 to form substrate 300 on nucleation layer 301, (E. G., 351a and 351b), which is a gas phase.

도 2b의 동작 286 동안, 일부 WF6은 이전의 도즈로부터 표면 상에 남아 있는 H2와 완전히 반응하지 않을 수도 있다. 도 3d에 도시된 바와 같이, WF6은 중간 생성물 (343a) 을 형성하도록 H2와 부분적으로 반응할 수도 있고, 도 3e에서, 중간 생성물 (343a) 은 핵생성 층 (301) 상의 기판 (300) 의 표면 상에서 부분적으로 반응된다. WF6 및 H2를 수반한 반응 메커니즘은, 활성화 에너지 배리어들 및 입체 효과들 (steric effects) 에 기인하여 텅스텐 핵생성 층의 증착을 위한, 보란 또는 실란 또는 게르만과 WF6 간의 반응보다 보다 느릴 수도 있다. 예를 들어, 특정한 이론에 매이지 않고, WF6의 화학량론은 WF6의 일 분자와 반응하도록 적어도 3개의 H2 분자들을 사용할 수도 있다. WF6은 H2의 분자들과 부분적으로 반응하고 텅스텐을 형성하기보다는, 중간 생성물이 형성되는 것이 가능하다. 예를 들어, 이것은 화학량론적 원리들 (예를 들어, 3개의 H2 분자들이 WF6의 일 분자와 반응하도록 사용됨) 에 기초하여 WF6과 반응하기에 그 근방에 H2가 충분하지 않다면 발생할 수도 있고, 이에 따라 기판의 표면 상에 중간 생성물 (343a) 을 남긴다.During operation 286 of FIG. 2B, some WF 6 may not fully react with the remaining H 2 on the surface from the previous dose. 3D, WF 6 may partially react with H 2 to form intermediate product 343a, and in FIG. 3e, intermediate product 343a may be partially reacted with H 2 to form substrate 300 on nucleation layer 301, Lt; / RTI > The reaction mechanism involving WF 6 and H 2 may be slower than the reaction between borane or silane or germane and WF 6 for the deposition of a tungsten nucleation layer due to activation energy barriers and steric effects have. For example, without being tied to a particular theory, the stoichiometry of the WF 6 can be used at least three H 2 molecules to react with the WF 6 molecule. It is possible that WF 6 partially reacts with the molecules of H 2 and forms an intermediate rather than forming tungsten. For example, this may occur if H 2 is not sufficient near the stoichiometric principles (for example, three H 2 molecules are used to react with one molecule of WF 6 ) to react with WF 6 Thereby leaving an intermediate product 343a on the surface of the substrate.

도 2b의 동작 286 동안, 기판 표면 상에 물리흡착되거나 남아 있는 H2가 없는 경우에, 일부 WF6은 H2와 전혀 반응하지 않을 수도 있고 대신에 기판의 표면 상에 물리흡착될 수도 있다. 일부 실시예들에서, WF6은 기판 표면 상에 남아 있을 수도 있지만 표면에 물리흡착되거나 화학흡착되지 않을 수도 있다.During operation 286 of FIG. 2B, in the absence of H 2 that is physically adsorbed or remaining on the substrate surface, some WF 6 may not react with H 2 at all or may physically adsorb onto the surface of the substrate instead. In some embodiments, WF 6 may remain on the substrate surface, but may not be physically adsorbed or chemisorbed to the surface.

많은 실시예들에서 도 2b의 동작 286은 텅스텐의 서브-모노레이어를 형성할 수도 있다. 예를 들어, 약 0.3 Å의 두께를 가진 서브-모노레이어는 동작들 282 내지 286을 수행한 후에 증착될 수도 있다.In many embodiments, operation 286 of FIG. 2B may form a sub-mono layer of tungsten. For example, a sub-mono layer having a thickness of about 0.3 A may be deposited after performing operations 282 through 286.

도 2b의 동작 288에서, 챔버는 챔버로부터 반응된 부산물들 및 가스 상인 WF6을 제거하도록 퍼지된다. 일부 실시예들에서, 동작 288의 매우 짧은 퍼지 지속기간은, 보다 고 응력 막이 증착되도록 비순차적인 CVD 반응 특성들을 증가시킬 수도 있다. 일부 실시예들에서, 퍼지 지속기간은 약 0.1 초 내지 약 2 초이고 그리고 텅스텐의 표면에 대한 WF6의 저 흡착 레이트에 기인하여 기판 표면으로부터 모든 WF6을 제거하는 것을 방지할 수도 있다. 일부 실시예들에서, 퍼지 지속기간은 약 0.1 초 내지 약 15 초, 예를 들어, 약 7 초이다. 예를 들어, 3D NAND 구조체의 제조를 위해, 챔버는 동작 288 동안 약 7 초 동안 퍼지될 수도 있다. 퍼지 지속기간은 기판 및 응력에 따라 결정된다.In operation 288 of FIG. 2B, the chamber is purged to remove WF 6, which is the gas phase and the reacted by-products from the chamber. In some embodiments, the very short purge duration of operation 288 may increase non-sequential CVD reaction characteristics such that a higher stress film is deposited. In some embodiments, the purge duration is about 0.1 second to about 2 seconds, and may be due to the low absorption rate of WF 6 to the surface of the tungsten to prevent the removal of all WF 6 from the substrate surface. In some embodiments, the purge duration is from about 0.1 second to about 15 seconds, for example, about 7 seconds. For example, for the fabrication of a 3D NAND structure, the chamber may be purged for approximately 7 seconds during operation 288. The purge duration is determined by the substrate and stress.

도 2b의 동작 288은 도 2c의 퍼지 페이즈 270A에 대응할 수도 있다. 도 2c에 도시된 바와 같이, 퍼지 페이즈 270A는 증착 사이클 211A를 종결한다. 도 3f는 챔버가 퍼지될 때 기판의 예시적인 개략도를 제공한다. 화합물 (343c) 은 형성되지만 완전히 반응되지 않은 중간 생성물일 수도 있고, 반면에 일부 텅스텐 (390) 은 기판 상에 형성될 수도 있다는 것을 주의하라. 이에 따라 사이클 각각은 기판 상에 텅스텐의 서브-모노레이어를 형성한다.Operation 288 of FIG. 2B may correspond to purge phase 270A of FIG. 2C. As shown in FIG. 2C, the purge phase 270A terminates the deposition cycle 211A. Figure 3f provides an exemplary schematic view of a substrate when the chamber is purged. Note that compound 343c may be an intermediate that is formed but not completely reacted, while some tungsten 390 may be formed on a substrate. Whereby each cycle forms a sub-mono layer of tungsten on the substrate.

일부 실시예들에서, 동작 286 및 동작 282은 동작 286이 동작 282 전에 수행된다면 반전될 수도 있다. 일부 실시예들에서, 동작 282는 동작 286 전에 수행될 수도 있다.In some embodiments, operation 286 and operation 282 may be reversed if operation 286 is performed before operation 282. [ In some embodiments, operation 282 may be performed before operation 286. [

도 2b의 동작 290에서, 벌크 텅스텐이 충분한 두께로 증착되었는지가 결정된다. 만약 그렇지 않다면, 동작들 282 내지 288은 목표된 두께가 증착될 때까지 반복된다. 일부 실시예들에서, 동작들 282 내지 288은 피처가 충진될 때까지 반복된다. 도 2c에서, 벌크 텅스텐이 충분한 두께로 증착되지 않았다고 결정되고, 그렇다면 도 2b의 동작들 282 내지 288은, H2 도즈 220B가 수행되고, 퍼지 페이즈 240B가 이어지도록 증착 사이클 211B로 반복된다. WF6 도즈 260B가 수행되고, 또 다른 퍼지 페이즈 270B가 이어진다.At operation 290 of FIG. 2B, it is determined whether bulk tungsten has been deposited to a sufficient thickness. If not, then operations 282 through 288 are repeated until the desired thickness is deposited. In some embodiments, operations 282 through 288 are repeated until the feature is filled. In FIG. 2C, it is determined that bulk tungsten has not been deposited to a sufficient thickness, and operations 282 through 288 of FIG. 2B are then repeated with a deposition cycle 211B such that H 2 dose 220B is performed and followed by a purge phase 240B. WF 6 dose 260B is performed, followed by another purge phase 270B.

예로서, 도 3g는 반복된 사이클의 동작 282를 도시하고, 여기서 가스 상인 H2 (311c) 는 상부에 증착된 텅스텐 (390) 및 부분적으로 반응된 중간 생성물 (343d) 을 가진 기판에 도입된다. 도입된 H2는 이제, 도 3h에 도시된 바와 같이, 반응된 화합물 (343d) 이 증착된 텅스텐 (390b 및 390c) 을 남기고, 부산물들 HF (351c 및 351d) 가 가스 상으로 형성되도록, 기판 상에서 중간 생성물 (343d) 과 완전히 반응할 수도 있다는 것을 주의하라. 일부 H2 (311c) 는 가스 상으로 남아 있을 수도 있고, 반면에 일부 H2 (313c) 는 텅스텐 층 (390a) 상에 남아 있을 수도 있다. 도 3i에서, 챔버는 퍼지되고 (도 2b의 동작 284, 또는 도 2c의 동작 240B에 대응함), 증착된 텅스텐 (390a, 390b, 및 390c), 및 일부 H2 (313c) 를 남긴다. 도 3j에서, WF6은 분자들 (331c 및 323c) 이 H2 및 기판과 흡착되고 그리고/또는 H2 및 기판과 반응할 수도 있도록 도즈로 다시 도입된다. 도 3j는 도 2b의 동작 286 또는 도 2c의 260B에 대응할 수도 있다. WF6 도즈 후에, 챔버는 다시 퍼지될 수도 있고 그리고 사이클들은 목표된 두께의 텅스텐이 증착될 때까지 다시 반복될 수도 있다.By way of example, Figure 3g illustrates the operation 282, wherein gaseous H 2 (311c) of a repeat cycle is introduced into the substrate with a tungsten (390) and in part of the intermediate product reaction (343d) deposited on top. The introduced H 2 is now heated on the substrate such that the reacted compound 343 d leaves the deposited tungsten 390 b and 390 c and the byproducts HF 351 c and 351 d are formed in the gas phase, Note that it may react completely with the intermediate product 343d. Some H 2 311c may remain in the gas phase while some H 2 313c may remain on the tungsten layer 390a. In FIG. 3i, the chamber is purged (corresponding to operation 284 in FIG. 2B, or operation 240B in FIG. 2C), leaving deposited tungsten 390a, 390b, and 390c, and some H 2 313c. In Figure 3j, WF 6 is introduced back into the dose so that also the molecules (331c and 323c) is sucked and H 2 and the substrate and / or H 2 and the substrate and reaction. 3J may correspond to operation 286 of FIG. 2B or 260B of FIG. 2C. After the WF 6 dose, the chamber may be purged again and the cycles may be repeated again until the desired thickness of tungsten is deposited.

개시된 실시예들을 사용하여 증착된 텅스텐 막들은, 비순차적인 CVD에 의해 증착된 텅스텐보다 약 두 자릿수가 더 작은 불소 농도와 같은, 저 불소 농도들을 갖는다. 온도, 펄스 시간들, 및 다른 파라미터들과 같은 증착 조건들은 하드웨어 또는 프로세스 수정들에 따라 가변할 수도 있다. 막들의 전체 인장 응력은 약 1 ㎬ 미만일 수도 있다.The tungsten films deposited using the disclosed embodiments have low fluorine concentrations, such as a fluorine concentration that is about two orders of magnitude smaller than tungsten deposited by non-sequential CVD. Deposition conditions, such as temperature, pulse times, and other parameters, may vary depending on hardware or process modifications. The total tensile stress of the membranes may be less than about 1 micron.

도 3k는 개시된 실시예들에 따라 수행된 방법에 대한 프로세스 흐름도를 제공한다. 동작 280에서, 벌크 텅스텐이 순차적인 CVD에 의해 증착된다. 프로세스 조건들 및 화학 반응들은 도 2b 및 도 3a 내지 도 3j에 대해 상기에 기술된 것들 중 임의의 것들일 수도 있다. 동작 299에서, 벌크 텅스텐은 비순차적인 CVD에 의해 증착된다. 비순차적인 CVD 동안, 기판은 동시에 벌크 텅스텐을 증착하도록 텅스텐-함유 전구체와 환원제에 노출된다. 예시적인 텅스텐-함유 전구체들은 불소-함유 전구체들 (예를 들어, WF6), 염소-함유 전구체들 (예를 들어, WClx), 및 텅스텐 헥사카보닐 (W(CO)6) 을 포함한다. 예시적인 환원제들은 수소를 포함한다. 일부 실시예들에서, 비순차적인 CVD는 기판을 WF6 및 H2에 노출시킴으로써 증착된다. 동작 280 및 동작 299는 순차적으로 수행될 수도 있거나, 임의의 동작 280은 동작 299를 수행하기 전 또는 후에 1회 이상 수행될 수도 있다. 일부 실시예들에서, 동작들 280 및 299는, 동작 299가 동작 280을 수행하는 2 이상의 사이클들마다 수행되도록 펄스들로 수행된다. 따라서 벌크 텅스텐은 순차적인 CVD와 비순차적인 CVD의 조합을 사용하여 증착될 수도 있다.3K provides a process flow diagram for a method performed in accordance with the disclosed embodiments. At act 280, bulk tungsten is deposited by sequential CVD. The process conditions and chemical reactions may be any of those described above with respect to Figure 2b and Figures 3a-3j. At act 299, bulk tungsten is deposited by non-sequential CVD. During non-sequential CVD, the substrate is exposed to the tungsten-containing precursor and the reducing agent to simultaneously deposit bulk tungsten. Include those containing precursor (e.g., WCl x), and tungsten hexa-carbonyl (W (CO) 6) - s-containing precursor (e.g., WF 6), chlorine-illustrative tungsten-containing precursor are fluorine . Exemplary reducing agents include hydrogen. In some embodiments, non-sequential CVD is deposited by exposing the substrate to WF 6 and H 2 . Operations 280 and 299 may be performed sequentially, or any operation 280 may be performed one or more times before or after performing operation 299. In some embodiments, operations 280 and 299 are performed with pulses such that operation 299 is performed every two or more cycles to perform operation 280. Thus, bulk tungsten may be deposited using a combination of sequential and non-sequential CVD.

개시된 실시예들은 텅스텐 증착 프로세스들에서 다양한 애플리케이션들을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 피처는 환원제 (예를 들어, 보란, 실란, 또는 게르만) 와 WF6의 교번하는 펄스들의 ALD 사이클들에 의해 텅스텐 핵생성 층을 증착함으로써 충진될 수도 있고, 도 2b에 대해 상기에 기술된 바와 같이 순차적인 CVD에 의한 벌크 텅스텐 증착이 이어진다.The disclosed embodiments may have a variety of applications in tungsten deposition processes. For example, in some embodiments, the features may be filled by depositing a tungsten nucleation layer by ALD cycles of alternating pulses of a reducing agent (e.g., borane, silane, or germane) and WF 6 , Followed by bulk tungsten deposition by sequential CVD as described above for FIG. 2B.

또 다른 예에서, 일부 실시예들에서, 텅스텐 핵생성 층은 환원제와 WF6의 ALD 사이클들을 사용하여 증착될 수도 있고, 도 2b에 대해 상기에 기술된 바와 같이 환원제와 불소 프리 텅스텐-함유 전구체 (예를 들어, 금속-유기 텅스텐 전구체) 를 사용한 불소 프리 텅스텐의 CVD와 순차적인 CVD의 조합을 사용한 벌크 텅스텐 증착이 이어진다. 불소-프리 텅스텐 전구체들은 또한 텅스텐 카르보닐 (W(CO)6), 및 텅스텐 펜타클로라이드 (WCl5) 와 텅스텐 헥사클로라이드 (WCl6) 와 같은 텅스텐 클로라이드들 (WClx) 을 포함할 수도 있다.In another example, in some embodiments, the tungsten nucleation layer may be deposited using ALD cycles of WF 6 with a reducing agent, and the reducing agent and fluorine free tungsten-containing precursor (as described above for FIG. 2B) Followed by bulk tungsten deposition using a combination of CVD and sequential CVD of fluorine-free tungsten using, for example, a metal-organic tungsten precursor. The fluorine-free tungsten precursors may also include tungsten carbonyls (W (CO) 6 ), and tungsten chlorides (WCl x ) such as tungsten pentachloride (WCl 5 ) and tungsten hexachloride (WCl 6 ).

또 다른 예에서, 텅스텐 핵생성 층은 환원제와 WF6의 교번하는 펄스들의 ALD 사이클들에 의해 피처 상에 증착될 수도 있고, 그리고 벌크 텅스텐은 도 2b에 대해 상기에 기술된 바와 같이 순차적인 CVD와 비순차적인 CVD 사이를 교번함으로써 증착될 수도 있다. 예를 들어, 벌크 텅스텐은 비순차적인 CVD의 미리 결정된 지속기간들 사이에 순차적인 CVD의 복수의 사이클들을 사용하여 증착될 수도 있다. 특정한 예에서, 벌크 텅스텐은 약 5 사이클들의 순차적인 CVD, 이어서 5 초의 비순차적인 CVD, 이어서 5 사이클들의 순차적인 CVD, 그리고 또 다른 5 초의 비순차적인 CVD를 사용하여 증착될 수도 있다.In another example, a tungsten nucleation layer may be deposited on the feature by ALD cycles of alternating pulses of WF 6 with a reducing agent, and bulk tungsten may be deposited by sequential CVD as described above for FIG. 2B May be deposited by alternating between non-sequential CVD. For example, bulk tungsten may be deposited using a plurality of cycles of sequential CVD between predetermined durations of non-sequential CVD. In a particular example, bulk tungsten may be deposited using about 5 cycles of sequential CVD, followed by 5 seconds of non-sequential CVD, then 5 cycles of sequential CVD, and another 5 seconds of nonsequential CVD.

또 다른 예에서, 피처는 먼저 환원제와 WF6의 교번하는 펄스들의 ALD 사이클들에 의해 텅스텐 핵생성 층을 증착함으로써 충진될 수도 있고, 이어서 순차적인 CVD를 사용하여 피처를 부분적으로 충진하고, 그리고 비순차적인 CVD에 의해 피처의 나머지를 충진한다.In another example, the feature may first be filled by depositing a tungsten nucleation layer by ALD cycles of alternating pulses of a reducing agent and WF 6 , then partially filling the feature using sequential CVD, The rest of the features are filled by sequential CVD.

또 다른 예에서, 피처는 환원제와 WF6의 교번하는 펄스들의 ALD 사이클들에 의해 텅스텐 핵생성 층을 증착함으로써 충진될 수도 있고, 순차적인 CVD에 의한 벌크 텅스텐의 부분적인 증착이 이어지고, 그리고 불소 프리 텅스텐 (금속-유기 텅스텐 전구체를 사용하는 것과 같음) 의 CVD에 의한 완전한 벌크 충진이 이어진다. 예를 들어, 순차적인 CVD의 복수의 사이클들이 벌크 텅스텐으로 피처를 부분적으로 충진하도록 수행될 수도 있고, 피처의 나머지를 충진하도록 MDNOW 및 H2에 대한 동시의 노출을 사용한 CVD가 이어진다. 일부 실시예들에서, 피처는 핵생성 층을 증착하지 않고 충진될 수도 있지만, 핵생성 층은 벌크 텅스텐의 성장 지연을 감소시키는 것을 도울 수도 있다는 것을 주의하라.In another example, the features may be filled by depositing a tungsten nucleation layer by ALD cycles of alternating pulses of WF 6 with a reducing agent, followed by partial deposition of bulk tungsten by sequential CVD, Followed by complete bulk filling by CVD of tungsten (like using a metal-organic tungsten precursor). For example, a plurality of cycles of sequential CVD they may be performed to partially filling the feature with bulk tungsten, followed by CVD with simultaneous exposure of about MDNOW and H 2 so as to fill the remainder of the object. Note that in some embodiments, the feature may be filled without depositing the nucleation layer, but the nucleation layer may help to reduce the growth retardation of the bulk tungsten.

본 명세서에 기술된 애플리케이션들의 다양한 조합들이 텅스텐을 증착하도록 사용될 수도 있고 그리고 방법들이 본 명세서에 제공된 예들에 제한되지 않는다는 것이 이해될 것이다. 예를 들어, 텅스텐 펜타클로라이드 (WCl5) 와 텅스텐 헥사클로라이드 (WCl6) 와 같은 염소-함유 텅스텐 전구체들 (WClx) 이 본 명세서에 기술된 실시예들에서 WF6 대신에 또는 WF6와 조합하여 사용될 수도 있다.It will be appreciated that various combinations of applications described herein may be used to deposit tungsten and that the methods are not limited to the examples provided herein. For example, tungsten pentachloride (WCl 5) with tungsten hexa chloride (WCl 6) and such chlorine-containing tungsten precursors (WCl x) is the embodiment of WF 6 in place of or in WF 6 in combination with the technology herein .

다양한 실시예들에서, 소크 또는 표면 처리 동작은 핵생성 층을 증착하기 전에 수행될 수도 있다. 예시적인 소크 또는 표면 처리들은 기판을 실란 (SiH4), 디실란 (Si2H6), 트리실란 (Si3H8), 게르만 (GeH4), 아르곤 (Ar), 텅스텐 헥사플루오라이드 (WF6), 디보란 (B2H6), 수소 (H2), 질소 (N2) 가스, 또는 이들의 조합들에 노출시키는 것을 포함한다. 일부 실시예들에서, 기판은 하나 이상의 가스들을 사용하여 소킹될 수도 있다. 예를 들어, 일부 실시예들에서, 기판은 제 1 지속기간 동안 실란에 노출될 수도 있고, 이어서 제 2 지속기간 동안 디보란에 노출될 수도 있다. 이러한 동작들은 또한 사이클들로 반복될 수도 있다. 또 다른 예에서, 기판은 제 1 지속기간 동안 디보란에 노출될 수도 있고, 이어서 제 2 지속기간 동안 실란에 노출될 수도 있다. 또 다른 예에서, 기판은 제 1 지속기간 동안 디보란에 노출될 수도 있고, 이어서 제 2 지속기간 동안 수소에 노출될 수도 있다. 또 다른 예에서, 기판은 제 1 지속기간 동안 실란에 노출될 수도 있고, 이어서 제 2 지속기간 동안 수소에 노출될 수도 있다. 일부 실시예들에서, 기판은 임의의 상술된 소킹 프로세스들과 조합하여 질소 가스에 노출될 수도 있다. 임의의 개시된 실시예들에서, 기판을 하우징하는 챔버는 하나 이상의 소크 동작들 사이에서 퍼지될 수도 있다. 퍼지는 아르곤과 같은 불활성 가스를 챔버 내로 흘림으로써 수행될 수도 있다. 예를 들어, 일 예에서, 기판은 제 1 지속기간 동안 디보란에 노출될 수도 있고, 이어서 챔버는 퍼지될 수도 있고, 그리고 이어서 기판은 제 2 지속기간 동안 실란에 노출될 수도 있다.In various embodiments, a soak or surface treatment operation may be performed prior to depositing the nucleation layer. An exemplary soak or surface treatment are a silane to the substrate (SiH 4), disilane (Si 2 H 6), trisilane (Si 3 H 8), germane (GeH 4), argon (Ar), tungsten hexafluoride (WF 6 ), diborane (B 2 H 6 ), hydrogen (H 2 ), nitrogen (N 2 ) gas, or combinations thereof. In some embodiments, the substrate may be soaked using one or more gases. For example, in some embodiments, the substrate may be exposed to silane for a first duration and then to diborane for a second duration. These operations may also be repeated in cycles. In another example, the substrate may be exposed to diborane for a first duration and then to a silane for a second duration. In another example, the substrate may be exposed to diborane for a first duration and then to hydrogen for a second duration. In another example, the substrate may be exposed to silane for a first duration and then to hydrogen for a second duration. In some embodiments, the substrate may be exposed to nitrogen gas in combination with any of the soaking processes described above. In any of the disclosed embodiments, the chamber housing the substrate may be purged between one or more soak operations. Or may be performed by flowing an inert gas such as argon into the chamber. For example, in one example, the substrate may be exposed to diborane for a first duration, then the chamber may be purged, and then the substrate may be exposed to the silane for a second duration.

벌크 텅스텐 층의 증착 전에 특정한 개시된 실시예들에 따라 증착된 핵생성 층들은, 텅스텐-함유 전구체와 환원제, 예를 들어, 실란 (SiH4), 디실란 (Si2H6), 트리실란 (Si3H8), 게르만 (GeH4), 또는 디보란 (B2H6) 사이를 교번함으로써 증착될 수도 있다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 일부 실시예들에서, 핵생성 층은 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 실란의 교번하는 펄스들에 기판을 노출시킴으로써, 이어서 텅스텐-함유 전구체와 디보란의 교번하는 펄스들에 기판을 노출시킴으로써 증착된다. 임의의 개시된 실시예들에서, 기판을 하우징하는 챔버는 핵생성 층을 증착하기 위해 하나 이상의 도즈 동작들 사이에서 퍼지될 수도 있다. 퍼지는 챔버 내로 아르곤과 같은 불활성 가스를 흘림으로써 수행될 수도 있다. 임의의 적합한 불활성 가스는 퍼지를 위해 사용될 수도 있다. 예를 들어, 일부 실시예들에서, 기판은 텅스텐-함유 전구체의 펄스에 노출될 수도 있고, 이어서 챔버는 퍼지될 수도 있고, 이어서 기판은 실란의 펄스에 노출될 수도 있고, 그리고 챔버는 다시 퍼지될 수도 있고, 그리고 이러한 동작들은 사이클들로 반복될 수도 있다.Certain disclosed embodiments of the nucleation layer deposited according to prior to the deposition of the bulk tungsten layers include tungsten-containing containing precursor and a reducing agent, such as silane (SiH 4), disilane (Si 2 H 6), trisilane (Si 3 H 8 ), germane (GeH 4 ), or diborane (B 2 H 6 ). In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and silane. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and silane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and silane. In some embodiments, the nucleation layer is formed by exposing the substrate to alternating pulses of tungsten-containing precursor and silane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by tungsten-containing And is then deposited by exposing the substrate to alternating pulses of the precursor and silane. In some embodiments, the nucleation layer is formed by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by exposing the substrate to alternating pulses of tungsten-containing precursor and silane, followed by a tungsten-containing And is then deposited by exposing the substrate to alternating pulses of the precursor and diborane. In any of the disclosed embodiments, the chamber housing the substrate may be purged between one or more dose operations to deposit the nucleation layer. Or may be carried out by flowing an inert gas such as argon into the purging chamber. Any suitable inert gas may be used for purge. For example, in some embodiments, the substrate may be exposed to pulses of a tungsten-containing precursor, and then the chamber may be purged, and then the substrate may be exposed to pulses of silane and the chamber may be purged again And these operations may be repeated in cycles.

임의의 상술된 구현예들에서 사용될 수도 있는 핵생성 층 증착은, 전체 핵생성 증착 프로세스 동안, 또는 실란 도즈 동안, 또는 디보란 도즈 동안, 또는 WF6 도즈와 같은 텅스텐-함유 전구체 도즈 동안, 또는 임의의 퍼지 시간들 동안, 수소 (H2), 아르곤 (Ar), 질소 (N2) 중 임의의 하나, 또는 이들의 조합들을 함께 흘리는 것 (co-flowing) 을 포함할 수도 있다. 일부 실시예들에서, 표면 처리 동작은 실란, 디실란, 트리실란, 게르만, 디보란, 수소, 텅스텐 헥사플루오라이드, 질소, 아르곤, 및 이들의 조합들 중 임의의 것에 기판을 노출시킴으로써 핵생성 성장 동안 또는 핵생성 성장 후에 수행될 수도 있다. 예를 들어, 핵생성 층의 증착 동안, 기판은 실란과 WF6의 교번하는 펄스들에 노출될 수도 있고, 이어서 기판은 실란 소크에 노출될 수도 있고, 이어서 기판은 실란과 WF6의 교번하는 펄스들에 노출되는 것을 재개할 수도 있다. 이러한 동작들은 사이클들로 수행될 수도 있다. 예를 들어, 일부 실시예들에서, 다음의 사이클이 핵생성 층을 증착하도록 1회 이상 반복될 수도 있다: SiH4와 WF6의 교번하는 펄스들 및 표면에 대한 노출 처리.Nucleation layer deposition, which may be used in any of the above-described embodiments, may be performed during the entire nucleation deposition process, or during silane dosing, or during diborandone, or during tungsten-containing precursor doses such as WF 6 dose, Flowing co-flow of any one of hydrogen (H 2 ), argon (Ar), nitrogen (N 2 ), or combinations thereof during the purge times of the purge gas. In some embodiments, the surface treatment operation is performed by exposing the substrate to any of silane, disilane, trisilane, germane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, ≪ / RTI > or after nucleation growth. For example, during the deposition of the nucleation layer, the substrate may be exposed to a silane and an alternating pulse of WF 6, and then the substrate may be exposed to a silane soak, and then the substrate is alternating pulses of silane and WF 6 Lt; / RTI > These operations may be performed in cycles. For example, in some embodiments, the following cycle may be repeated one or more times to deposit the nucleation layer: alternating pulses of SiH 4 and WF 6 and exposure treatment to the surface.

일부 실시예들에서, 핵생성 층은 임의의 시퀀스 및 순서로 텅스텐-함유 전구체와 다음의 가스들 중 임의의 하나 이상의 임의의 조합에 기판을 노출시킴으로써 증착될 수도 있다: 디보란, 실란, 디실란, 트리실란, 수소, 질소, 및 게르만 (GeH4). 예를 들어, 일부 실시예들에서, 핵생성 층은 디보란에 기판을 노출시킴으로써, 텅스텐 헥사플루오라이드에 기판을 노출시킴으로써, 실란에 기판을 노출시킴으로써, 그리고 수소에 기판을 노출시킴으로써 증착될 수도 있다. 이러한 동작들은 하나 이상의 사이클들로 반복될 수도 있다. 또 다른 예에서, 일부 실시예들에서, 핵생성 층은 실란에 기판을 노출시킴으로써, 텅스텐 헥사플루오라이드에 기판을 노출시킴으로써, 그리고 수소에 기판을 노출시킴으로써 증착될 수도 있다. 이러한 동작들은 하나 이상의 사이클들로 반복될 수도 있다. 또 다른 예에서, 일부 실시예들에서, 핵생성 층은 디보란에 기판을 노출시킴으로써, 수소에 기판을 노출시킴으로써, 그리고 텅스텐 헥사플루오라이드에 기판을 노출시킴으로써 증착될 수도 있다. 이러한 동작들은 하나 이상의 사이클들로 반복될 수도 있다. 또 다른 예에서, 일부 실시예들에서, 핵생성 층은 질소에 기판을 노출시킴으로써, 디보란에 기판을 노출시킴으로써, 그리고 텅스텐 헥사플루오라이드에 기판을 노출시킴으로써 증착될 수도 있다. 이러한 동작들은 하나 이상의 사이클들로 반복될 수도 있다. 또 다른 예에서, 일부 실시예들에서, 핵생성 층은 실란에 기판을 노출시킴으로써, 질소에 기판을 노출시킴으로써, 그리고 텅스텐 헥사플루오라이드에 기판을 노출시킴으로써 증착될 수도 있다. 이러한 동작들은 하나 이상의 사이클들로 반복될 수도 있다. 임의의 기술된 실시예들에서, 기판은 임의의 사용가능한 가스를 사용하여 핵생성 사이클의 증착 전, 동안, 또는 후에 표면 처리 동작 및/또는 소킹 동작에 노출될 수도 있다. 일부 실시예들에서, 부가적인 가스들은 핵생성 증착 프로세스의 하나 이상의 노출들 동안 임의의 상술된 가스들과 함께 흐를 수도 있다. 임의의 개시된 실시예들에서, 기판을 하우징하는 챔버는 핵생성 층을 증착하기 위해 하나 이상의 도즈 동작들 사이에서 퍼지될 수도 있다. 퍼지는 챔버 내로 아르곤과 같은 불활성 가스를 흘림으로써 수행될 수도 있다. 임의의 적합한 불활성 가스가 퍼지를 위해 사용될 수도 있다.In some embodiments, the nucleation layer may be deposited by exposing the substrate to any combination of any one or more of the following gases with a tungsten-containing precursor in any sequence and order: diborane, silane, disilane , triethoxy silane, hydrogen, nitrogen, and germane (GeH 4). For example, in some embodiments, the nucleation layer may be deposited by exposing the substrate to diborane, exposing the substrate to tungsten hexafluoride, exposing the substrate to silane, and exposing the substrate to hydrogen . These operations may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer may be deposited by exposing the substrate to silane, by exposing the substrate to tungsten hexafluoride, and by exposing the substrate to hydrogen. These operations may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer may be deposited by exposing the substrate to diborane, exposing the substrate to hydrogen, and exposing the substrate to tungsten hexafluoride. These operations may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer may be deposited by exposing the substrate to nitrogen, by exposing the substrate to diborane, and by exposing the substrate to tungsten hexafluoride. These operations may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer may be deposited by exposing the substrate to silane, exposing the substrate to nitrogen, and exposing the substrate to tungsten hexafluoride. These operations may be repeated in one or more cycles. In any of the described embodiments, the substrate may be exposed to surface treatment operations and / or sorching operations before, during, or after deposition of the nucleation cycle using any available gas. In some embodiments, additional gases may flow with any of the above-described gases during one or more exposures of the nucleation deposition process. In any of the disclosed embodiments, the chamber housing the substrate may be purged between one or more dose operations to deposit the nucleation layer. Or may be carried out by flowing an inert gas such as argon into the purging chamber. Any suitable inert gas may be used for purging.

벌크 텅스텐은 본 명세서에 기술된 모든 개시된 실시예들 및 전체가 참조로서 본 명세서에 인용되는, 2015년 5월 27에 출원된 미국 특허 출원 일련번호 제 14/723,275 호 (대리인 문서 제 LAMRP183/3623-1US 호) 를 사용하여 증착될 수도 있다. 모든 상술된 구현예들에서, 벌크 텅스텐은 또한 재핵생성 및/또는 소크 및/또는 표면 처리 및/또는 벌크 증착들 사이에 수행되는 종래의 CVD 증착 동작들로 주기적으로 증착될 수도 있다. 예를 들어, 일부 실시예들에서, 벌크 텅스텐은 도 2b에 대해 상술된 바와 같이 개시된 실시예들을 사용하여 증착될 수도 있고, 이어서 벌크 텅스텐 증착이 중지될 수도 있고, 이어서 기판은 기판의 표면을 재핵생성하도록 실란과 WF6, 또는 디보란과 WF6의 교번하는 펄스들에 노출될 수도 있고, 이어서 벌크 텅스텐 증착은 도 2b에 대해 상술된 바와 같이 개시된 실시예들을 사용하여 재개될 수도 있다. 이러한 동작들은 임의의 수의 사이클들로 반복될 수도 있다. 또 다른 예에서, 일부 실시예들에서, 벌크 텅스텐은 도 2b에 대해 상술된 바와 같이 개시된 실시예들을 사용하여 증착될 수도 있고, 이어서 벌크 텅스텐 증착이 중지될 수도 있고, 이어서 기판은 기판의 표면을 처리하도록, 실란, 디실란, 트리실란, 게르만, 디보란, 수소, 텅스텐 헥사플루오라이드, 질소, 아르곤, 및 이들의 조합들 중 임의의 것을 흘림으로써 소크 또는 표면 처리에 노출될 수도 있고, 이어서 벌크 텅스텐 증착은 도 2b에 대해 상술된 바와 같이 개시된 실시예들을 사용하여 재개될 수도 있다. 벌크 텅스텐 증착은 WF6과 같은 텅스텐-함유 전구체와 다음의 가스들 중 임의의 하나 이상에 기판을 노출시킴으로써 수행될 수도 있다: 수소, 실란, 디실란, 트리실란, 디보란, 질소, 아르곤, 및 게르만. 벌크 텅스텐은 또한 도 3k에 대해 상술된 바와 같이 종래의 CVD와 순차적인 CVD의 조합을 사용하여 증착될 수도 있다. 종래의 CVD는 순차적인 CVD를 사용하여 벌크 텅스텐을 증착하기 전, 동안 (순차적인 CVD와 종래의 CVD 사이를 순환함으로써와 같이), 또는 후에 수행될 수도 있다.Bulk tungsten is disclosed in U.S. Patent Application Serial No. 14 / 723,275, filed May 27, 2015, the disclosure of which is incorporated herein by reference in its entirety, all of the disclosed embodiments described in this specification, and the Attorney Docket LAMRP183 / 3623- 1US). ≪ / RTI > In all of the above-described embodiments, bulk tungsten may also be periodically deposited with conventional CVD deposition operations performed between nucleation and / or soaking and / or surface treatment and / or bulk deposition. For example, in some embodiments, bulk tungsten may be deposited using the disclosed embodiments, as described above for FIG. 2B, and then bulk tungsten deposition may be discontinued, It may be exposed to the silane and WF 6, or the diborane and the alternating pulse of WF 6 to generate, and then the bulk tungsten deposition may be resumed by using the disclosed embodiments, as described above with respect to Figure 2b. These operations may be repeated in any number of cycles. In another example, in some embodiments, bulk tungsten may be deposited using the disclosed embodiments, as described above for FIG. 2B, and then the bulk tungsten deposition may be stopped, May be exposed to soaking or surface treatment by flowing any of silane, disilane, trisilane, germane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, and combinations thereof, The tungsten deposition may be resumed using the disclosed embodiments as described above with respect to FIG. 2B. Bulk tungsten deposition may be performed by exposing the substrate to any one or more of the following gases with a tungsten-containing precursor such as WF 6 : hydrogen, silane, disilane, trisilane, diborane, nitrogen, argon, and The Germans. Bulk tungsten may also be deposited using a combination of conventional CVD and sequential CVD as described above with respect to Figure 3k. Conventional CVD may be performed before, during, or after deposition of bulk tungsten (such as by circulating between sequential CVD and conventional CVD) using sequential CVD.

일부 실시예들에서, 기판은 벌크 텅스텐을 증착하기 전 및 핵생성 층을 증착한 후에 임의의 적합한 온도에서 어닐링될 수도 있다. 일부 실시예들에서, 기판은 벌크 텅스텐 층을 증착한 후에 임의의 적합한 온도에서 어닐링될 수도 있다. 일부 실시예들에서, 기판은 벌크 텅스텐의 증착 동안 중간 시간들 동안 임의의 적합한 온도에서 어닐링될 수도 있다. 어닐링은 다음의 가스들: WF6과 같은 텅스텐-함유 가스, 수소, 실란, 디실란, 트리실란, 디보란, 질소, 아르곤, 및 게르만 중 하나 이상을 포함한 환경과 같은 임의의 적합한 가스 환경에서 수행될 수도 있다.In some embodiments, the substrate may be annealed at any suitable temperature prior to depositing the bulk tungsten and after depositing the nucleation layer. In some embodiments, the substrate may be annealed at any suitable temperature after depositing the bulk tungsten layer. In some embodiments, the substrate may be annealed at any suitable temperature during intermediate times during the deposition of bulk tungsten. The annealing may be performed in any suitable gaseous environment, such as an environment comprising one or more of the following gases: a tungsten-containing gas such as WF 6 , hydrogen, silane, disilane, trisilane, diborane, nitrogen, argon, .

다양한 실시예들에서, 기판을 하우징하는 챔버는 도 2b에 대해 상술된 바와 같이, 개시된 실시예들에 따라 벌크 텅스텐을 증착하기 위해 텅스텐-함유 전구체와 환원제의 도즈들 전 또는 후에 펌핑 또는 퍼지될 수도 있다. 일부 실시예들에서, 지연 시간은 본 명세서에 기술된 바와 같이 순차적인 CVD 증착의 도즈 또는 퍼지 단계에 포함될 수도 있다. 일부 실시예들에서, 하나 이상의 가스들은 임의의 다음의 가스들: WF6, 수소, 실란, 디실란, 트리실란, 디보란, 질소, 아르곤, 및 게르만 중 하나 이상을 사용하여 도즈 또는 퍼지 동작 동안 함께 흐를 수도 있다.In various embodiments, the chamber housing the substrate may be pumped or purged before or after the doses of the tungsten-containing precursor and reducing agent to deposit bulk tungsten, as described above with respect to Figure 2B, in accordance with the disclosed embodiments. have. In some embodiments, the delay time may be included in a dose or purge step of sequential CVD deposition as described herein. In some embodiments, one or more gases may be removed during a dose or purge operation using one or more of the following gases: WF 6 , hydrogen, silane, disilane, trisilane, diborane, nitrogen, argon, It may flow together.

핵생성 증착 동안 기판의 온도는 도 2b에 대해 상술된 바와 같은 순차적인 CVD 동안의 기판의 온도와 동일하지 않을 수도 있다. 기판의 온도는 기판을 홀딩하는 페데스탈이 설정되는 온도를 의미하는 것으로 이해될 것이다. 개시된 실시예들은 임의의 적합한 압력, 예를 들어, 약 10 Torr 초과의 압력들, 또는 약 10 Torr 미만의 압력들에서 수행될 수도 있다. 멀티-스테이션 챔버에 대해, 페데스탈 각각은 상이한 온도들로 설정될 수도 있다. 일부 실시예들에서, 페데스탈 각각은 동일한 온도로 설정된다. 기판들은 개시된 실시예들에 따른 임의의 상술된 동작들 중 몇몇 또는 전부 동안 스테이션으로부터 스테이션으로 순환될 수도 있다. 챔버 압력은 또한 특정한 개시된 실시예들의 하나 이상의 동작들에서 조절될 수도 있다. 일부 실시예들에서, 핵생성 증착 동안의 챔버 압력은 벌크 증착 동안의 챔버 압력과 상이하다. 일부 실시예들에서, 핵생성 증착 동안의 챔버 압력은 벌크 증착 동안의 챔버 압력과 동일하다.The temperature of the substrate during nucleation deposition may not be the same as the temperature of the substrate during sequential CVD as described above for Figure 2B. The temperature of the substrate will be understood to mean the temperature at which the pedestal holding the substrate is set. The disclosed embodiments may be performed at any suitable pressure, for example, pressures of greater than about 10 Torr, or pressures of less than about 10 Torr. For multi-station chambers, each of the pedestals may be set to different temperatures. In some embodiments, each pedestal is set to the same temperature. The substrates may be cycled from station to station for some or all of any of the above-described operations in accordance with the disclosed embodiments. The chamber pressure may also be adjusted in one or more of the operations of certain disclosed embodiments. In some embodiments, the chamber pressure during nucleation deposition is different from the chamber pressure during bulk deposition. In some embodiments, the chamber pressure during nucleation deposition is equal to the chamber pressure during bulk deposition.

임의의 상술된 노출들 동안, 가스들은 연속적으로 펄싱되거나 흐를 수도 있다. 예를 들어, 일부 실시예들에서, 순차적인 CVD 동작의 WF6 도즈 동안, WF6은 단일의 도즈 동안 1회 이상 펄싱될 수도 있다. 마찬가지로, 일부 실시예들에서, 퍼지 동안, 불활성 가스는 1회 이상 동안 단일의 퍼지 동작 동안 펄싱될 수도 있다. 이러한 펄싱 동작들은 핵생성 증착의 임의의 동작 또는 벌크 증착의 임의의 동작 또는 이들의 임의의 조합 동안 수행될 수도 있다. 일부 실시예들에서, 압력, 플로우 레이트, 및 온도와 같은 하나 이상의 파라미터들에 대한 하나 이상의 변화들이 사용될 수도 있다. 일부 실시예들에서, 기판과 페데스탈 위의 샤워헤드 사이의 갭이 조절될 수도 있도록 페데스탈은 핵생성 증착 또는 벌크 증착 또는 양자의 임의의 동작 동안 이동될 수도 있다. 페데스탈을 이동시키는 것은, 압력, 온도, 또는 플로우 레이트와 같은 하나 이상의 파라미터들을 변경함으로써 조합하여 사용될 수도 있다. 기판과 샤워헤드 사이의 갭을 조절하는 것은, 특정한 개시된 실시예들에 따라 사용될 수도 있는, 압력, 온도, 또는 플로우 레이트에 영향을 줄 수 있다. 본 명세서에 기술된 임의의 프로세스들이 ALD를 수반한 기법들에 적용 가능할 수도 있다는 것이 이해될 것이다.During any of the above-described exposures, the gases may be pulsed or flow continuously. For example, in some embodiments, during a WF 6 dose of sequential CVD operation, WF 6 may be pulsed more than once during a single dose. Likewise, in some embodiments, during purge, the inert gas may be pulsed during a single purge operation for more than one time. Such pulsing operations may be performed during any operation of nucleation deposition or any operation of bulk deposition or any combination thereof. In some embodiments, one or more changes to one or more parameters, such as pressure, flow rate, and temperature, may be used. In some embodiments, the pedestal may be moved during nucleation or bulk deposition, or any operation of both, such that the gap between the substrate and the showerhead over the pedestal may be adjusted. Moving the pedestal may be used in combination by changing one or more parameters such as pressure, temperature, or flow rate. Adjusting the gap between the substrate and the showerhead can affect the pressure, temperature, or flow rate, which may be used according to certain disclosed embodiments. It will be appreciated that any of the processes described herein may be applicable to techniques involving ALD.

장치Device

임의의 적합한 챔버가 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, 캘리포니아 프리몬트 소재의 Lam Research Corp.으로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 일부 실시예들에서, 순차적인 CVD는 단일의 증착 챔버 내에 위치된 2개, 5개, 또는 심지어 더 많은 증착 스테이션들 중 하나의 스테이션인 제 1 스테이션에서 수행될 수도 있다. 따라서, 예를 들어, 수소 (H2) 및 텅스텐 헥사플루오라이드는 기판 표면에 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여, 제 1 스테이션에서 반도체 기판의 표면으로 교번하여 도입될 수도 있다. 또 다른 스테이션이 불소-프리 텅스텐 증착, 또는 비순차적인 CVD를 위해 사용될 수도 있다. 또 다른 스테이션이 저압에서 텅스텐 핵생성 층을 증착하도록 사용될 수도 있다. 2개 이상의 스테이션들이 병렬 프로세싱에서 텅스텐을 증착하도록 사용될 수도 있다. 대안적으로, 웨이퍼는 순차적으로 2개 이상의 스테이션들 위에서 순차적인 CVD 동작들을 수행하도록 인덱싱될 수도 있다.Any suitable chamber may be used to implement the disclosed embodiments. An exemplary deposition apparatus may include various systems, for example, CA available from Lam Research Corp. of Fremont ® material available ALTUS and ALTUS Max ®, or obtained by any of a variety of other commercially available processing system. In some embodiments, sequential CVD may be performed at a first station that is one of two, five, or even more deposition stations located in a single deposition chamber. Thus, for example, hydrogen (H 2 ) and tungsten hexafluoride may be introduced alternately from the first station to the surface of the semiconductor substrate, using a separate gas supply system that creates a localized atmosphere on the substrate surface . Another station may be used for fluorine-free tungsten deposition, or for non-sequential CVD. Another station may be used to deposit the tungsten nucleation layer at low pressure. Two or more stations may be used to deposit tungsten in parallel processing. Alternatively, the wafer may be indexed to perform sequential CVD operations sequentially on two or more stations.

도 4는 실시예들에 따른, 도전성 텅스텐 박막 증착 프로세스들에 적합한 프로세싱 시스템의 블록도이다. 시스템 (400) 은 이송 모듈 (403) 을 포함한다. 이송 모듈 (403) 은 다양한 반응기 모듈들 사이에서 프로세싱될 기판들이 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하기 위해 클린 (clean), 가압된 환경을 제공한다. 실시예들에 따라 ALD 및 순차적인 CVD를 수행할 수 있는 멀티-스테이션 반응기 (409) 가 이송 모듈 (403) 상에 장착된다. 멀티-스테이션 반응기 (409) 는 일부 실시예들에서 불소-프리 텅스텐 증착 및/또는 비순차적인 CVD를 수행하도록 사용될 수도 있다. 멀티-스테이션 반응기 (409) 는, 개시된 실시예들에 따라 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (411, 413, 415, 및 417) 을 포함할 수도 있다. 예를 들어, 멀티-스테이션 반응기 (409) 는 스테이션 (411) 이 ALD에 의한 핵생성 층 증착을 수행하고, 스테이션 (413) 이 순차적인 ALD를 수행하고, 스테이션 (415) 이 불소-프리 텅스텐 증착을 수행하고, 그리고 스테이션 (417) 이 비순차적인 CVD를 수행하도록 구성될 수 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입부들 또는 샤워헤드 또는 확산 플레이트를 포함할 수도 있다. 웨이퍼 지지부 (502) 및 샤워헤드 (503) 를 포함하는 증착 스테이션 (500) 의 예는 도 5에 도시된다. 가열기는 페데스탈 부분 (501) 에 제공될 수도 있다.4 is a block diagram of a processing system suitable for conductive tungsten film deposition processes, in accordance with embodiments. The system 400 includes a transfer module 403. The transfer module 403 provides a clean, pressurized environment between the various reactor modules to minimize the risk of contamination of the substrates to be processed as the substrates to be processed are moved. A multi-station reactor 409 capable of performing ALD and sequential CVD is mounted on the transfer module 403 in accordance with embodiments. The multi-station reactor 409 may be used to perform fluorine-free tungsten deposition and / or non-sequential CVD in some embodiments. The multi-station reactor 409 may include a plurality of stations 411, 413, 415, and 417 that may perform operations sequentially in accordance with the disclosed embodiments. For example, a multi-station reactor 409 may be used to perform the nucleation layer deposition by ALD, the station 413 performing sequential ALD, and the station 415 performing fluorine-free tungsten deposition And the station 417 may be configured to perform non-sequential CVD. The stations may include a heated pedestal or substrate support, one or more gas inlets or a showerhead or a diffusion plate. An example of a deposition station 500 that includes a wafer support 502 and a showerhead 503 is shown in FIG. The heater may be provided in the pedestal portion 501.

또한 플라즈마 또는 화학적 (비플라즈마) 선-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (407) 이 이송 모듈 (403) 상에 장착될 수도 있다. 모듈은 또한 다양한 처리들, 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 사용될 수도 있다. 시스템 (400) 은 프로세싱 전후에 웨이퍼들이 저장되는 하나 이상의 웨이퍼 소스 모듈들 (401) 을 또한 포함한다. 대기 이송 챔버 (419) 내의 대기 로봇 (atmospheric robot) (미도시) 은 먼저 소스 모듈들 (401) 로부터 로드록들 (421) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (403) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 은 로드록들 (421) 로부터 이송 모듈 (403) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.One or more single or multi-station modules 407 capable of performing plasma or chemical (non-plasma) line-cleaning may also be mounted on the transfer module 403. The module may also be used to prepare the substrate for various processes, for example, a deposition process. System 400 also includes one or more wafer source modules 401 in which wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 419 may first remove wafers from the source modules 401 to the load locks 421. A wafer transfer device (generally a robotic arm unit) within the transfer module 403 moves wafers from the load locks 421 to modules mounted on the transfer module 403 and between the modules.

다양한 실시예들에서, 시스템 제어기 (429) 는 증착 동안 프로세스 조건들을 제어하도록 채용된다. 시스템 제어기 (429) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.In various embodiments, the system controller 429 is employed to control process conditions during deposition. The system controller 429 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output connections, stepper motor controller boards, and the like.

시스템 제어기 (429) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (429) 는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 (RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 제어기 (429) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.The system controller 429 may control all activities of the deposition apparatus. The system controller 429 may also include a set of instructions for controlling timing, mixing of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, Run the included system control software. Other computer programs stored on the memory devices associated with the controller 429 may be employed in some embodiments.

통상적으로 제어기 (429) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.There will typically be a user interface associated with the controller 429. The user interface may include a display screen, a graphical software display of the device and / or a graphical software display of process conditions, and user input devices such as pointing devices, keyboards, touchscreens, microphones,

시스템 제어 로직이 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 내에 하드 코딩된 로직, ASIC들 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.The system control logic may be configured in any suitable manner. Generally, the logic may be designed and configured in hardware and / or software. The instructions for controlling the drive circuitry may be hard-coded or provided as software. The instructions may be provided by "programming ". Such programming is understood to encompass any type of logic, including hard-coded logic, application-specific integrated circuits (ASICs) within the digital signal processors, and other devices with specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. The system control software may be coded in any suitable computer readable programming language.

프로세스 시퀀스에서 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.The computer program code for controlling germanium-containing reductant pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in the process sequence may be stored in any conventional computer readable programming language, C ++, Pascal, and Fortran. The compiled object code or script is executed by the processor to perform the tasks identified in the program. As also shown, the program code may be hard-coded.

제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be input using a user interface.

프로세스를 모니터링하기 위한 신호들이 시스템 제어기 (429) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력된다.Signals for monitoring the process may also be provided by the analog input and / or digital input connections of the system controller 429. Signals for controlling the process are output on the analog output connection and the digital output connection of the deposition apparatus 400.

시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시예들에 따라 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드를 포함한다.The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be created to control the operation of chamber components required to perform deposition processes in accordance with the disclosed embodiments. Examples of programs or program sections for this purpose include a substrate positioning code, a process gas control code, a pressure control code, and a heater control code.

일부 구현예들에서, 제어기 (429) 는 상술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (429) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.In some implementations, the controller 429 is part of a system that may be part of the examples described above. Such systems may include semiconductor processing equipment, including processing tools or tools, chambers or chambers, processing platforms or platforms, and / or specific processing components (wafer pedestal, gas flow system, etc.) . These systems may be integrated into an electronic device for controlling their operation prior to, during, and after the processing of a semiconductor wafer or substrate. An electronic device may also be referred to as a "controller" that may control various components or sub-components of the system or systems. The controller 429 may control the delivery of processing gases, temperature settings (e.g., heating and / or cooling), pressure settings, vacuum settings, and the like, depending on the processing requirements and / RF configuration settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, location and operation settings in some systems, May also be programmed to control any of the processes described herein, including wafer transfers into and out of load locks, interfacing with or interfacing with a particular system, tools, and other delivery tools.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, the controller may be implemented with various integrated circuits, logic, memory, and / or software that receive instructions and issue instructions, control operations, enable cleaning operations, enable endpoint measurements, May be defined as an electronic device. The integrated circuits may be implemented as chips that are in the form of firmware that stores program instructions, digital signal processors (DSPs), chips that are defined as application specific integrated circuits (ASICs), and / or one that executes program instructions (e.g., Microprocessors, or microcontrollers. The program instructions may be instructions that are passed to the controller or to the system in the form of various individual settings (or program files) that define operating parameters for executing a particular process on a semiconductor wafer or semiconductor wafer. In some embodiments, the operating parameters may be varied to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / It may be part of the recipe specified by the engineer.

제어기 (429) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (429) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.The controller 429, in some implementations, may be coupled to or be part of a computer that is integrated into the system, coupled to the system, or otherwise networked to the system, or a combination thereof. For example, the controller 429 may be part or all of a factory host computer system capable of remote access to wafer processing, or may be in a "cloud ". The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes parameters of current processing, and performs processing steps following current processing Or may enable remote access to the system to start a new process. In some instances, a remote computer (e.g., a server) may provide process recipes to the system via a network that may include a local network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and / or settings to be communicated from the remote computer to the system at a later time. In some instances, the controller receives instructions in the form of data, specifying parameters for each of the process steps to be performed during one or more operations. It should be appreciated that these parameters may be specific to the type of tool that is configured to control or interfere with the controller and the type of process to be performed. Thus, as described above, the controllers may be distributed, for example, by including one or more individual controllers networked together and cooperating together for common purposes, e.g., for the processes and controls described herein. An example of a distributed controller for this purpose is one or more integrated on a chamber communicating with one or more integrated circuits located remotely (e. G., At the platform level or as part of a remote computer) Circuits.

비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.Exemplary systems include, but are not limited to, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, a PVD chamber or module, a CVD A chamber or module, an ALD chamber or module, an ALE (atomic layer etch) chamber or module, an ion implantation chamber or module, a track chamber or module, and semiconductor wafers And may include any other semiconductor processing systems.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.As described above, depending on the process steps or steps to be performed by the tool, the controller may be used to transfer the material to move the containers of wafers from / to the tool positions and / May communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located all over the plant, main computer, other controller or tools.

제어기 (429) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 공간을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들, 펄스 시간들을 제어하기 위한 코드 그리고 선택 가능하게 챔버 내의 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.Controller 429 may include various programs. The substrate positioning program may include program code for controlling the chamber components used to load the substrate onto a pedestal or chuck and to control the space between the substrate and other portions of the chamber, such as the gas inlet and / or target . The process gas control program may include gas composition and flow rates, a code for controlling pulse times, and a code for channeling gas into the chamber prior to deposition to selectively stabilize the pressure in the chamber. The pressure control program may, for example, comprise a code for controlling the pressure in the chamber by adjusting the throttle valve of the exhaust system of the chamber. The heater control program may include a code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program may control the transfer of heat transfer gas, such as helium, to the wafer chuck.

증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들과 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 써모커플들을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터로 사용될 수도 있다.Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located within a pedestal or chuck. Properly programmed feedback and control algorithms may be used as data from these sensors to maintain the desired process conditions.

전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현예를 기술한다. 본 명세서에서 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작 동안, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래픽 패터닝은 일반적으로 단계들 각각이 복수의 가능한 툴들을 사용하여 제공되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.The foregoing describes an implementation of embodiments disclosed in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used with lithographic patterning tools or processes, for example, during manufacturing or fabrication of semiconductor devices, displays, LEDs, photoelectric panels, and the like. Typically, but not necessarily, such tools / processes will be used or implemented together in a common manufacturing facility. Lithographic patterning of the film generally involves the following steps: (1) use a spin-on tool or a spray-on tool, each of which is provided using a plurality of possible tools Applying a photoresist on the workpiece, i. E. The substrate; (2) curing the photoresist using a hot plate or a furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light using a tool such as a wafer stepper; (4) developing the resist to pattern the resist by selectively removing the resist using a tool such as a wet bench; (5) transferring the resist pattern into a lower film or workpiece by using a dry or plasma assisted etching tool; And (6) removing the resist using a tool such as a RF or microwave plasma resist stripper.

실험Experiment

실험 1Experiment 1

실험은 395 ℃, 40 Torr의 압력에서 벌크 텅스텐을 증착하기 위한 4개의 프로세스들에 대해 실시되었다. 프로세스 각각에서, 벌크 텅스텐은 디보란 (B2H6) 과 텅스텐 헥사플루오라이드 (WF6) 의 사이클들을 교번하는 ALD를 사용하여 증착된 텅스텐 핵생성 층 상에 증착되었다. 도 6은 이들 4개의 프로세스들 각각에 대한 예시적인 펄싱 스킴들을 제공한다. 프로세스 1에서, H2 및 WF6은 종래의 CVD 동안에서와 같이, 챔버 내로 동시에 그리고 연속적으로 흐른다. 프로세스 2에서, H2는 연속적으로 흐르는 반면에, WF6은 펄싱된다 (예를 들어, 펄싱된 CVD). 프로세스 3에서, WF6은 연속적으로 흐르는 반면에, H2는 펄싱된다 (예를 들어, 펄싱된 CVD). 프로세스 4에서, H2 및 WF6은 도 2b에 대해 상술된 방법 (예를 들어, 순차적인 CVD) 과 같은 방법을 사용하여 교번하여 펄싱된다. 이들 4개의 프로세스들 각각을 사용하여 증착된 막들의 텅스텐 핵생성 층의 두께, 응력, 불균일성, 및 저항률이 측정되고 이하의 표 1에 컴파일링된다.The experiment was carried out on four processes for depositing bulk tungsten at 395 ° C and a pressure of 40 Torr. In each of the processes, bulk tungsten was deposited on the deposited tungsten nucleation layer using ALD alternating cycles of diborane (B 2 H 6 ) and tungsten hexafluoride (WF 6 ). Figure 6 provides exemplary pulsing schemes for each of these four processes. In Process 1, H 2 and WF 6 flow simultaneously and continuously into the chamber, as during conventional CVD. In Process 2, H 2 flows continuously while WF 6 is pulsed (e.g., pulsed CVD). In Process 3, WF 6 flows continuously while H 2 is pulsed (e.g., pulsed CVD). In process 4, H 2 and WF 6 is the method described above with respect to Figure 2b is pulsed alternately by using the same method as in (for example, the subsequent CVD). The thickness, stress, non-uniformity, and resistivity of the tungsten nucleation layer of the deposited films using each of these four processes are measured and compiled in Table 1 below.

저항률 및 응력Resistivity and stress 프로세스process 핵생성 층 두께
(Å)
Nucleation layer thickness
(A)
응력
(㎫)
Stress
(MPa)
불균일성
(%)
Non-uniformity
(%)
저항률
(μohm-cm)
Resistivity
(μohm-cm)
1One 507507 22512251 10.8910.89 13.3213.32 22 533533 22072207 4.314.31 12.7912.79 33 517517 22752275 41.5641.56 13.2013.20 44 673673 16341634 21.7721.77 10.8110.81

표 1에 나타낸 바와 같이, 프로세스 4를 사용하여 증착된 텅스텐 막의 응력 및 저항률 양자는, 프로세스들 1 내지 3 중 임의의 프로세스를 사용하여 증착된 막들보다 상당히 보다 낮다. As shown in Table 1, both the stress and the resistivity of the tungsten film deposited using Process 4 are considerably lower than those of the films deposited using any of the processes 1-3.

실험 2Experiment 2

실험은 2개의 기판들 상에 벌크 텅스텐을 증착하기 위한 프로세스들에 대해 실시되었고, 기판들 양자는 티타늄 나이트라이드 (TiN) 배리어 층 및 B2H6과 WF6의 사이클들을 교번하는 ALD에 의해 증착된 텅스텐 핵생성 층을 포함한다. 일 기판은 동시에 300 ℃에서 WF6 및 H2에 기판을 노출시키는 것을 수반하는, 비순차적인 CVD를 사용한, 벌크 텅스텐의 증착을 수반했다. 또 다른 기판은 10 Torr의 챔버 압력에서 WF6 및 H2의 교번하는 펄스들을 수반하는, 도 2b에 대해 상술된 바와 같은 순차적인 CVD를 사용한, 벌크 텅스텐의 증착을 수반했다. 불소 농도는 기판들 양자에 대해 측정되었다. 이 실험에 대한 조건들은 표 2에 나타나 있다. 결과들은 도 7에 플롯팅된다.Experiments were conducted on processes for depositing bulk tungsten on two substrates, both of which were deposited by ALD alternating cycles of a titanium nitride (TiN) barrier layer and B 2 H 6 and WF 6 ≪ / RTI > tungsten nucleation layer. One substrate involved the deposition of bulk tungsten, using non-sequential CVD, which involved simultaneously exposing the substrate to WF 6 and H 2 at 300 ° C. Another substrate involved deposition of bulk tungsten, using sequential CVD as described above for FIG. 2B, followed by alternating pulses of WF 6 and H 2 at a chamber pressure of 10 Torr. The fluorine concentration was measured for both substrates. The conditions for this experiment are shown in Table 2. The results are plotted in FIG.

실험 2 조건들Experiment 2 Conditions 700 700
비순차적인 CVDNon-sequential CVD
701 701
순차적인 CVDSequential CVD
배리어Barrier  layer TiNTiN TiNTiN 핵생성Nucleation  layer ALD
B2H6/WF6
ALD
B 2 H 6 / WF 6
ALD
B2H6/WF6
10 Torr
ALD
B 2 H 6 / WF 6
10 Torr
벌크 bulk 텅스텐 층Tungsten layer CVD
WF6 및 H2
300 ℃
CVD
WF 6 and H 2
300 ° C
순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr

선 700은 비순차적인 CVD에 의해 증착된 텅스텐을 가진 기판에 대한 불소 농도를 나타낸다. 선 701은 순차적인 CVD에 의해 증착된 텅스텐을 가진 기판에 대한 불소 농도를 나타낸다. 약 350 Å에서의 W/TiN 계면 라인은 텅스텐 핵생성 층과 TiN 배리어 층 사이의 계면을 나타낸다. 약 475 Å에서 점선으로 나타낸 TiN/옥사이드 계면은 TiN 배리어 층과 옥사이드 사이의 계면을 나타낸다. 플롯의 y-축에 대한 불소 농도는 자릿수로 나타내며, 순차적인 CVD 불소 농도 701은 비순차적인 CVD 불소 농도 700보다 실질적으로 보다 낮고 - 일부 기판 깊이들에서 불소 농도에 있어서 최대 두 자릿수 적다.Line 700 represents the fluorine concentration for a substrate having tungsten deposited by non-sequential CVD. Line 701 shows the fluorine concentration for the substrate with tungsten deposited by sequential CVD. The W / TiN interface line at about 350 A represents the interface between the tungsten nucleation layer and the TiN barrier layer. The TiN / oxide interface, dashed at about 475 A, represents the interface between the TiN barrier layer and the oxide. The fluorine concentration on the y-axis of the plot is expressed in digits, and the sequential CVD fluorine concentration 701 is substantially lower than the non-sequential CVD fluorine concentration 700 - up to two orders of magnitude less fluorine concentration at some substrate depths.

실험 3Experiment 3

실험은 상이한 압력들에서 기판들 상에 벌크 텅스텐을 증착하기 위한 프로세스들에 대해 실시되었다. 3개의 기판들 각각은 TiN 배리어 층을 포함했다. 일 기판은 10 Torr에서 B2H6 및 WF6의 사이클들을 교번하는 ALD에 의해 증착된 텅스텐 핵생성 층의 증착을 수반했고, 300 ℃에서 WF6 및 H2에 기판을 노출시킴으로써 벌크 텅스텐의 CVD가 이어진다. 또 다른 기판은 10 Torr에서 B2H6 및 WF6의 사이클들을 교번하는 ALD에 의해 증착된 텅스텐 핵생성 층의 증착을 수반했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들에 의한 벌크 텅스텐의 순차적인 CVD가 이어진다. 제 3 기판은 3 Torr에서 B2H6 및 WF6의 사이클들을 교번함으로써 증착된 텅스텐 핵생성 층의 ALD를 수반했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들을 사용하는, 벌크 텅스텐의 순차적인 CVD가 이어진다. 불소 농도는 모든 3개의 기판들에 대해 측정되었다. 이 실험에 대한 조건들은 표 3에 나타나 있다. 결과들은 도 8에 플롯팅된다.Experiments have been conducted on processes for depositing bulk tungsten on substrates at different pressures. Each of the three substrates included a TiN barrier layer. One substrate involved the deposition of a tungsten nucleation layer deposited by ALD alternating cycles of B 2 H 6 and WF 6 at 10 Torr and the CVD of bulk tungsten by exposing the substrate to WF 6 and H 2 at 300 ° C . Another substrate involved the deposition of a tungsten nucleation layer deposited by ALD alternating cycles of B 2 H 6 and WF 6 at 10 Torr and a bulk deposition by alternating pulses of WF 6 and H 2 at 10 Torr Subsequent CVD of tungsten is followed. The third substrate involved an ALD of the deposited tungsten nucleation layer by alternating the cycles of B 2 H 6 and WF 6 at 3 Torr, and the alternating pulses of bulk tungsten, using alternating pulses of WF 6 and H 2 at 10 Torr Sequential CVD is followed. The fluorine concentration was measured for all three substrates. The conditions for this experiment are shown in Table 3. The results are plotted in FIG.

실험 3 조건들 Experiment 3 Conditions 800 800
비순차적인 CVDNon-sequential CVD
801 801
고압에서의 순차적인 CVD Sequential CVD at high pressure
803 803
저압에서의 순차적인 CVD Sequential CVD at low pressure
배리어Barrier  layer TiNTiN TiNTiN TiNTiN 핵생성Nucleation  layer ALD
B2H6/WF6
ALD
B 2 H 6 / WF 6
ALD
B2H6/WF6
10 Torr
ALD
B 2 H 6 / WF 6
10 Torr
ALD
B2H6/WF6
3 Torr
ALD
B 2 H 6 / WF 6
3 Torr
벌크 bulk 텅스텐 층Tungsten layer CVD
WF6 및 H2
300 ℃
CVD
WF 6 and H 2
300 ° C
순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr
순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr

선 800은 벌크 텅스텐이 비순차적인 CVD에 의해 증착되는, 제 1 기판에 대한 불소 농도를 나타낸다. 파선 801은 핵생성 층이 10 Torr에서 증착되고, 이어서 순차적인 CVD에 의해 벌크 텅스텐이 증착되는, 제 2 기판에 대한 불소 농도를 나타낸다. 점선 803은 핵생성 층이 3 Torr에서 증착되고, 이어서 순차적인 CVD에 의해 벌크 텅스텐이 증착되는, 제 3 기판에 대한 불소 농도를 나타낸다. 결과들은, 순차적인 CVD를 따른 저압 핵생성 층 (803) 이 심지어 W/TiN 계면에서 그리고 심지어 TiN 층에서도 (350 Å 내지 475 Å), 제 2 기판 (801) 보다 보다 저 불소 농도를 나타낸다는 것을 보여준다. 이것은 텅스텐 막 내의 불소 농도의 감소된 양에 기인하여 TiN 층과 옥사이드 내로의 불소 확산이 감소될 수도 있다는 것을 암시한다.Line 800 represents the fluorine concentration for the first substrate, in which bulk tungsten is deposited by non-sequential CVD. The dashed line 801 represents the fluorine concentration for the second substrate, in which the nucleation layer is deposited at 10 Torr followed by bulk tungsten deposition by sequential CVD. Dashed line 803 represents the fluorine concentration for the third substrate, where the nucleation layer is deposited at 3 Torr followed by bulk tungsten deposition by sequential CVD. The results show that the low pressure nucleation layer 803 following sequential CVD shows a lower fluorine concentration than the second substrate 801, even at the W / TiN interface and even in the TiN layer (350 A to 475 A) Show. This implies that the fluorine diffusion into the TiN layer and into the oxide may be reduced due to the reduced amount of fluorine concentration in the tungsten film.

실험 4Experiment 4

실험은 텅스텐 증착의 상이한 조합들을 사용하여 기판들 상에 벌크 텅스텐을 증착하기 위한 프로세스들에 대해 실시되었다. 3개의 기판들이 비교되었다. 일 기판은 1 kÅ의 열 옥사이드, 30 Å TiN, WF6 및 B2H6의 펄스들을 교번하여 ALD를 사용하여 3 Torr에서 증착된 18 Å 텅스텐 핵생성 층, 및 WF6 및 H2의 순차적인 CVD 펄스들을 사용하여 10 Torr에서 증착된 벌크 텅스텐을 포함했다. 이 기판의 불소 농도는 도 9에 파선 912로 도시된다. 또 다른 기판은 1 kÅ의 열 옥사이드, 30 Å TiN, 10 Å의 불소 프리 텅스텐, WF6 및 B2H6의 펄스들을 교번하여 ALD를 사용하여 3 Torr에서 증착된 12 Å 텅스텐 핵생성 층, 및 WF6 및 H2의 펄스들을 사용하여 10 Torr에서 순차적인 CVD에 의해 증착된 벌크 텅스텐을 포함했다. 이 제 2 기판의 불소 농도는 도 9에 선 911로 도시된다. 제 3 기판은 5 kÅ의 TEOS-증착된 옥사이드, 30 Å의 불소 프리 텅스텐, WF6 및 B2H6의 펄스들을 교번하여 ALD를 사용하여 3 Torr에서 증착된 12 Å 텅스텐 핵생성 층, 및 WF6 및 H2를 사용하여 10 Torr에서 순차적인 CVD에 의해 증착된 벌크 텅스텐을 포함했다. 이 기판의 불소 농도는 도 9에 점선 913으로 도시된다. 이 실험에 대해 기판 각각 상에 증착된 바와 같은 층들은 표 4에 요약되어 있다.Experiments were conducted on processes for depositing bulk tungsten on substrates using different combinations of tungsten deposition. Three substrates were compared. One substrate is a 18 Å tungsten nucleation layer deposited at 3 Torr using ALD alternating with pulses of 1 Å of thermal oxide, 30 Å TiN, WF 6 and B 2 H 6 , and a sequence of WF 6 and H 2 And bulk tungsten deposited at 10 Torr using CVD pulses. The fluorine concentration of this substrate is shown by the broken line 912 in Fig. Another substrate is a 12 Å tungsten nucleation layer deposited at 3 Torr using ALD alternating with pulses of 1 kA of thermal oxide, 30 Å TiN, 10 Å of fluorine-free tungsten, WF 6 and B 2 H 6 , and And bulk tungsten deposited by sequential CVD at 10 Torr using pulses of WF 6 and H 2 . The fluorine concentration of this second substrate is shown by line 911 in Fig. The third substrate is a 12 Å tungsten nucleation layer deposited at 3 Torr using ALD alternating with pulses of 5 kA TEOS-deposited oxide, 30 Å fluorine-free tungsten, WF 6 and B 2 H 6 , and WF 6 and H 2 at 10 Torr. ≪ tb >< TABLE > Columns = 2 < tb > The fluorine concentration of this substrate is shown by the dotted line 913 in Fig. The layers as deposited on each substrate for this experiment are summarized in Table 4.

실험 4 조건들Experiment 4 Conditions 911911 912912 913913 제 1 층The first layer 1 kÅ 열 옥사이드1 kA thermal oxide 1 kÅ 열 옥사이드1 kA thermal oxide 5 kÅ TEOS-증착된 옥사이드5 kA TEOS-deposited oxide 제 2 층Second layer 30 Å TiN30 Å TiN 30 Å TiN30 Å TiN 30 Å 불소-프리 텅스텐30 Å fluorine-free tungsten 제 3 층Third Floor 10Å 불소-프리 텅스텐10 A fluorine-free tungsten 18 Å ALD
핵생성 층
B2H6/WF6
3 Torr
18 Å ALD
Nucleation layer
B 2 H 6 / WF 6
3 Torr
12 Å ALD
핵생성 층
B2H6/WF6
3 Torr
12 Å ALD
Nucleation layer
B 2 H 6 / WF 6
3 Torr
제 4 층Fourth floor 12Å ALD
핵생성 층
B2H6/WF6
3 Torr
12 Å ALD
Nucleation layer
B 2 H 6 / WF 6
3 Torr
순차적인 CVD에 의한 벌크 W
WF6/H2
10 Torr
Bulk W by sequential CVD
WF 6 / H 2
10 Torr
순차적인 CVD에 의한 벌크 W
WF6/H2
10 Torr
Bulk W by sequential CVD
WF 6 / H 2
10 Torr
제 5 층Fifth floor 순차적인 CVD에 의한 벌크 W
WF6/H2
10 Torr
Bulk W by sequential CVD
WF 6 / H 2
10 Torr

도 9에 도시된 바와 같이, 불소 프리 텅스텐, 저압 핵생성 층, 및 순차적인 CVD의 조합을 사용하여 증착된 막들에 대한 불소 농도는 보다 낮은 불소 확산을 갖는다 (깊이들이 425 Å 초과인 경우에 W/TiN 계면을 넘어 선들 911 및 선들 913 참조). 핵생성 층 근방의 불소 농도는 기판 상에 증착된 보다 많은 불소 프리 텅스텐을 가진 막에 대해 300 Å 내지 425 Å에서 가장 낮지만, 불소 프리 텅스텐 층 없이 순차적인 CVD 및 저압 핵생성을 사용하여 증착된 막에 대해 벌크 텅스텐은 약 50 Å 내지 300 Å에서 보다 저 불소 농도를 가진다 (선 912 참조). 이들 결과들은 불소 프리 텅스텐을 증착하는 것과 텅스텐의 순차적인 CVD의 조합이, 매우 낮은 불소 농도들 및 감소된 불소 확산을 달성하는 텅스텐 막들을 발생시킬 수도 있다는 것을 암시한다.As shown in FIG. 9, the fluorine concentration for the films deposited using a combination of fluorine free tungsten, low pressure nucleation layer, and sequential CVD has a lower fluorine diffusion (when the depths are greater than 425 A, the W / TiN interface beyond lines 911 and lines 913). The fluorine concentration near the nucleation layer was the lowest at 300 A to 425 A for a film with more fluorine pre-tungsten deposited on the substrate, but was deposited using sequential CVD and low pressure nucleation without a fluorine pre-tungsten layer Bulk tungsten for the film has a lower fluorine concentration at about 50 A to 300 A (see line 912). These results imply that the combination of deposition of fluorine pre-tungsten and sequential CVD of tungsten may result in tungsten films that achieve very low fluorine concentrations and reduced fluorine diffusion.

실험 5Experiment 5

실험은 저압 핵생성 층 증착 대 고압 핵생성 층 증착을 조합하여 순차적인 CVD에 의해 증착된 프로세스 막들에 대해 실시되었다. 일 기판은 10 Torr에서 WF6 및 B2H6의 사이클들을 교번하는 ALD를 사용하여 증착된 텅스텐 핵생성 층을 포함했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들을 사용하여 상술되 바와 같이 도 2b에 따른 순차적인 CVD에 의한 벌크 텅스텐 증착을 포함했다. 막의 응력 및 저항률은 다양한 두께들에서 측정되었고 그리고 도 10a 및 도 10b에서 선 1001 "저압 핵생성"으로서 도시된다. 또 다른 기판은 40 Torr에서 WF6 및 B2H6의 사이클들을 교번하는 ALD를 사용하여 증착된 텅스텐 핵생성 층을 포함했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들을 사용하여 상술된 바와 같이 도 2b에 따른 순차적인 CVD에 의한 벌크 텅스텐 증착을 포함했다. 막의 응력 및 저항률은 다양한 두께들에서 측정되었고 그리고 도 10a 및 도 10b에서 선 1002 "고압 핵생성"으로서 도시된다. 핵생성 층 증착 및 벌크 층 증착에 대한 조건들은 표 5에 나타나 있다.Experiments were conducted on process films deposited by sequential CVD in combination with low pressure nucleation layer deposition versus high pressure nucleation layer deposition. One substrate contained a tungsten nucleation layer deposited using ALD alternating cycles of WF 6 and B 2 H 6 at 10 Torr and was deposited on the substrate as described above using alternating pulses of WF 6 and H 2 at 10 Torr As well as bulk CVD tungsten deposition according to Figure 2b. The stress and resistivity of the film were measured at various thicknesses and are shown as line 1001 "low pressure nucleation" in Figs. 10A and 10B. Another substrate contained a deposited tungsten nucleation layer using ALD alternating cycles of WF 6 and B 2 H 6 at 40 Torr and was etched using alternating pulses of WF 6 and H 2 at 10 Torr Lt; RTI ID = 0.0 > CVD < / RTI > by sequential CVD according to FIG. The stress and resistivity of the film were measured at various thicknesses and are shown as lines 1002 "high pressure nucleation" in FIGS. 10A and 10B. The conditions for nucleation layer deposition and bulk layer deposition are shown in Table 5.

실험 5 조건들Experiment 5 Conditions 1001 저압 1001 Low pressure 핵생성Nucleation 1002 고압 1002 High pressure 핵생성Nucleation 핵생성 층Nucleation layer ALD
B2H6/WF6
10 Torr
ALD
B 2 H 6 / WF 6
10 Torr
ALD
B2H6/WF6
40 Torr
ALD
B 2 H 6 / WF 6
40 Torr
벌크 텅스텐 층 Bulk tungsten layer 순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr
순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr
온도Temperature 300 ℃300 ° C

결과들에 나타난 바와 같이, 저압에서 증착된 핵생성 층을 가진 기판은 고압에서 증착된 핵생성 층을 가진 기판보다 상당히 보다 낮은 응력을 가졌지만, 저항률은 거의 동일했다.As shown in the results, the substrate with the nucleation layer deposited at low pressure had significantly lower stress than the substrate with the nucleation layer deposited at high pressure, but the resistivity was nearly the same.

실험 6Experiment 6

실험은 저온 핵생성 층 증착 대 고온 핵생성 층 증착을 조합하여 순차적인 CVD에 의해 증착된 프로세스 막들에 대해 실시되었다. 일 기판은 10 Torr 및 250 ℃에서 WF6 및 B2H6의 사이클들을 교번하는 ALD를 사용하여 증착된 텅스텐 핵생성 층을 포함했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들을 사용하여 상술되 바와 같이 도 2b에 따른 순차적인 CVD에 의한 벌크 텅스텐 증착을 포함했다. 막의 응력 및 저항률은 다양한 두께들에서 측정되었고 그리고 도 11a 및 도 11b에서 선 1102 "저 T 핵생성"으로서 도시된다. 또 다른 기판은 10 Torr 및 300 ℃에서 WF6 및 B2H6의 사이클들을 교번하는 ALD를 사용하여 증착된 텅스텐 핵생성 층을 포함했고, 10 Torr에서 WF6 및 H2의 교번하는 펄스들을 사용하여 상술된 바와 같이 도 2b에 따른 순차적인 CVD에 의한 벌크 텅스텐 증착을 포함했다. 막의 응력 및 저항률은 다양한 두께들에서 측정되었고 그리고 도 11a 및 도 11b에서 선 1104 "고 T 핵생성"으로서 도시된다. 핵생성 층 증착 및 벌크 층 증착에 대한 조건들은 표 6에 나타나 있다.Experiments have been conducted on process films deposited by sequential CVD in combination with low temperature nucleation layer deposition versus high temperature nucleation layer deposition. One substrate contained a tungsten nucleation layer deposited using ALD alternating cycles of WF 6 and B 2 H 6 at 10 Torr and 250 ° C and using alternating pulses of WF 6 and H 2 at 10 Torr And a bulk tungsten deposition by sequential CVD according to Figure 2b as described above. The stresses and resistivities of the films were measured at various thicknesses and are shown as line 1102 "low T nucleation" in FIGS. 11A and 11B. Another substrate contained a tungsten nucleation layer deposited using ALD alternating cycles of WF 6 and B 2 H 6 at 10 Torr and 300 ° C and employing alternating pulses of WF 6 and H 2 at 10 Torr Lt; RTI ID = 0.0 > CVD < / RTI > by sequential CVD according to FIG. The stresses and resistivities of the films were measured at various thicknesses and are shown as line 1104 "high T nucleation" in FIGS. 11A and 11B. The conditions for nucleation layer deposition and bulk layer deposition are shown in Table 6.

실험 6 조건들Experiment 6 Conditions 1102 저온 1102 Low temperature 핵생성Nucleation 1104 고온 1104 High temperature 핵생성Nucleation 핵생성Nucleation  layer ALD
B2H6/WF6
10 Torr
250 ℃
ALD
B 2 H 6 / WF 6
10 Torr
250 ℃
ALD
B2H6/WF6
10 Torr
300 ℃
ALD
B 2 H 6 / WF 6
10 Torr
300 ° C
벌크 텅스텐 층Bulk tungsten layer 순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr
순차적인 CVD
WF6/H2
10 Torr
Sequential CVD
WF 6 / H 2
10 Torr

결과들에 나타난 바와 같이, 저온에서 증착된 핵생성 층을 가진 기판은 고온에서 증착된 핵생성 층을 가진 기판보다 상당히 보다 낮은 응력을 가졌지만, 보다 고온에서 증착된 막의 저항률은 보다 저온에서 증착된 막의 저항률보다 약간 더 낮았다. 이러한 결과들은 순차적인 CVD 벌크 증착과 조합하여 핵생성 층의 보다 저온 증착이 막의 응력을 상당히 감소시킬 수 있다는 것을 암시한다.As shown in the results, the substrate with the nucleation layer deposited at a low temperature had significantly lower stress than the substrate with the nucleation layer deposited at a higher temperature, but the resistivity of the film deposited at a higher temperature was lower The resistivity of the film was slightly lower. These results imply that the lower temperature deposition of the nucleation layer in combination with sequential CVD bulk deposition can significantly reduce the film stress.

결론conclusion

전술한 실시예들이 이해의 명료성을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 제한적이기보다는 예시적인 것으로 고려되어야 하고, 본 실시예들은 본 명세서에 주어진 상세들에 제한되지 않는다.While the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and devices of the present embodiments. Accordingly, the embodiments are to be considered as illustrative rather than restrictive, and the embodiments are not limited to the details given herein.

Claims (22)

피처를 충진하는 방법에 있어서,
(a) 기판 상에 텅스텐 핵생성 층을 증착하도록 환원제와 제 1 텅스텐-함유 전구체의 교번하는 펄스들에 챔버 내의 상기 기판을 노출시키는 단계; 및
(b) 상기 텅스텐 핵생성 층 위에 벌크 텅스텐 층을 증착하도록 수소와 제 2 텅스텐-함유 전구체의 교번하는 펄스들에 상기 기판을 노출시키는 단계를 포함하고,
상기 단계 (a) 동안 챔버 압력은 10 Torr 이하인, 피처를 충진하는 방법.
In a method of filling a feature,
(a) exposing the substrate in a chamber to alternating pulses of a reducing agent and a first tungsten-containing precursor to deposit a tungsten nucleation layer on the substrate; And
(b) exposing the substrate to alternating pulses of hydrogen and a second tungsten-containing precursor to deposit a bulk tungsten layer over the tungsten nucleation layer,
Wherein the chamber pressure during step (a) is 10 Torr or less.
제 1 항에 있어서,
(c) 제 2 벌크 텅스텐 층을 증착하도록 동시에 환원제와 제 3 텅스텐-함유 전구체에 상기 기판을 노출시키는 단계를 더 포함하는, 피처를 충진하는 방법.
The method according to claim 1,
(c) exposing the substrate to a reducing agent and a third tungsten-containing precursor simultaneously to deposit a second bulk tungsten layer.
제 2 항에 있어서,
(d) 상기 단계 (b) 의 2 이상의 사이클들마다 상기 단계 (c) 를 수행하는 단계를 더 포함하고, 상기 단계 (b) 의 사이클은 수소의 펄스와 상기 제 2 텅스텐-함유 전구체의 펄스를 포함하는, 피처를 충진하는 방법.
3. The method of claim 2,
(d) performing the step (c) for every two or more cycles of the step (b), wherein the cycle of step (b) comprises applying a pulse of hydrogen and a pulse of the second tungsten- Including a method of filling a feature.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 단계 (b) 는 수소의 펄스와 상기 텅스텐-함유 전구체의 펄스를 포함한 사이클들로 수행되고, 그리고 상기 사이클 각각은 적어도 약 0.3 Å의 두께를 갖는 서브모노레이어 (submonolayer) 를 형성하는, 피처를 충진하는 방법.
4. The method according to any one of claims 1 to 3,
Wherein step (b) is performed with cycles comprising a pulse of hydrogen and a pulse of the tungsten-containing precursor, and each of the cycles forming a submonolayer having a thickness of at least about 0.3 A How to fill.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 텅스텐-함유 전구체는 상기 제 2 텅스텐-함유 전구체와 상이한, 피처를 충진하는 방법.
4. The method according to any one of claims 1 to 3,
Wherein the first tungsten-containing precursor differs from the second tungsten-containing precursor.
제 5 항에 있어서,
상기 제 1 텅스텐-함유 전구체는 불소 프리인, 피처를 충진하는 방법.
6. The method of claim 5,
Wherein the first tungsten-containing precursor is fluorine-free.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 증착된 텅스텐 핵생성 층 및 상기 증착된 벌크 텅스텐 층은 증착된 500 Å 당 약 1 ㎬ 미만의 인장 응력을 갖는, 피처를 충진하는 방법.
4. The method according to any one of claims 1 to 3,
Wherein the deposited tungsten nucleation layer and the deposited bulk tungsten layer have a tensile stress of less than about 1 micron per deposited 500 angstroms.
기판 상에 텅스텐을 증착하는 방법에 있어서,
상기 방법은,
(a) 텅스텐 층을 상기 기판 상에 증착하는 단계; 및
(b) 벌크 텅스텐 층을 사이클들로 증착하는 단계를 포함하고,
상기 텅스텐 층을 상기 기판 상에 증착하는 단계는,
(i) 상기 기판을 환원제에 노출시키고, 그리고
(ii) 상기 기판을 제 1 불소 프리 텅스텐-함유 전구체에 노출시킴으로써 수행되고,
상기 사이클은
(i) 상기 기판을 수소 (H2) 에 노출시키는 단계,
(ii) 상기 기판을 제 2 텅스텐-함유 전구체에 노출시키는 단계, 및
(iii) 상기 벌크 텅스텐 층을 증착하도록 하나 이상의 사이클들로 상기 단계 (i) 및 (ii) 를 반복하는 단계를 포함하는, 기판 상에 텅스텐을 증착하는 방법.
A method of depositing tungsten on a substrate,
The method comprises:
(a) depositing a tungsten layer on the substrate; And
(b) depositing the bulk tungsten layer in cycles,
Wherein depositing the tungsten layer on the substrate comprises:
(i) exposing the substrate to a reducing agent, and
(ii) exposing the substrate to a first fluorinated tungsten-containing precursor,
The cycle
(i) exposing the substrate to hydrogen (H 2),
(ii) exposing the substrate to a second tungsten-containing precursor, and
(iii) repeating steps (i) and (ii) in one or more cycles to deposit the bulk tungsten layer.
제 8 항에 있어서,
상기 제 1 불소 프리 텅스텐-함유 전구체는 금속-유기 텅스텐-함유 전구체들 및 텅스텐 헥사카보닐로 구성된 그룹으로부터 선택되는, 기판 상에 텅스텐을 증착하는 방법.
9. The method of claim 8,
Wherein the first fluorine free tungsten-containing precursor is selected from the group consisting of metal-organic tungsten-containing precursors and tungsten hexacarbonyl.
제 8 항 또는 제 9 항에 있어서,
상기 단계 (a) 의 상기 텅스텐 층은 약 2 Å 내지 약 100 Å의 두께로 증착되는, 기판 상에 텅스텐을 증착하는 방법.
10. The method according to claim 8 or 9,
Wherein the tungsten layer of step (a) is deposited to a thickness of from about 2 A to about 100 A.
제 8 항 또는 제 9 항에 있어서,
상기 단계 (b) 의 상기 사이클 각각은 적어도 약 0.3 Å의 두께를 갖는 서브모노레이어를 형성하는, 기판 상에 텅스텐을 증착하는 방법.
10. The method according to claim 8 or 9,
Wherein each of the cycles of step (b) forms a sub-mono layer having a thickness of at least about 0.3 Angstroms.
피처를 충진하는 방법에 있어서,
(a) 기판 위에 벌크 텅스텐 층을 증착하도록 수소와 제 1 텅스텐-함유 전구체의 교번하는 펄스들에 상기 기판을 노출시키는 단계; 및
(b) 상기 기판 위에 제 2 벌크 텅스텐 층을 증착하도록 동시에 제 2 텅스텐-함유 전구체와 환원제에 상기 기판을 노출시키는 단계를 포함하는, 피처를 충진하는 방법.
In a method of filling a feature,
(a) exposing the substrate to alternating pulses of hydrogen and a first tungsten-containing precursor to deposit a bulk tungsten layer on the substrate; And
(b) exposing the substrate to a second tungsten-containing precursor and a reducing agent simultaneously to deposit a second bulk tungsten layer on the substrate.
제 12 항에 있어서,
상기 단계 (a) 및 상기 단계 (b) 는 순차적으로 반복되는, 피처를 충진하는 방법.
13. The method of claim 12,
Wherein the step (a) and the step (b) are sequentially repeated.
제 12 항에 있어서,
상기 단계 (b) 의 상기 텅스텐-함유 전구체는 금속-유기 텅스텐-함유 전구체들, 텅스텐 클로라이드들, 및 텅스텐 헥사카보닐로 구성된 그룹으로부터 선택된 불소 프리 텅스텐-함유 전구체인, 피처를 충진하는 방법.
13. The method of claim 12,
Wherein the tungsten-containing precursor of step (b) is a fluorine-free tungsten-containing precursor selected from the group consisting of metal-organic tungsten-containing precursors, tungsten chlorides, and tungsten hexacarbonyl.
제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
상기 제 1 텅스텐-함유 전구체는 상기 제 2 텅스텐-함유 전구체와 상이한, 피처를 충진하는 방법.
15. The method according to any one of claims 12 to 14,
Wherein the first tungsten-containing precursor differs from the second tungsten-containing precursor.
기판들을 프로세싱하기 위한 장치에 있어서,
상기 장치는,
(a) 상기 기판을 홀딩하도록 구성된 페데스탈을 포함한 적어도 하나의 프로세스 챔버;
(b) 진공과 커플링하기 위한 적어도 하나의 유출부;
(c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
(d) 상기 장치 내의 동작들을 제어하기 위한 제어기를 포함하고,
상기 제어기는,
(i) 상기 프로세스 챔버에 교번하는 펄스들로 환원제 및 제 1 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션; 및
(ii) 상기 프로세스 챔버에 교번하는 펄스들로 수소 및 제 2 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션을 포함하고,
상기 머신-판독가능 인스트럭션 (i) 동안 챔버 압력은 10 Torr 이하인, 기판들을 프로세싱하기 위한 장치.
An apparatus for processing substrates,
The apparatus comprises:
(a) at least one process chamber including a pedestal configured to hold the substrate;
(b) at least one outlet for coupling with a vacuum;
(c) one or more process gas inlets coupled to the one or more process gas sources; And
(d) a controller for controlling operations in the device,
The controller comprising:
(i) machine-readable instructions for introducing a reducing agent and a first tungsten-containing precursor into alternating pulses in the process chamber; And
(ii) machine-readable instructions for introducing hydrogen and a second tungsten-containing precursor into alternating pulses in the process chamber,
Wherein the chamber pressure during the machine-readable instruction (i) is 10 Torr or less.
제 16 항에 있어서,
상기 제어기는 (iii) 제 2 벌크 텅스텐 층을 증착하도록 상기 프로세스 챔버에 환원제와 제 3 텅스텐-함유 전구체를 동시에 도입하기 위한 머신-판독가능 인스트럭션을 더 포함하는, 기판들을 프로세싱하기 위한 장치.
17. The method of claim 16,
Wherein the controller further comprises: (iii) machine-readable instructions for simultaneously introducing a reducing agent and a third tungsten-containing precursor to the process chamber to deposit a second bulk tungsten layer.
제 16 항에 있어서,
상기 제어기는 (iv) 상기 머신-판독가능 인스트럭션 (ii) 의 2 이상의 사이클들마다 상기 머신-판독가능 인스트럭션 (iii) 을 수행하기 위한 머신-판독가능 인스트럭션을 더 포함하고, 상기 머신-판독가능 인스트럭션 (ii) 의 상기 사이클은 수소의 펄스 및 상기 제 2 텅스텐-함유 전구체의 펄스를 포함하는, 기판들을 프로세싱하기 위한 장치.
17. The method of claim 16,
(Iv) further comprises machine-readable instructions for performing the machine-readable instructions (iii) for every two or more cycles of the machine-readable instructions (ii), the machine-readable instructions wherein said cycle of step (ii) comprises a pulse of hydrogen and a pulse of said second tungsten-containing precursor.
제 16 항 내지 제 18 항 중 어느 한 항에 있어서,
상기 제 1 텅스텐-함유 전구체는 상기 제 2 텅스텐-함유 전구체와 상이한, 기판들을 프로세싱하기 위한 장치.
19. The method according to any one of claims 16 to 18,
Wherein the first tungsten-containing precursor differs from the second tungsten-containing precursor.
제 16 항 내지 제 18 항 중 어느 한 항에 있어서,
상기 제 1 텅스텐-함유 전구체는 불소 프리인, 기판들을 프로세싱하기 위한 장치.
19. The method according to any one of claims 16 to 18,
Wherein the first tungsten-containing precursor is fluorine-free.
기판들을 프로세싱하기 위한 장치에 있어서,
상기 장치는,
(a) 상기 기판을 홀딩하도록 구성된 페데스탈을 포함한 적어도 하나의 프로세스 챔버;
(b) 진공과 커플링하기 위한 적어도 하나의 유출부;
(c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
(d) 상기 장치 내의 동작들을 제어하기 위한 제어기를 포함하고,
상기 제어기는,
(i) 벌크 텅스텐 층을 증착하도록 상기 프로세스 챔버에 교번하는 펄스들로 수소 및 제 1 텅스텐-함유 전구체를 도입하기 위한 머신-판독가능 인스트럭션; 및
(ii) 제 2 벌크 텅스텐 층을 증착하도록 상기 프로세스 챔버에 제 2 텅스텐-함유 전구체 및 환원제를 동시에 도입하기 위한 머신-판독가능 인스트럭션을 포함하는, 기판들을 프로세싱하기 위한 장치.
An apparatus for processing substrates,
The apparatus comprises:
(a) at least one process chamber including a pedestal configured to hold the substrate;
(b) at least one outlet for coupling with a vacuum;
(c) one or more process gas inlets coupled to the one or more process gas sources; And
(d) a controller for controlling operations in the device,
The controller comprising:
(i) machine-readable instructions for introducing hydrogen and a first tungsten-containing precursor into alternating pulses in the process chamber to deposit a bulk tungsten layer; And
(ii) machine-readable instructions for simultaneously introducing a second tungsten-containing precursor and a reducing agent into the process chamber to deposit a second bulk tungsten layer.
제 21 항에 있어서,
상기 제어기는 상기 머신-판독가능 인스트럭션들 (i) 및 (ii) 를 순차적으로 반복하기 위한 머신-판독가능 인스트럭션들을 더 포함하는, 기판들을 프로세싱하기 위한 장치.
22. The method of claim 21,
Wherein the controller further comprises machine-readable instructions for sequentially iterating the machine-readable instructions (i) and (ii).
KR1020160064157A 2015-05-27 2016-05-25 Deposition of low fluorine tungsten by sequential cvd process KR102397797B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/723,270 2015-05-27
US14/723,270 US9613818B2 (en) 2015-05-27 2015-05-27 Deposition of low fluorine tungsten by sequential CVD process
US201662328759P 2016-04-28 2016-04-28
US62/328,759 2016-04-28

Publications (2)

Publication Number Publication Date
KR20160140448A true KR20160140448A (en) 2016-12-07
KR102397797B1 KR102397797B1 (en) 2022-05-12

Family

ID=57573895

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160064157A KR102397797B1 (en) 2015-05-27 2016-05-25 Deposition of low fluorine tungsten by sequential cvd process

Country Status (3)

Country Link
JP (1) JP7092456B2 (en)
KR (1) KR102397797B1 (en)
TW (1) TWI747825B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373973B2 (en) 2017-09-11 2019-08-06 SK Hynix Inc. Method of manufacturing semiconductor device through by-product removal from conductive layer
KR20200099112A (en) 2019-02-13 2020-08-21 세종대학교산학협력단 Composition for reducing metal precusor in ald process, and method of producing metal thin film using the same
KR20220060818A (en) 2020-11-05 2022-05-12 세종대학교산학협력단 Tunsten precusor, method and apparatus for deposition of tungsten film using the same
WO2022108908A1 (en) * 2020-11-20 2022-05-27 Lam Research Corporation Low resistance pulsed cvd tungsten

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
JP6788545B2 (en) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 How to form a tungsten film
US10854511B2 (en) * 2017-06-05 2020-12-01 Applied Materials, Inc. Methods of lowering wordline resistance
CN111149190A (en) * 2017-07-13 2020-05-12 应用材料公司 Method and apparatus for depositing tungsten nucleation layers
US10669160B2 (en) * 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
KR102513403B1 (en) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 Methods of depositing tungsten
JP7273323B2 (en) * 2018-08-17 2023-05-15 セントラル硝子株式会社 Manufacturing method of tungsten hexafluoride
JP7138518B2 (en) 2018-08-31 2022-09-16 東京エレクトロン株式会社 Film forming method and film forming system
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device
JP2021038442A (en) * 2019-09-04 2021-03-11 東京エレクトロン株式会社 Substrate treatment method and substrate treatment apparatus
TW202206634A (en) * 2020-06-30 2022-02-16 美商應用材料股份有限公司 Selective tungsten deposition at low temperatures
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008303466A (en) * 2001-10-10 2008-12-18 Applied Materials Inc Method for depositing refractory metal layer employing sequential deposition techniques
KR20110105645A (en) * 2010-03-19 2011-09-27 노벨러스 시스템즈, 인코포레이티드 Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
KR20130119519A (en) * 2008-08-29 2013-10-31 노벨러스 시스템즈, 인코포레이티드 Method for reducing tungsten roughness and improving reflectivity

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
TWI493058B (en) 2007-05-15 2015-07-21 Applied Materials Inc Atomic layer deposition of tungsten materials
US8975142B2 (en) * 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
JP5864503B2 (en) 2013-09-30 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008303466A (en) * 2001-10-10 2008-12-18 Applied Materials Inc Method for depositing refractory metal layer employing sequential deposition techniques
KR20130119519A (en) * 2008-08-29 2013-10-31 노벨러스 시스템즈, 인코포레이티드 Method for reducing tungsten roughness and improving reflectivity
KR20110105645A (en) * 2010-03-19 2011-09-27 노벨러스 시스템즈, 인코포레이티드 Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373973B2 (en) 2017-09-11 2019-08-06 SK Hynix Inc. Method of manufacturing semiconductor device through by-product removal from conductive layer
KR20200099112A (en) 2019-02-13 2020-08-21 세종대학교산학협력단 Composition for reducing metal precusor in ald process, and method of producing metal thin film using the same
KR20220130055A (en) 2019-02-13 2022-09-26 세종대학교산학협력단 Composition for reducing metal precusor in ald process, and method of producing metal thin film using the same
KR20220060818A (en) 2020-11-05 2022-05-12 세종대학교산학협력단 Tunsten precusor, method and apparatus for deposition of tungsten film using the same
WO2022108908A1 (en) * 2020-11-20 2022-05-27 Lam Research Corporation Low resistance pulsed cvd tungsten

Also Published As

Publication number Publication date
JP7092456B2 (en) 2022-06-28
TWI747825B (en) 2021-12-01
TW201715067A (en) 2017-05-01
JP2017008412A (en) 2017-01-12
KR102397797B1 (en) 2022-05-12

Similar Documents

Publication Publication Date Title
US11355345B2 (en) Method for preventing line bending during metal fill process
KR102397797B1 (en) Deposition of low fluorine tungsten by sequential cvd process
US20230290680A1 (en) Self-limiting growth
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US10529722B2 (en) Tungsten for wordline applications
KR102466639B1 (en) Low resistivity films containing molybdenum
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20200140391A (en) Method of depositing tungsten and other metals on 3D NAND structures
JP2017008412A5 (en)
KR20160140458A (en) Tungsten films having low fluorine content
KR20220082023A (en) Molybdenum filling
KR20210081436A (en) Molybdenum Templates for Tungsten
KR20220160624A (en) Feature filling by nucleation inhibition
KR20210110886A (en) deposition of metal films
KR20220044601A (en) Reduced line bending during metal filling process
TWI831756B (en) Method and apparatus for forming metal film
KR20240052872A (en) Process gas ramping during semiconductor processing
WO2023038905A1 (en) Process gas ramp during semiconductor processing

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant