JP2017008412A - Low fluorine tungsten deposition by subsequent cvd process - Google Patents

Low fluorine tungsten deposition by subsequent cvd process Download PDF

Info

Publication number
JP2017008412A
JP2017008412A JP2016104837A JP2016104837A JP2017008412A JP 2017008412 A JP2017008412 A JP 2017008412A JP 2016104837 A JP2016104837 A JP 2016104837A JP 2016104837 A JP2016104837 A JP 2016104837A JP 2017008412 A JP2017008412 A JP 2017008412A
Authority
JP
Japan
Prior art keywords
tungsten
substrate
containing precursor
layer
bulk
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016104837A
Other languages
Japanese (ja)
Other versions
JP7092456B2 (en
JP2017008412A5 (en
Inventor
アダム・ジャンドル
Gendre Adam
サンジャイ・ゴピナス
Gopinath Sanjay
シャオラン・バ
Xiaolan Ba
ラシーナ・フマユン
Humayun Raashina
ミハル・ダネク
Danek Michal
ローレンス・シュロス
Schloss Lawrence
ティアンファ・ユ
Tianhua Yu
シュルティ・ビベク・トンバレ
Vivek Thombare Shruti
カイハン・アビディ・アシュティアニ
Abidi Ashtiani Kaihan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/723,270 external-priority patent/US9613818B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017008412A publication Critical patent/JP2017008412A/en
Publication of JP2017008412A5 publication Critical patent/JP2017008412A5/ja
Application granted granted Critical
Publication of JP7092456B2 publication Critical patent/JP7092456B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Abstract

PROBLEM TO BE SOLVED: To provide a method for forming a low stress tungsten film having a low fluorine content.SOLUTION: The tungsten film forming method includes: (a) a tungsten core forming layer deposition step by exposing alternate pulses of a reductant and a first tungsten-containing precursor on a substrate repeatedly in two cycles or more under a chamber pressure of 10 Torr or less; (b) a bulk tungsten deposition step by exposing alternate pulses of hydrogen and a second tungsten-containing precursor on the tungsten core forming layer to the substrate so as to deposit bulk tungsten, after the step (a); and (c) a second bulk tungsten deposition step by exposing the substrate on the reductant and a third tungsten-containing precursor so as to deposit second bulk tungsten. The step (c) is performed for each of two cycles or more of (b), and a hydrogen pulse and a second tungsten-containing precursor pulse are included in one cycle of the step (b), in the method. The first tungsten-containing precursor is fluorine free, in the method.SELECTED DRAWING: Figure 7

Description

タングステン含有材料の堆積は、多くの半導体製造プロセスの欠かせない一部である。このような材料は、水平配線、隣接する金属層間のビア、シリコン基板上の金属層とデバイスとの間のコンタクト、および高アスペクト比のフィーチャに用いられることがある。半導体基板上での従来のタングステン堆積プロセスでは、真空チェンバ内で基板が処理温度に加熱されて、シード層または核形成層として機能するタングステン膜の極めて薄い部分が堆積される。その後、2種類の反応物質に同時に基板を暴露することにより、タングステン膜の残り部分(バルク層)が核形成層の上に堆積される。一般的に、バルク層は、核形成層よりも高速で堆積される。しかしながら、当業界で、デバイスのシュリンクが進み、より複雑なパターニング方式が用いられることに伴って、タングステン薄膜の堆積は、難題となる。   The deposition of tungsten-containing materials is an integral part of many semiconductor manufacturing processes. Such materials may be used for horizontal wiring, vias between adjacent metal layers, contacts between metal layers on the silicon substrate and the device, and high aspect ratio features. In a conventional tungsten deposition process on a semiconductor substrate, the substrate is heated to a processing temperature in a vacuum chamber to deposit a very thin portion of a tungsten film that functions as a seed layer or nucleation layer. The remaining portion of the tungsten film (bulk layer) is then deposited on the nucleation layer by exposing the substrate to two reactants simultaneously. In general, the bulk layer is deposited at a higher rate than the nucleation layer. However, as the device shrinks and more complex patterning schemes are used in the industry, the deposition of tungsten thin films becomes a challenge.

本明細書において、タングステンを堆積させるための方法および装置を提供する。一態様は、フィーチャを充填する方法に関し、前記方法は、(a)基板上にタングステン核形成層を堆積させるために、チェンバ内で還元剤とタングステン含有前駆体の交互のパルスに基板を暴露することと、(b)タングステン核形成層の上にバルクタングステン層を堆積させるために、水素とタングステン含有前駆体の交互のパルスに基板を暴露することと、を含み、(a)におけるチェンバ圧力は、10Torr以下である。   Provided herein are methods and apparatus for depositing tungsten. One aspect relates to a method for filling a feature, the method comprising: (a) exposing a substrate to alternating pulses of a reducing agent and a tungsten-containing precursor in a chamber to deposit a tungsten nucleation layer on the substrate. And (b) exposing the substrate to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer over the tungsten nucleation layer, wherein the chamber pressure in (a) is 10 Torr or less.

この方法は、(c)第2のバルクタングステン層を堆積させるために、還元剤とタングステン含有前駆体に同時に基板を暴露すること、をさらに含んでもよい。本方法は、(d)として、(b)の2サイクル以上の実施ごとに(c)を実施すること、をさらに含んでもよく、(b)の1サイクルには、水素のパルスとタングステン含有前駆体のパルスが含まれる。   The method may further include (c) exposing the substrate to the reducing agent and the tungsten-containing precursor simultaneously to deposit a second bulk tungsten layer. The method may further comprise performing (c) as (d) every two or more cycles of (b), wherein one cycle of (b) includes a pulse of hydrogen and a tungsten-containing precursor. Includes body pulses.

種々の実施形態において、(b)は、水素のパルスとタングステン含有前駆体のパルスを含むサイクルで実施し、各サイクルで、少なくとも約0.3Åの厚さを有するサブ原子層を形成する。   In various embodiments, (b) is performed in a cycle comprising a pulse of hydrogen and a pulse of a tungsten-containing precursor, with each cycle forming a subatomic layer having a thickness of at least about 0.3 mm.

(a)におけるタングステン含有前駆体は、(b)におけるタングステン含有前駆体とは異なるものであってよい。一部の実施形態では、(a)におけるタングステン含有前駆体は、フッ素フリーのものである。   The tungsten-containing precursor in (a) may be different from the tungsten-containing precursor in (b). In some embodiments, the tungsten-containing precursor in (a) is fluorine free.

堆積されたタングステンは、500Åの堆積あたり、約1GPa未満の引張応力を有し得る。   The deposited tungsten can have a tensile stress of less than about 1 GPa per 500 liters of deposition.

他の態様は、基板上にタングステンを堆積させる方法に関し、前記方法は、(a)基板上にタングステン層を堆積させることであって、(i)還元剤に基板を暴露すること、および(ii)フッ素フリータングステン含有前駆体に基板を暴露することにより、(a)基板上にタングステン層を堆積させることと、(b)バルクタングステン層をサイクルで堆積させることと、を含み、(b)は、(i)水素(H2)に基板を暴露すること、(ii)タングステン含有前駆体に基板を暴露すること、および(iii)バルクタングステン層を堆積させるために(i)−(ii)を1回以上のサイクルで繰り返すこと、を含む。 Another aspect relates to a method of depositing tungsten on a substrate, the method comprising: (a) depositing a tungsten layer on the substrate, (i) exposing the substrate to a reducing agent; and (ii) Comprising: (a) depositing a tungsten layer on the substrate by exposing the substrate to a fluorine-free tungsten-containing precursor; (b) cyclically depositing a bulk tungsten layer; (I) exposing the substrate to hydrogen (H 2 ); (ii) exposing the substrate to a tungsten-containing precursor; and (iii) depositing (i)-(ii) to deposit a bulk tungsten layer. Repeating in one or more cycles.

いくつかの実施形態において、フッ素フリータングステン含有前駆体は、有機金属タングステン含有前駆体、塩化タングステン、およびヘキサカルボニルタングステン、からなる群から選択される。   In some embodiments, the fluorine-free tungsten-containing precursor is selected from the group consisting of organometallic tungsten-containing precursors, tungsten chloride, and hexacarbonyltungsten.

種々の実施形態において、フッ素フリータングステン含有前駆体は、六塩化タングステンである。種々の実施形態において、フッ素フリータングステン含有前駆体は、五塩化タングステンである。   In various embodiments, the fluorine-free tungsten-containing precursor is tungsten hexachloride. In various embodiments, the fluorine-free tungsten-containing precursor is tungsten pentachloride.

(a)において、タングステン層は、約2Å〜約100Åの間の厚さに堆積させてよい。(b)における各サイクルで、少なくとも約0.3Åの厚さを有するサブ原子層を形成してよい。   In (a), the tungsten layer may be deposited to a thickness between about 2 and about 100 inches. Each cycle in (b) may form a subatomic layer having a thickness of at least about 0.3 mm.

他の態様は、フィーチャを充填する方法に関し、前記方法は、(a)基板上にバルクタングステン層を堆積させるために、水素とタングステン含有前駆体の交互のパルスに基板を暴露することと、(b)基板上に第2のバルクタングステン層を堆積させるために、タングステン含有前駆体と還元剤に同時に基板を暴露することと、を含む。   Another aspect relates to a method for filling a feature, the method comprising: (a) exposing a substrate to alternating pulses of hydrogen and a tungsten-containing precursor to deposit a bulk tungsten layer on the substrate; b) simultaneously exposing the substrate to a tungsten-containing precursor and a reducing agent to deposit a second bulk tungsten layer on the substrate.

種々の実施形態において、(a)と(b)を順次繰り返す。   In various embodiments, (a) and (b) are repeated sequentially.

(b)におけるタングステン含有前駆体は、有機金属タングステン含有前駆体、塩化タングステン、およびヘキサカルボニルタングステン、からなる群から選択されたフッ素フリータングステン含有前駆体でであってよい。   The tungsten-containing precursor in (b) may be a fluorine-free tungsten-containing precursor selected from the group consisting of organometallic tungsten-containing precursors, tungsten chloride, and hexacarbonyl tungsten.

一部の実施形態では、(a)におけるタングステン含有前駆体は、(b)におけるタングステン含有前駆体とは異なる。   In some embodiments, the tungsten-containing precursor in (a) is different from the tungsten-containing precursor in (b).

他の態様は、基板を処理するための装置に関し、前記装置は、(a)基板を保持するように構成された台(ペデスタル、pedestal)を有する少なくとも1つの処理チェンバと、(b)真空に接続するための少なくとも1つの出口と、(c)1つ以上の処理ガス源に接続された1つ以上の処理ガス導入口と、(d)前記装置におけるオペレーションを制御するためのコントローラと、を備え、コントローラは、(i)還元剤とタングステン含有前駆体を交互のパルスで処理チェンバに導入するため、および(ii)水素とタングステン含有前駆体を交互のパルスで処理チェンバに導入するため、の機械可読命令を含み、(i)におけるチェンバ圧力は、10Torr以下である。   Another aspect relates to an apparatus for processing a substrate, the apparatus comprising: (a) at least one processing chamber having a pedestal configured to hold the substrate; and (b) in a vacuum. At least one outlet for connection; (c) one or more process gas inlets connected to one or more process gas sources; and (d) a controller for controlling operation in the apparatus. A controller for (i) introducing a reducing agent and a tungsten-containing precursor into the processing chamber in alternating pulses; and (ii) introducing hydrogen and a tungsten-containing precursor into the processing chamber in alternating pulses. The machine pressure in (i) is less than 10 Torr, including machine readable instructions.

他の態様は、基板を処理するための装置に関し、前記装置は、(a)基板を保持するように構成された台を有する少なくとも1つの処理チェンバと、(b)真空に接続するための少なくとも1つの出口と、(c)1つ以上の処理ガス源に接続された1つ以上の処理ガス導入口と、(d)前記装置におけるオペレーションを制御するためのコントローラと、を備え、コントローラは、(i)バルクタングステン層を堆積させるために、水素とタングステン含有前駆体を交互のパルスで処理チェンバに導入するため、および(ii)第2のバルクタングステン層を堆積させるために、タングステン含有前駆体と還元剤を同時に処理チェンバに導入するため、の機械可読命令を含む。コントローラは、(i)と(ii)を順次繰り返すための機械可読命令をさらに含んでよい。   Another aspect relates to an apparatus for processing a substrate, the apparatus comprising: (a) at least one processing chamber having a platform configured to hold a substrate; and (b) at least for connecting to a vacuum. An outlet; (c) one or more process gas inlets connected to one or more process gas sources; and (d) a controller for controlling operation in the apparatus, the controller comprising: (I) to deposit a bulk tungsten layer, to introduce hydrogen and a tungsten-containing precursor into the processing chamber in alternating pulses, and (ii) to deposit a second bulk tungsten layer. And machine readable instructions for simultaneously introducing the reducing agent into the processing chamber. The controller may further include machine readable instructions for sequentially repeating (i) and (ii).

これらおよび他の態様について、図面を参照して、以下でさらに説明する。   These and other aspects are further described below with reference to the drawings.

図1Aは、基板上の例示的な膜の概略図である。FIG. 1A is a schematic diagram of an exemplary film on a substrate.

図1Bは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1B is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Cは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1C is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Dは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1D is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Eは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1E is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Fは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1F is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Gは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1G is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments. 図1Hは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。FIG. 1H is a schematic example of various structures on which tungsten can be deposited according to the disclosed embodiments.

図2Aは、開示される実施形態による方法のオペレーションを示すプロセスフロー図である。FIG. 2A is a process flow diagram illustrating the operation of the method according to the disclosed embodiments. 図2Bは、開示される実施形態による方法のオペレーションを示すプロセスフロー図である。FIG. 2B is a process flow diagram illustrating the operation of the method according to the disclosed embodiments.

図2Cは、開示される実施形態による方法の例示的なサイクルを示すタイミングシーケンス図である。FIG. 2C is a timing sequence diagram illustrating an exemplary cycle of a method according to disclosed embodiments.

図3Aは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3A is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Bは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3B is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Cは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3C is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Dは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3D is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Eは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3E is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Fは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3F is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Gは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3G is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Hは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3H is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Iは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3I is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments. 図3Jは、開示される実施形態に従って膜を堆積させる機構の一例の概略図である。FIG. 3J is a schematic diagram of an example mechanism for depositing a film in accordance with the disclosed embodiments.

図3Kは、開示される実施形態による方法のオペレーションを示すプロセスフロー図である。FIG. 3K is a process flow diagram illustrating the operation of the method according to the disclosed embodiments.

図4は、開示される実施形態を実施するための例示的なプロセスツールの概略図である。FIG. 4 is a schematic diagram of an exemplary process tool for implementing the disclosed embodiments.

図5は、開示される実施形態を実施するための例示的なステーションの概略図である。FIG. 5 is a schematic diagram of an exemplary station for implementing the disclosed embodiments.

図6は、種々のタイミングシーケンス図を示している。FIG. 6 shows various timing sequence diagrams.

図7は、実験結果のプロットである。FIG. 7 is a plot of experimental results. 図8は、実験結果のプロットである。FIG. 8 is a plot of experimental results. 図9は、実験結果のプロットである。FIG. 9 is a plot of experimental results. 図10Aは、実験結果のプロットである。FIG. 10A is a plot of experimental results. 図10Bは、実験結果のプロットである。FIG. 10B is a plot of experimental results. 図11Aは、実験結果のプロットである。FIG. 11A is a plot of experimental results. 図11Bは、実験結果のプロットである。FIG. 11B is a plot of the experimental results.

以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示される実施形態は、それら特定の詳細の一部または全てを省いて実施してもよい。また、開示される実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示される実施形態は、具体的な実施形態に関連して説明されるものの、それは、開示される実施形態を限定するものではないことは理解されるであろう。   In the following description, numerous specific details are set forth in order to provide a thorough understanding of the embodiments presented. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments are described in connection with specific embodiments, it will be understood that they are not intended to limit the disclosed embodiments.

タングステン(W)によるフィーチャ充填は、半導体デバイスの製造において、電気的接触を形成するために、よく用いられる。より微細なテクノロジノードへとデバイスのスケーリングが進むとともに、より複雑なパターニング構造が用いられることに伴って、タングステン充填において様々な課題が生じる。課題の1つは、堆積後のタングステン膜中のフッ素濃度または含有量を低減することである。より大きなフィーチャと比較して、より小さなフィーチャほど、より大きなフィーチャと同じフッ素濃度をタングステン膜中に有する場合に、デバイスの性能に及ぼす影響はより大きくなる。例えば、フィーチャが小さいほど、薄い膜が堆積される。その結果、堆積後のタングステン膜中のフッ素は、より薄い膜を抜けて拡散する可能性が高くなり、これにより、デバイス故障を引き起こしかねない。   Feature filling with tungsten (W) is often used to make electrical contacts in the manufacture of semiconductor devices. As the device scales to finer technology nodes and more complex patterning structures are used, various challenges arise in tungsten filling. One challenge is to reduce the fluorine concentration or content in the tungsten film after deposition. Compared to larger features, smaller features have a greater impact on device performance if they have the same fluorine concentration in the tungsten film as the larger features. For example, the smaller the feature, the thinner the film is deposited. As a result, the fluorine in the deposited tungsten film is more likely to diffuse through the thinner film, which can cause device failure.

フッ素の拡散を防ぐ1つの方法として、タングステンを堆積させる前に、フッ素がタングステンから酸化物層のような基板の他の層に拡散することを阻止するための1つ以上のバリア層を堆積させることが挙げられる。例えば、図1Aは、基板上に堆積された層の例示的なスタックを示している。基板190は、シリコン層192と、酸化物層194(例えば、酸化チタン(TiOx)、テトラエチルオルトシリケート(TEOS)酸化物など)と、バリア層196(例えば、窒化チタン(TiN))と、タングステン核形成層198と、バルクタングステン層199と、を含む。バリア層196は、バルクタングステン層199およびタングステン核形成層198から酸化物層へのフッ素の拡散を防ぐために堆積される。しかしながら、デバイスのシュリンクが進むにつれて、バリア層はより薄くなり、堆積後のタングステン層からフッ素が拡散することが依然としてあり得る。より高温でバルクタングステンの化学気相成長を実施することで、結果的にフッ素含有量は低減するが、そのような膜は、ステップカバレッジが劣る。   One way to prevent fluorine diffusion is to deposit one or more barrier layers to prevent fluorine from diffusing from tungsten to other layers of the substrate, such as an oxide layer, before depositing tungsten. Can be mentioned. For example, FIG. 1A shows an exemplary stack of layers deposited on a substrate. The substrate 190 includes a silicon layer 192, an oxide layer 194 (eg, titanium oxide (TiOx), tetraethylorthosilicate (TEOS) oxide, etc.), a barrier layer 196 (eg, titanium nitride (TiN)), and a tungsten nucleus. A formation layer 198 and a bulk tungsten layer 199 are included. Barrier layer 196 is deposited to prevent diffusion of fluorine from bulk tungsten layer 199 and tungsten nucleation layer 198 into the oxide layer. However, as the device shrinks, the barrier layer becomes thinner and fluorine may still diffuse from the deposited tungsten layer. Performing bulk tungsten chemical vapor deposition at higher temperatures results in reduced fluorine content, but such films have poor step coverage.

別の課題は、堆積後のタングステン膜の抵抗を低減することである。より薄い膜ほど、より厚い膜と比較して、高い抵抗を有する傾向がある。フィーチャが小さくなるにつれて、より薄いタングステン膜における散乱効果に起因して、タングステンの接触抵抗またはライン抵抗が増加する。低抵抗率のタングステン膜によって、集積回路設計における電力損失および過熱は最小限となる。タングステン核形成層は、一般的に、その上のバルク層よりも高い電気抵抗率を有する。また、コンタクト、ビア、および他のフィーチャに堆積されるバリア層も、高い抵抗率を有し得る。さらに、薄いバリアおよびタングステン核形成膜は、より小さなフィーチャでは、より大きな割合を占めて、フィーチャにおける全体抵抗を増加させる。タングステン膜の抵抗率は、堆積された膜の厚さに依存し、厚さが減少すると、境界効果によって抵抗率が増加する。   Another challenge is to reduce the resistance of the tungsten film after deposition. Thinner films tend to have higher resistance compared to thicker films. As features get smaller, the contact or line resistance of tungsten increases due to scattering effects in thinner tungsten films. The low resistivity tungsten film minimizes power loss and overheating in integrated circuit designs. The tungsten nucleation layer generally has a higher electrical resistivity than the bulk layer above it. Barrier layers deposited on contacts, vias, and other features can also have high resistivity. In addition, thin barriers and tungsten nucleation films occupy a larger percentage for smaller features, increasing the overall resistance in the features. The resistivity of the tungsten film depends on the thickness of the deposited film, and as the thickness decreases, the resistivity increases due to boundary effects.

別の課題は、堆積後の膜における応力を低減することである。より薄いタングステン膜ほど、より大きな引張応力を有する傾向がある。化学気相成長によってバルクタングステン膜を堆積させるための従来の技術では、200Åの膜の場合、2.5GPaを超える引張応力を有する。高い熱引張応力によって、基板のカールを引き起こし、これにより、後続の処理が困難となる。例えば、後続のプロセスには、化学機械平坦化、材料の堆積、および/またはチェンバ内でプロセスを実施するために基板を基板ホルダにクランプすること、が含まれることがある。しかしながら、これらのプロセスは、多くの場合、基板が平坦であることに依拠しており、カールした基板では、結果的に、不均一な処理となるか、または基板を処理することが不可能となる。アニールのように、他の材料の膜における応力を低減するための既存の方法はあるものの、タングステンは、その高融点のため、堆積後の粒子の移動または変化を可能とする表面移動度を有していない。   Another challenge is to reduce the stress in the film after deposition. Thinner tungsten films tend to have greater tensile stress. Conventional techniques for depositing bulk tungsten films by chemical vapor deposition have a tensile stress in excess of 2.5 GPa for a 200 膜 film. The high thermal tensile stress causes the substrate to curl, which makes subsequent processing difficult. For example, subsequent processes may include chemical mechanical planarization, material deposition, and / or clamping the substrate to a substrate holder to perform the process in a chamber. However, these processes often rely on the substrate being flat, with a curled substrate resulting in non-uniform processing or being unable to process the substrate. Become. Although there are existing methods to reduce stress in films of other materials, such as annealing, tungsten has a surface mobility that allows the movement or change of particles after deposition because of its high melting point. Not done.

本明細書において、順次CVDプロセスを用いて、低フッ素濃度を有するタングステン膜を堆積させる方法を提供する。堆積後の膜は、さらに、低応力を有し得る。これらの方法は、水素と、六フッ化タングステンのようなタングステン含有前駆体と、をサイクルで導入することを伴う。開示される実施形態は、従来のCVDで堆積させた膜よりも著しく低いフッ素含有量を有する低応力タングステン膜を堆積させるために、他のタングステン堆積プロセスと統合されてよい。例えば、順次CVDプロセスは、低圧での核形成層の堆積、フッ素フリータングステン層の堆積、および/または非順次CVDプロセスと統合されてよい。開示される実施形態には、幅広い用途がある。それらの方法は、フィーチャ内にタングステンを高ステップカバレッジで堆積させるために用いられてよく、さらに、ディープトレンチを有するものを含む3D NAND構造および垂直NAND構造内にタングステンを堆積させるために用いられてもよい。   In this specification, a method for depositing a tungsten film having a low fluorine concentration using a sequential CVD process is provided. The deposited film can further have low stress. These methods involve introducing hydrogen and a tungsten-containing precursor such as tungsten hexafluoride in cycles. The disclosed embodiments may be integrated with other tungsten deposition processes to deposit low stress tungsten films that have significantly lower fluorine content than conventional CVD deposited films. For example, the sequential CVD process may be integrated with a low pressure nucleation layer deposition, a fluorine free tungsten layer deposition, and / or a non-sequential CVD process. The disclosed embodiments have a wide range of applications. The methods may be used to deposit tungsten in features with high step coverage, and further used to deposit tungsten in 3D NAND structures and vertical NAND structures, including those with deep trenches. Also good.

順次CVDプロセスは、非順次CVD、パルスCVD、原子層堆積(ALD)、および核形成層堆積とは区別される。非順次CVDプロセスは、2種類の反応物質への同時暴露を伴い、従って、堆積の際に両方の反応物質を同時に流入させる。例えば、バルクタングステンは、フィーチャを充填するのに十分な継続時間にわたって、水素(H2)と六フッ化タングステン(WF6)に同時に基板を暴露することによって堆積され得る。暴露中に、水素とWF6が反応することで、フィーチャ内にタングステンを堆積させる。パルスCVDプロセスでは、一方の反応物質を連続的に流入させつつ、他方の反応物質をパルス化するが、堆積の際に、両方の反応物質に基板を暴露することで、各パルス中に材料を堆積させる。例えば、WF6をパルス化しつつ、H2の連続流に基板を暴露してよく、パルス中に、WF6とH2が反応することで、タングステンを堆積させる。 Sequential CVD processes are distinguished from non-sequential CVD, pulsed CVD, atomic layer deposition (ALD), and nucleation layer deposition. A non-sequential CVD process involves simultaneous exposure to two reactants, thus causing both reactants to flow simultaneously during deposition. For example, bulk tungsten can be deposited by simultaneously exposing the substrate to hydrogen (H 2 ) and tungsten hexafluoride (WF 6 ) for a duration sufficient to fill the feature. During exposure, hydrogen and WF 6 react to deposit tungsten in the feature. In a pulsed CVD process, one reactant is continuously flowed in while the other reactant is pulsed, but during deposition, the substrate is exposed to both reactants so that the material is transferred during each pulse. Deposit. For example, the substrate may be exposed to a continuous flow of H 2 while WF 6 is pulsed, and tungsten is deposited by the reaction of WF 6 and H 2 during the pulse.

これに対し、順次CVDプロセスでは、各々の反応物質への暴露を別々に実施し、従って、堆積の際に、それらの反応物質が同時にチェンバ内に流入されることはない。むしろ、それぞれの反応物質流は、時間的に分離されたパルスで順次、かつサイクルで1回以上繰り返して、基板を収容しているチェンバに導入される。一般に、1サイクルは、表面堆積反応を1回実施するために用いられる工程の最小セットである。1サイクルの結果は、基板表面上の少なくとも部分的な膜層の生成である。順次CVDのサイクルについては、さらに詳細に後述する。   In contrast, in a sequential CVD process, each reactant is exposed separately, so that during deposition, the reactants do not flow into the chamber at the same time. Rather, each reactant stream is introduced into the chamber containing the substrate sequentially in time-separated pulses and repeated one or more times in a cycle. In general, one cycle is the minimum set of steps used to perform a surface deposition reaction once. The result of one cycle is the creation of at least a partial film layer on the substrate surface. The sequential CVD cycle will be described later in more detail.

また、ALDおよび核形成層の堆積も、時間的に分離されたパルスで、かつサイクルで、2つの反応物質に基板を暴露することを伴う。例えば、ALDサイクルでは、第1の反応物質をチェンバ内に流入させ、チェンバをパージし、第2の反応物質をチェンバ内に流入させ、そして再びチェンバをパージする。このようなサイクルを、一般的には繰り返すことで、膜厚を形成する。従来のALDおよび核形成層堆積のサイクルでは、第1の反応物質流は、自己制御反応における第1の「ドーズ」に相当する。例えば、基板は、限られた数の活性点を有し、これにより、第1の反応物質が基板上の活性点に吸着されて、その表面を飽和し、そして第2の反応物質が吸着層と反応することにより、サイクルで一層ずつ材料を堆積させる。   ALD and nucleation layer deposition also involve exposing the substrate to two reactants in temporally separated pulses and in cycles. For example, in an ALD cycle, a first reactant is flowed into the chamber, the chamber is purged, a second reactant is flowed into the chamber, and the chamber is purged again. Such a cycle is generally repeated to form a film thickness. In conventional ALD and nucleation layer deposition cycles, the first reactant stream represents the first “dose” in the self-regulating reaction. For example, the substrate has a limited number of active sites so that the first reactant is adsorbed on the active sites on the substrate to saturate its surface and the second reactant is adsorbed on the adsorption layer The material is deposited one layer at a time by reacting with.

一方、順次CVDでは、反応物質は、基板上の活性点に必ずしも吸着せず、一部の実施形態では、反応は自己制御的ではない場合がある。例えば、順次CVDで用いられる反応物質は、吸着率が低いものであってもよい。さらに、基板表面上の反応物質は、第2の反応物質が導入されたときに、必ずしも第2の反応物質と反応しなくてもよい。むしろ、順次CVDのいくつかの実施形態では、基板上の一部の反応物質は、そのサイクル中は未反応のままであり、次のサイクルまでは反応しない。一部の反応物質は、化学量論的性質、立体障害、または他の効果に起因して、反応しない場合がある。   On the other hand, in sequential CVD, reactants do not necessarily adsorb to active sites on the substrate, and in some embodiments, the reaction may not be self-limiting. For example, the reactant used in sequential CVD may have a low adsorption rate. Further, the reactant on the substrate surface may not necessarily react with the second reactant when the second reactant is introduced. Rather, in some embodiments of sequential CVD, some reactants on the substrate remain unreacted during the cycle and do not react until the next cycle. Some reactants may not react due to stoichiometric properties, steric hindrance, or other effects.

本明細書に記載の方法は、チェンバ内に収容され得る基板上で実施される。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンウェハであってよく、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。基板は、ビアまたはコンタクトホールなどのフィーチャを有するものであってよく、それらは、幅狭および/またはリエントラント型の開口部、フィーチャ内の狭窄部、高アスペクト比、のうちの1つ以上を特徴とするものであり得る。フィーチャは、上記の層のうちの1つ以上に形成されてよい。例えば、フィーチャは、誘電体層内に少なくとも部分的に形成されたものであり得る。いくつかの実施形態において、フィーチャは、少なくとも約2:1の、少なくとも約4:1の、少なくとも約6:1の、少なくとも約10:1の、またはさらに高いアスペクト比を有し得る。フィーチャの一例は、半導体基板内または基板上の層内の孔もしくはビアである。   The methods described herein are performed on a substrate that can be housed in a chamber. The substrate can be, for example, a silicon wafer that is a 200 mm wafer, a 300 mm wafer, or a 450 mm wafer, and a wafer having one or more material layers, such as a dielectric material, a conductive material, or a semiconductor material deposited thereon. included. The substrate may have features such as vias or contact holes, which feature one or more of narrow and / or reentrant openings, constrictions in the features, high aspect ratios. It can be. Features may be formed in one or more of the above layers. For example, the features can be at least partially formed in the dielectric layer. In some embodiments, the features can have an aspect ratio of at least about 2: 1, at least about 4: 1, at least about 6: 1, at least about 10: 1, or even higher. An example of a feature is a hole or via in a semiconductor substrate or a layer on the substrate.

図1B〜1Hは、開示される実施形態に従ってタングステンが堆積され得る種々の構造の概略例である。図1Bは、タングステンで充填される垂直フィーチャ101の断面図の一例を示している。フィーチャは、基板103内のフィーチャ孔105を含み得る。孔105または他のフィーチャは、開口付近の寸法として、約10nm〜500nmの間の、例えば約25nm〜約300nmの間の、例えば開口径またはライン幅を有し得る。フィーチャ孔105は、未充填フィーチャ、または単にフィーチャと呼ぶことができる。このようなフィーチャ101、および任意のフィーチャは、フィーチャの長さにわたって延びる軸118によって部分的に特徴づけられる場合があり、垂直向きのフィーチャは垂直軸を有し、水平向きのフィーチャは水平軸を有する。   1B-1H are schematic examples of various structures on which tungsten may be deposited according to the disclosed embodiments. FIG. 1B shows an example of a cross-sectional view of a vertical feature 101 filled with tungsten. The features may include feature holes 105 in the substrate 103. The hole 105 or other feature may have, for example, an opening diameter or line width, as a dimension near the opening, between about 10 nm and 500 nm, such as between about 25 nm and about 300 nm. Feature hole 105 may be referred to as an unfilled feature or simply a feature. Such a feature 101, and any feature, may be partially characterized by an axis 118 that extends over the length of the feature, with vertically oriented features having a vertical axis and horizontally oriented features having a horizontal axis. Have.

一部の実施形態では、フィーチャは、3D NAND構造内のトレンチである。例えば、基板は、18〜48層と、少なくとも200Åの深さのトレンチと共に、少なくとも60ラインを有するワードライン構造を含むものであり得る。他の例は、基板内または層内のトレンチである。フィーチャは、任意の深さのものであってよい。種々の実施形態において、フィーチャは、バリア層または接着層のような下層を有し得る。下層の非限定的な例として、誘電体層および導電層が含まれ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層が含まれる。   In some embodiments, the feature is a trench in a 3D NAND structure. For example, the substrate may include a word line structure having at least 60 lines with 18-48 layers and trenches at least 200 inches deep. Another example is a trench in a substrate or layer. The feature may be of any depth. In various embodiments, the features can have an underlayer such as a barrier layer or an adhesive layer. Non-limiting examples of lower layers include dielectric layers and conductive layers, including, for example, silicon oxide, silicon nitride, silicon carbide, metal oxide, metal nitride, metal carbide, and metal layers .

図1Cは、リエントラント型プロファイルを有するフィーチャ101の一例を示している。リエントラント型プロファイルは、フィーチャの閉じた底端または内部からフィーチャ開口に向かって窄まるプロファイルである。いくつかの実現形態によれば、プロファイルは、徐々に窄まるもの、および/またはフィーチャ開口にオーバハングを含むものであり得る。図1Cは、後者の一例を示しており、フィーチャ孔105の側壁または内面を下層113によってライニングしている。下層113は、例えば、拡散バリア層、接着層、核形成層、それらの組み合わせ、または他のいずれかの適合材とすることができる。下層の非限定的な例として、誘電体層および導電層を含むことができ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層を含むことができる。具体的な実現形態では、下層は、Ti、TiN、WN、TiAl、Wのうちの1つ以上とすることができる。下層113は、オーバハング115を形成しており、これにより、下層113は、フィーチャ101の開口付近において、フィーチャ101内部よりも厚くなっている。   FIG. 1C shows an example of a feature 101 having a reentrant profile. A reentrant profile is a profile that squeezes from the closed bottom or interior of the feature toward the feature opening. According to some implementations, the profile may gradually narrow and / or include an overhang in the feature opening. FIG. 1C shows an example of the latter, in which the sidewall or inner surface of the feature hole 105 is lined by the lower layer 113. The lower layer 113 can be, for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination thereof, or any other compatible material. Non-limiting examples of lower layers can include dielectric layers and conductive layers, such as silicon oxide, silicon nitride, silicon carbide, metal oxide, metal nitride, metal carbide, and metal layers Can be included. In a specific implementation, the lower layer can be one or more of Ti, TiN, WN, TiAl, W. The lower layer 113 forms an overhang 115, so that the lower layer 113 is thicker than the inside of the feature 101 in the vicinity of the opening of the feature 101.

一部の実現形態では、フィーチャ内に1つ以上の狭窄部を有するフィーチャに充填する場合がある。図1Dは、狭窄部を有する種々のフィーチャが充填された図の例を示している。図1Dの例(a)、(b)、(c)のそれぞれは、フィーチャ内の中間点に狭窄部109を含んでいる。狭窄部109は、例えば、約15nm〜20nmの間の幅であり得る。従来の技術を用いたフィーチャ内へのタングステン堆積の際に、狭窄部を越えた先の堆積を、フィーチャのその部分が充填される前に、堆積されたタングステンが阻害することで、狭窄部はピンチオフの原因となることがあり、その結果、フィーチャ内にボイドが生じる。例(b)は、さらに、ライナ/バリアのオーバハング115を、フィーチャ開口に含んでいる。このようなオーバハングも、やはりピンチオフ点となる可能性があり得る。例(c)は、例(b)のオーバハング115よりもフィールド領域からさらに離れたところに狭窄部112を含んでいる。   In some implementations, features that have one or more constrictions within the feature may be filled. FIG. 1D shows an example of a diagram filled with various features having constrictions. Each of the examples (a), (b), and (c) of FIG. 1D includes a constriction 109 at an intermediate point in the feature. The constriction 109 can be, for example, between about 15 nm and 20 nm wide. During tungsten deposition in a feature using conventional techniques, the deposited tungsten hinders the previous deposition beyond the constriction before the portion of the feature is filled, so that the constriction is It can cause pinch-off, resulting in voids in the feature. Example (b) further includes a liner / barrier overhang 115 in the feature opening. Such an overhang can still be a pinch-off point. Example (c) includes a constriction 112 at a further distance from the field region than the overhang 115 in Example (b).

また、3Dメモリ構造のような水平フィーチャを充填することもできる。図1Eは、狭窄部151を含む水平フィーチャ150の一例を示している。例えば、水平フィーチャ150は、VNAND構造におけるワードラインであり得る。   It can also be filled with horizontal features such as 3D memory structures. FIG. 1E shows an example of a horizontal feature 150 that includes a constriction 151. For example, the horizontal feature 150 can be a word line in a VNAND structure.

一部の実現形態では、狭窄部は、VNANDまたは他の構造においてピラーが存在することによるものであり得る。図1Fは、例えば、VNANDまたは垂直集積メモリ(VIM)構造148におけるピラー125の平面図を示しており、図1Gは、ピラー125の概略断面図を示している。図1Fにおける矢印は堆積材料を表しており、ピラー125は、領域127とガス導入口または他の堆積源との間に配置されているので、隣接するピラーは、結果的に、領域127のボイドフリー充填における課題を提示する狭窄部151となり得る。   In some implementations, the constriction may be due to the presence of pillars in the VNAND or other structure. FIG. 1F shows a plan view of the pillar 125 in, for example, a VNAND or vertical integrated memory (VIM) structure 148, and FIG. 1G shows a schematic cross-sectional view of the pillar 125. The arrow in FIG. 1F represents the deposition material, and the pillar 125 is located between the region 127 and the gas inlet or other deposition source, so that adjacent pillars result in voids in the region 127. It can become the constriction part 151 which presents the subject in free filling.

構造148は、例えば、基板100上に層間誘電体層154と犠牲層(図示せず)とを交互に重ねたスタックを堆積させて、犠牲層を選択的にエッチングすることにより、形成することができる。層間誘電体層は、例えば、シリコン酸化物および/またはシリコン窒化物の層であってよく、犠牲層は、エッチャントにより選択的にエッチング可能な材料のものであってよい。これに、続いて、エッチングおよび堆積プロセスによってピラー125を形成してよく、これは、完成したメモリデバイスのチャネル領域を含み得るものである。   The structure 148 can be formed, for example, by depositing a stack of alternating interlevel dielectric layers 154 and sacrificial layers (not shown) on the substrate 100 and selectively etching the sacrificial layers. it can. The interlayer dielectric layer may be, for example, a silicon oxide and / or silicon nitride layer, and the sacrificial layer may be of a material that can be selectively etched by an etchant. This may be followed by an etch and deposition process to form pillars 125, which may include the channel region of the completed memory device.

基板100の主面は、x方向とy方向に広がり得るものであり、一方、ピラー125は、z方向に向いたものである。図1Fおよび1Gの例では、ピラー125はオフセット状に配列されており、これにより、x方向に直接隣接するピラー125は、y方向に相互にオフセットしており、またその逆も同様である。様々な実現形態により、ピラー(ならびに、隣接するピラーにより対応して形成される狭窄部)は、多くの形式で配置され得る。また、ピラー125は、円形、方形などを含む任意の形状であってよい。ピラー125は、環状の半導体材または円形(もしくは方形)の半導体材を含み得る。その半導体材は、ゲート誘電体で取り囲まれ得る。層間誘電体層129のそれぞれの間の領域を、タングステンで充填することができ、このように、構造148は、x方向および/またはy方向に延在して充填されるべき、スタックされた複数の水平向きフィーチャを有する。   The main surface of the substrate 100 can extend in the x direction and the y direction, while the pillar 125 faces the z direction. In the example of FIGS. 1F and 1G, the pillars 125 are arranged in an offset manner, so that the pillars 125 that are directly adjacent in the x direction are offset from each other in the y direction, and vice versa. Depending on the various implementations, pillars (as well as constrictions formed correspondingly by adjacent pillars) can be arranged in many ways. Further, the pillar 125 may have an arbitrary shape including a circular shape, a square shape, and the like. The pillar 125 may include an annular semiconductor material or a circular (or square) semiconductor material. The semiconductor material can be surrounded by a gate dielectric. The region between each of the interlevel dielectric layers 129 can be filled with tungsten, and thus the structure 148 extends in the x and / or y direction and is stacked to be filled. With horizontally oriented features.

図1Hは、例えば、ピラー狭窄部151を含むVNANDまたは他の構造の、水平フィーチャの図の別の例を提示している。図1Hの例は、オープンエンドであって、矢印で示すように、堆積される材料が両側から水平方向に流入することが可能である(留意すべきことは、図1Hの例は、構造の3Dフィーチャを2Dレンダリングしたものと見ることができ、図1Hは充填される領域の断面図であって、同図に示すピラー狭窄部は、断面図ではなく平面図で見られるであろう狭窄部を示しているということである)。一部の実現形態では、3D構造は、2次元または3次元(例えば、図1Gの例におけるx、y方向、またはx、y、z方向)に沿って広がる充填領域によって特徴づけることができ、その充填は、1次元または2次元に沿って広がる孔またはトレンチを充填する場合よりも多くの課題を提示し得る。例えば、3D構造の充填を制御することは、堆積ガスが複数の次元からフィーチャに流入し得るため、難しくなることがある。   FIG. 1H presents another example of a horizontal feature diagram of, for example, a VNAND or other structure that includes a pillar constriction 151. The example of FIG. 1H is open-ended and allows the material to be deposited to flow horizontally from both sides as indicated by the arrows (note that the example of FIG. 1H can be viewed as a 2D rendering of the 3D feature, and FIG. 1H is a cross-sectional view of the filled region, where the pillar constriction shown in the figure is a constriction that would be seen in a plan view rather than a cross-sectional view That is). In some implementations, the 3D structure can be characterized by a filling region extending along two or three dimensions (eg, the x, y direction, or the x, y, z direction in the example of FIG. 1G), The filling may present more challenges than filling holes or trenches that extend along one or two dimensions. For example, controlling the filling of a 3D structure can be difficult because deposition gases can flow into the feature from multiple dimensions.

水平向きおよび垂直向きのフィーチャの場合のフィーチャ充填の例について、以下で説明する。なお、それらの例は、多くの場合、水平向きまたは垂直向きのフィーチャのどちらにも適用可能であるということに留意すべきである。さらに、以下の説明において、「横方向」という用語は、フィーチャ軸に略垂直な方向を指して使用され、「垂直方向」という用語は、フィーチャ軸に略沿った方向を指して使用され得るということにも留意すべきである。   Examples of feature filling for horizontal and vertical features are described below. It should be noted that these examples are often applicable to either horizontally or vertically oriented features. Further, in the following description, the term “lateral direction” is used to refer to a direction substantially perpendicular to the feature axis, and the term “vertical direction” may be used to refer to a direction substantially along the feature axis. It should also be noted.

以下の説明では、タングステンによるフィーチャ充填に焦点を当てているが、本開示の態様は、他の材料によるフィーチャ充填において実施されてもよい。例えば、本明細書に記載の1つ以上の技術を用いたフィーチャ充填を、他のタングステン含有材料(例えば、窒化タングステン(WN)、炭化タングステン(WC))、チタン含有材料(例えば、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、炭化チタン(TiC)、チタンアルミナイド(TiAl))、タンタル含有材料(例えば、タンタル(Ta)、窒化タンタル(TaN))、ニッケル含有材料(例えば、ニッケル(Ni)、ニッケルシリサイド(NiSi))など、他の材料によってフィーチャを充填するために用いてもよい。さらに、本明細書に開示の方法および装置は、フィーチャ充填に限定されることなく、平坦面上にブランケット膜を形成するためなど、任意の適切な表面上にタングステンを堆積させるために用いることができる。   Although the following description focuses on feature filling with tungsten, aspects of the present disclosure may be implemented in feature filling with other materials. For example, feature filling using one or more of the techniques described herein can be performed using other tungsten-containing materials (eg, tungsten nitride (WN), tungsten carbide (WC)), titanium-containing materials (eg, titanium (Ti ), Titanium nitride (TiN), titanium silicide (TiSi), titanium carbide (TiC), titanium aluminide (TiAl)), tantalum-containing materials (eg, tantalum (Ta), tantalum nitride (TaN)), nickel-containing materials (eg, , Nickel (Ni), nickel silicide (NiSi)), etc., may be used to fill the feature. Further, the methods and apparatus disclosed herein can be used to deposit tungsten on any suitable surface, such as to form a blanket film on a flat surface, without being limited to feature filling. it can.

図2Aは、開示される実施形態に従って実施される方法についてのプロセスフロー図を提示している。図2Aのオペレーション202〜210は、ALDによってタングステン核形成層を堆積させるために実施される。本明細書に記載の種々の実施形態では、オペレーション202〜210は、オペレーション280よりも低圧で実施する。例えば、オペレーション202〜210は、約10Torr未満の低圧で実施され得る。いくつかの例では、オペレーション202〜210は、約10Torrの圧力、または約3Torrの圧力で実施する。特定の理論にとらわれることなく、オペレーション202〜210を低圧で実施することで、膜を堆積させる際のチェンバ内のフッ素含有前駆体の分圧がより低いことによって、膜内に取り込まれるフッ素がより少ないことから、堆積後のタングステン膜中のフッ素濃度が低減すると考えられる。堆積後のタングステンにおける低フッ素濃度を実現するために、低圧でタングステン核形成層を堆積させるためのプロセスの例は、2015年5月27日に出願された米国特許出願第14/723,275号(代理人整理番号LAMRP183/3623−1US)に、さらに記載されている。   FIG. 2A presents a process flow diagram for a method performed in accordance with the disclosed embodiments. Operations 202-210 of FIG. 2A are performed to deposit a tungsten nucleation layer by ALD. In various embodiments described herein, operations 202-210 are performed at a lower pressure than operation 280. For example, operations 202-210 may be performed at a low pressure less than about 10 Torr. In some examples, operations 202-210 are performed at a pressure of about 10 Torr, or about 3 Torr. Without being bound by a particular theory, performing operations 202-210 at a low pressure allows more fluorine to be incorporated into the film by lowering the partial pressure of the fluorine-containing precursor in the chamber when depositing the film. It is considered that the fluorine concentration in the tungsten film after deposition is reduced because it is small. An example of a process for depositing a tungsten nucleation layer at low pressure to achieve a low fluorine concentration in tungsten after deposition is described in US patent application Ser. No. 14 / 723,275 filed May 27, 2015. (Agency reference number LAMRP 183 / 3623-1 US).

オペレーション202で、WF6のようなタングステン含有前駆体に基板を暴露する。本明細書における説明の目的では、タングステン含有前駆体の一例として、WF6を用いるが、開示される実施形態を実施するために、他のタングステン含有前駆体が適する場合があることは理解されるべきである。例えば、有機金属タングステン含有前駆体を用いてよい。また、有機金属前駆体、ならびにMDNOW(メチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)およびEDNOW(エチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)などのフッ素フリー前駆体、を用いてもよい。タングステン含有前駆体は、これらの化合物の組み合わせを含むものであってよい。いくつかの実施形態において、オペレーション202では、窒素(N2)、アルゴン(Ar)、ヘリウム(He)、または他の不活性ガスのようなキャリアガスを流入させてよい。 In operation 202, exposing the substrate to a tungsten-containing precursor such as WF 6. For purposes of illustration herein, WF 6 is used as an example of a tungsten-containing precursor, but it is understood that other tungsten-containing precursors may be suitable for practicing the disclosed embodiments. Should. For example, an organometallic tungsten-containing precursor may be used. Alternatively, organometallic precursors and fluorine-free precursors such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) may be used. The tungsten-containing precursor may include a combination of these compounds. In some embodiments, in operation 202, a carrier gas such as nitrogen (N 2 ), argon (Ar), helium (He), or other inert gas may be flowed.

オペレーション202は、任意の適切な継続時間にわたって、任意の適切な温度で実施してよい。いくつかの例では、オペレーション202は、約0.25秒〜約30秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間にわたって実施され得る。このオペレーションは、一部の実施形態では、基板表面上の活性点を飽和させるのに十分な継続時間にわたって実施され得る。   Operation 202 may be performed at any suitable temperature for any suitable duration. In some examples, operation 202 may be between about 0.25 seconds and about 30 seconds, between about 0.25 seconds and about 5 seconds, or between about 0.5 seconds and about 3 seconds. It can be carried out over a duration. This operation may be performed for a duration sufficient to saturate active sites on the substrate surface in some embodiments.

オペレーション204で、任意選択的に、チェンバは、基板表面に吸着しなかった余分なWF6を除去するためにパージされる。パージは、一定の圧力で不活性ガスを流入させることによりチェンバの圧力を低下させ、そして別のガスへの暴露を開始する前にチェンバを再加圧することによって、実施され得る。 In operation 204, optionally, the chamber is purged to remove excess WF 6 that has not adsorbed to the substrate surface. Purging can be performed by reducing the chamber pressure by flowing an inert gas at a constant pressure and repressurizing the chamber before initiating exposure to another gas.

オペレーション206で、タングステン核形成層を堆積させるために、基板を還元剤に暴露する。還元剤は、ボラン、シラン、またはゲルマンであってよい。ボランの例として、ボラン(BH3)、ジボラン(B26)、トリボラン、アルキルボラン、アミノボラン、カルボラン、およびハロボランが含まれる。シランの例として、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、アルキルシラン、アミノシラン、カルボシラン、およびハロシランが含まれる。ゲルマンとして、Genn+4、Genn+6、Genn+8、およびGenmが含まれ、ただし、nは1〜10の整数、mはnとは異なる整数である。また、他のゲルマンを用いてもよく、例えば、アルキルゲルマン、アミノゲルマン、カルボゲルマン、およびハロゲルマンを用いてもよい。一般的に、ハロゲルマンは、それほどの還元ポテンシャルを有していない場合があるものの、ハロゲルマンを用いた成膜に適したプロセス条件およびタングステン含有前駆体があり得る。 In operation 206, the substrate is exposed to a reducing agent to deposit a tungsten nucleation layer. The reducing agent may be borane, silane, or germane. Examples of borane include borane (BH 3 ), diborane (B 2 H 6 ), triborane, alkylborane, aminoborane, carborane, and haloborane. Examples of silanes include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), alkyl silane, amino silane, carbosilane, and halosilane. Germanium includes Ge n H n + 4 , Ge n H n + 6 , Ge n H n + 8 , and Ge n H m, where n is an integer from 1 to 10 and m is an integer different from n It is. Other germane may be used, for example, alkyl germane, aminogermane, carbogermane, and halogermane. In general, halogermanes may not have as much reduction potential, but there may be process conditions and tungsten-containing precursors suitable for film formation using halogermanes.

オペレーション206は、任意の適切な継続時間にわたって実施してよい。いくつかの例では、継続時間の例として、約0.25秒〜約30秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間が含まれる。一部の実施形態では、このオペレーションは、基板表面上のWF6吸着層と反応するのに十分であり得る。オペレーション206は、これらの例の範囲外の継続時間にわたって実施されてもよい。一部の実施形態では、例えばアルゴン(Ar)、ヘリウム(He)、または窒素(N2)などのキャリアガスを用いてよい。 Operation 206 may be performed for any suitable duration. In some examples, examples of duration include between about 0.25 seconds and about 30 seconds, between about 0.25 seconds and about 5 seconds, or between about 0.5 seconds and about 3 seconds. The duration is included. In some embodiments, this operation may be sufficient to react with the WF 6 adsorption layer on the substrate surface. Operation 206 may be performed for a duration outside the scope of these examples. In some embodiments, a carrier gas such as, for example, argon (Ar), helium (He), or nitrogen (N 2 ) may be used.

オペレーション208の後に、フィーチャ表面上のWF6と反応しなかった気相のままの余分な還元剤をパージするために、任意選択的なパージステップを設けてもよい。パージは、一定の圧力で不活性ガスを流入させることによりチェンバの圧力を低下させ、そして別のガスへの暴露を開始する前にチェンバを再加圧することによって、実施され得る。 After operation 208, an optional purge step may be provided to purge excess reducing agent that remains in the gas phase that has not reacted with WF 6 on the feature surface. Purging can be performed by reducing the chamber pressure by flowing an inert gas at a constant pressure and repressurizing the chamber before initiating exposure to another gas.

オペレーション210で、タングステン核形成層が十分な厚さに堆積されたかどうか判断する。もしそうでない場合は、フィーチャ表面上に所望の厚さのタングステン核形成層が堆積されるまで、オペレーション202〜208を繰り返す。オペレーション202〜208の各繰り返しは、ALD「サイクル」と呼ばれることがある。一部の実施形態では、還元剤を最初に導入するように、オペレーション202と206の順序を逆にしてもよい。   In operation 210, it is determined whether the tungsten nucleation layer has been deposited to a sufficient thickness. If not, operations 202-208 are repeated until a desired thickness of the tungsten nucleation layer is deposited on the feature surface. Each iteration of operations 202-208 may be referred to as an ALD “cycle”. In some embodiments, the order of operations 202 and 206 may be reversed so that the reducing agent is introduced first.

タングステン核形成層を適切な厚さに堆積させた後に、オペレーション280で、順次CVDによってバルクタングステンを堆積させる。種々の実施形態において、オペレーション280は、オペレーション202〜210における圧力よりも高圧で実施され得る。例えば、オペレーション280は、約10Torr以上の圧力で実施してよく、例えば、約10Torr、または約40Torrで実施してよい。   After the tungsten nucleation layer is deposited to an appropriate thickness, bulk tungsten is deposited by sequential CVD at operation 280. In various embodiments, operation 280 may be performed at a higher pressure than the pressure in operations 202-210. For example, operation 280 may be performed at a pressure of about 10 Torr or greater, for example, about 10 Torr, or about 40 Torr.

図2Bは、オペレーション280で実施され得るオペレーションについてのプロセスフロー図を提示している。なお、図2Aのオペレーションを実施することなく、図2Bのオペレーションを実施してもよいということに留意すべきである。図2Cは、プロセス200における順次CVDの例示的なサイクルを示すタイミングシーケンス図を提示している。図3A〜3Jは、順次CVDのサイクルについての例示的な機構の概略図である。   FIG. 2B presents a process flow diagram for operations that may be performed at operation 280. It should be noted that the operation of FIG. 2B may be performed without performing the operation of FIG. 2A. FIG. 2C presents a timing sequence diagram illustrating an exemplary cycle of sequential CVD in process 200. 3A-3J are schematic diagrams of exemplary mechanisms for sequential CVD cycles.

図2Bでは、オペレーション282において、H2のような還元剤に基板を暴露する。このオペレーションは、本明細書において区別なく用いられ得る「パルス」または「ドーズ」と呼ばれることがある。本明細書に記載の実施形態では、還元剤の一例として、H2を提示しているが、シラン、ボラン、ゲルマン、ホスフィン、水素含有ガス、およびこれらの組み合わせなど、他の還元剤を用いてよいことは理解されるであろう。非順次CVDとは異なり、他の反応物質を流入させることなく、H2はパルス化される。一部の実施形態では、キャリアガスを流入させてもよい。キャリアガスは、図2Aのオペレーション204に関して上述したもののいずれかであり得る。オペレーション282は、任意の適切な継続時間にわたって実施してよい。いくつかの例では、継続時間の例として、約0.25秒〜約30秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間が含まれる。 In Figure 2B, in operation 282, exposing the substrate to a reducing agent such as H 2. This operation is sometimes referred to as “pulse” or “dose”, which can be used interchangeably herein. In the embodiments described herein, H 2 is presented as an example of a reducing agent, but other reducing agents such as silane, borane, germane, phosphine, hydrogen-containing gas, and combinations thereof may be used. It will be appreciated. Unlike non-sequential CVD, H 2 is pulsed without the flow of other reactants. In some embodiments, a carrier gas may be flowed. The carrier gas can be any of those described above with respect to operation 204 of FIG. 2A. Operation 282 may be performed for any suitable duration. In some examples, examples of duration include between about 0.25 seconds and about 30 seconds, between about 0.25 seconds and about 5 seconds, or between about 0.5 seconds and about 3 seconds. The duration is included.

図2Cでは、堆積サイクル211Aに、H2ドーズ220Aを示しており、これは図2Bのオペレーション282に相当し得る。H2ドーズ220Aの最中は、キャリアガスを流入させ、還元剤をパルス化し、WF6流をオフにする。 In FIG. 2C, deposition cycle 211A shows H 2 dose 220A, which may correspond to operation 282 in FIG. 2B. During H 2 dose 220A, the carrier gas is flowed in, the reducing agent is pulsed, and the WF 6 flow is turned off.

図3Aは、タングステン核形成層301が堆積された基板300に、H2を取り込む場合の例示的な機構を示している。水素は、気相(311aおよび311b)で導入されて、いくらかのH2(313aおよび313b)は、タングステン核形成層301の表面上にあるが、必ずしも表面に吸着しないことがある。例えば、H2は、必ずしも核形成層301上に化学吸着しないことがあるが、一部の実施形態では、核形成層301の表面に物理吸着することがある。 FIG. 3A shows an exemplary mechanism for incorporating H 2 into the substrate 300 on which the tungsten nucleation layer 301 has been deposited. Hydrogen is introduced in the gas phase (311a and 311b) and some H 2 (313a and 313b) is on the surface of the tungsten nucleation layer 301 but may not necessarily adsorb to the surface. For example, H 2 may not necessarily be chemically adsorbed on the nucleation layer 301, but may be physically adsorbed on the surface of the nucleation layer 301 in some embodiments.

図2Bに戻って、オペレーション284で、チェンバをパージする。このパージオペレーションによって、気相のまま残った余分なH2が除去され得る。パージは、一定の圧力で不活性ガスを流入させることによりチェンバの圧力を低下させ、そして別のガスへの暴露を開始する前にチェンバを再加圧することによって、実施される。任意の適切な継続時間にわたって、例えば約0.1秒〜約3秒の間の継続時間にわたって、チェンバをパージしてよい。図2Bのオペレーション284は、図2Cのパージフェーズ240Aに相当し得る。図2Cに示すように、パージフェーズ240Aの最中は、キャリアガスを流入させるが、一方、H2流およびWF6流はオフにする。図3Bは、これまで気相であったH2(図3Aの311aおよび311b)がチェンバからパージされ、これまで表面上にあったH2(313aおよび313b)がタングステン核形成層301の表面に留まることを示す例を提示している。 Returning to FIG. 2B, in operation 284, the chamber is purged. By this purge operation, excess H 2 remaining in the gas phase can be removed. Purge is performed by reducing the chamber pressure by flowing an inert gas at a constant pressure and repressurizing the chamber before initiating exposure to another gas. The chamber may be purged for any suitable duration, for example for a duration of between about 0.1 seconds and about 3 seconds. Operation 284 of FIG. 2B may correspond to purge phase 240A of FIG. 2C. As shown in FIG. 2C, during the purge phase 240A, the carrier gas is introduced while the H 2 and WF 6 flows are turned off. FIG. 3B shows that H 2 (311a and 311b in FIG. 3A), which has been in the gas phase, is purged from the chamber, and H 2 (313a and 313b) that has been on the surface so far is formed on the surface of the tungsten nucleation layer 301. An example of staying is presented.

図2Bに戻って、オペレーション286で、基板上に膜のサブ原子層を形成するために、タングステン含有前駆体(例えば、WF6)に基板を暴露する。種々の実施形態において、このオペレーションでは、約0.1秒〜約3秒の間の、または約0.5秒の継続時間にわたって、チェンバにWF6を流入させる。一部の実施形態では、WF6は、ドーズ前のガスライン充填およびライン変更に流用され得る。一部の実施形態では、WF6は、チェンバに流入されるが、基板表面上のすべてのH2分子と完全に反応するわけではない。オペレーション286は、図2CにおけるWF6ドーズ260Aに相当し得る。図2Cに示すように、WF6ドーズ260Aの最中は、キャリアガスを流入させ、H2流をオフにし、WF6流をオンにする。 Returning to FIG. 2B, in operation 286, the substrate is exposed to a tungsten-containing precursor (eg, WF 6 ) to form a sub-atomic layer of the film on the substrate. In various embodiments, this operation causes WF 6 to flow into the chamber for a duration of between about 0.1 seconds to about 3 seconds, or about 0.5 seconds. In some embodiments, WF 6 can be diverted for pre-dose gas line filling and line change. In some embodiments, WF 6 is flowed into the chamber but does not react completely with all H 2 molecules on the substrate surface. Operation 286 may correspond to WF 6 dose 260A in FIG. 2C. As shown in FIG. 2C, during the WF 6 dose 260A, the carrier gas is introduced, the H 2 flow is turned off, and the WF 6 flow is turned on.

図3Cは、図2Bのオペレーション286についての概略例を示している。図3Cでは、基板はWF6に暴露されて、WF6の一部は、気相であり(331aおよび331b)、WF6の一部は、基板表面またはその近くにある(323aおよび323b)。 FIG. 3C shows a schematic example for operation 286 of FIG. 2B. In Figure 3C, the substrate is exposed to WF 6, a portion of WF 6, a gas phase (331a and 331b), a portion of WF 6, in the substrate or near the surface (323a and 323b).

図2Bのオペレーション286では、いくらかのWF6が、前のドーズから表面上に残っているH2と反応することがある。図3Dに示すように、WF6がH2と反応し得ることで、中間体343bを一時的に形成し、これにより図3Eで、中間体343bが完全に反応することにより、基板300の表面上で核形成層301の上にタングステン390が残り、さらにHFが気相で残る(例えば、351aおよび351b)。 In operation 286 of FIG. 2B, some WF 6 may react with H 2 remaining on the surface from the previous dose. As shown in FIG. 3D, WF 6 can react with H 2 , thereby temporarily forming intermediate 343b, whereby in FIG. 3E, intermediate 343b completely reacts to form the surface of substrate 300. Above this, tungsten 390 remains on the nucleation layer 301 and HF remains in the vapor phase (eg, 351a and 351b).

図2Bのオペレーション286では、いくらかのWF6が、前のドーズから表面上に残っているH2と完全には反応しないことがある。図3Dに示すように、WF6がH2と部分的に反応し得ることで、中間体343aを形成し、これにより図3Eで、中間体343aが部分的に反応したまま、基板300の表面上で核形成層301の上に残る。WF6とH2に関わる反応機構は、活性化エネルギーの障壁および立体障害効果に起因して、タングステン核形成層の堆積の場合のボランまたはシランまたはゲルマンとWF6との反応よりも遅いことがある。例えば、特定の理論にとらわれることなく、WF6の化学量論では、少なくとも3つのH2分子を用いて、1つのWF6分子と反応し得る。WF6は、タングステンを形成するのではなく、H2分子と部分的に反応して、中間体を形成する可能性がある。例えば、これは、化学量論の原理(例えば、3つのH2分子を用いて、1つのWF6分子と反応する)に基づいて、WF6と反応するための十分なH2がその近傍にない場合に生じることがあり、これにより、基板表面上に中間体343aが残る。 In operation 286 of FIG. 2B, some WF 6 may not react completely with H 2 remaining on the surface from the previous dose. As shown in FIG. 3D, WF 6 can partially react with H 2 to form intermediate 343a, which in FIG. 3E allows the surface of substrate 300 to remain partially reacted with intermediate 343a. It remains on the nucleation layer 301 above. The reaction mechanism involving WF 6 and H 2 may be slower than the reaction of borane or silane or germane with WF 6 in the case of tungsten nucleation layer deposition due to activation energy barriers and steric hindrance effects. is there. For example, without being bound by a particular theory, WF 6 stoichiometry can use at least three H 2 molecules to react with one WF 6 molecule. WF 6 does not form tungsten, but may partially react with H 2 molecules to form an intermediate. For example, this is based on stoichiometric principles (eg, using three H 2 molecules to react with one WF 6 molecule), enough H 2 to react with WF 6 is in the vicinity. The intermediate 343a remains on the substrate surface.

図2Bのオペレーション286では、いくらかのWF6が、H2と全く反応しないことがあり、その代わりに、基板表面上に物理吸着したH2または留まっているH2がない場合の基板表面上に、WF6が物理吸着し得る。一部の実施形態では、WF6は、基板表面上に留まり得るものの、表面に物理吸着または化学吸着されないことがある。 In operation 286 of FIG. 2B, some WF 6 may not react at all with H 2 , but instead on the substrate surface when there is no physisorbed H 2 or remaining H 2 on the substrate surface. , WF 6 can be physically adsorbed. In some embodiments, WF 6 may remain on the substrate surface, but may not be physisorbed or chemisorbed on the surface.

図2Bのオペレーション286は、これにより、数多くの実施形態で、タングステンのサブ原子層を形成し得る。例えば、オペレーション282〜286の実行後には、約0.3Åの厚さのサブ原子層が堆積され得る。   Operation 286 of FIG. 2B can thereby form a tungsten sub-atomic layer in a number of embodiments. For example, after performing operations 282-286, a subatomic layer of about 0.3 mm thickness may be deposited.

図2Bのオペレーション288では、気相の反応副生成物およびWF6をチェンバから除去するために、チェンバをパージする。一部の実施形態では、オペレーション288におけるパージ継続時間が短すぎることによって、非順次CVDの反応性が高まることがあり、これにより、より高応力の膜が堆積される。一部の実施形態では、パージ継続時間は、約0.1秒〜約2秒の間であり、このパージ継続時間によれば、タングステン表面へのWF6の吸着率が低いことによって基板表面からWF6がすべて除去されることが、回避され得る。一部の実施形態では、パージ継続時間は、約0.1秒〜約15秒の間であり、例えば約7秒である。例えば、3D NAND構造の製造の場合に、チェンバは、オペレーション288において、約7秒間パージされてよい。パージ継続時間は、基板および応力に依存する。 In operation 288 of FIG. 2B, the chamber is purged to remove gas phase reaction byproducts and WF 6 from the chamber. In some embodiments, the purge duration in operation 288 may be too short to increase non-sequential CVD reactivity, thereby depositing a higher stress film. In some embodiments, the purge duration is between about 0.1 seconds and about 2 seconds, and according to this purge duration, the WF 6 adsorption rate to the tungsten surface is reduced from the substrate surface. It can be avoided that all WF 6 is removed. In some embodiments, the purge duration is between about 0.1 seconds and about 15 seconds, for example about 7 seconds. For example, in the case of manufacturing a 3D NAND structure, the chamber may be purged in operation 288 for about 7 seconds. The purge duration depends on the substrate and the stress.

図2Bのオペレーション288は、図2Cのパージフェーズ270Aに相当し得る。図2Cに示すように、パージフェーズ270Aで、堆積サイクル211Aは完了する。図3Fは、チェンバをパージするときの基板の概略例を提示している。注目されるのは、化合物343cは、完全に反応することなく形成された中間体であり得る一方、いくらかのタングステン390が基板上に形成され得るということである。各サイクルは、これにより、基板上にタングステンのサブ原子層を形成する。   Operation 288 of FIG. 2B may correspond to purge phase 270A of FIG. 2C. As shown in FIG. 2C, in the purge phase 270A, the deposition cycle 211A is complete. FIG. 3F provides a schematic example of a substrate when purging the chamber. It is noted that compound 343c can be an intermediate formed without complete reaction, while some tungsten 390 can be formed on the substrate. Each cycle thereby forms a sub-atomic layer of tungsten on the substrate.

一部の実施形態では、オペレーション282よりも前にオペレーション286が実行されるように、オペレーション286と282を逆にしてよい。一部の実施形態では、オペレーション282を、オペレーション286の前に実行してよい。   In some embodiments, operations 286 and 282 may be reversed so that operation 286 is performed prior to operation 282. In some embodiments, operation 282 may be performed before operation 286.

図2Bのオペレーション290では、バルクタングステンが適切な厚さに堆積されたかどうか判断する。もしそうでない場合は、所望の厚さに堆積されるまで、オペレーション282〜288を繰り返す。一部の実施形態では、フィーチャが充填されるまで、オペレーション282〜288を繰り返す。図2Cでは、バルクタングステンは適切な厚さに堆積されていないと判断され、従って、図2Bのオペレーション282〜288が、堆積サイクル211Bで繰り返されて、これにより、H2ドーズ220Bが実行され、続いてパージフェーズ240Bが実行される。WF6ドーズ260Bが実行され、続いて別のパージフェーズ270Bが実行される。 In operation 290 of FIG. 2B, it is determined whether bulk tungsten has been deposited to an appropriate thickness. If not, operations 282 to 288 are repeated until the desired thickness is deposited. In some embodiments, operations 282 to 288 are repeated until the feature is filled. In FIG. 2C, it is determined that bulk tungsten has not been deposited to the proper thickness, and therefore operations 282-288 of FIG. 2B are repeated in deposition cycle 211B, thereby executing H 2 dose 220B, Subsequently, the purge phase 240B is executed. A WF 6 dose 260B is performed, followed by another purge phase 270B.

一例として、図3Gは、繰り返しサイクルにおけるオペレーション282を示しており、これにより、気相のH2311cが、堆積されたタングステン390および部分的に反応した中間体343dをその上に有する基板に取り込まれる。なお、注目されるのは、取り込まれたH2は、このとき、基板上の中間体343dと完全に反応し得るということであり、これにより、図3Hに示すように、反応した化合物343dによって、堆積されたタングステン390bおよび390cが残され、そして副生成物HF351cおよび351dが気相で形成される。いくらかのH2311cが気相のまま残り得る一方、いくらかのH2313cがタングステン層390aの上に残り得る。図3Iでは、チェンバをパージし(これは、図2Bのオペレーション284、または図2Cのオペレーション240Bに相当する)、堆積されたタングステン390a、390b、390cと、いくらかのH2313cが残される。図3Jでは、再びWF6をドーズで導入し、このとき、分子331cと323cは、H2および基板に、吸着および/または反応し得る。図3Jは、図2Bのオペレーション286または図2Cの260Bに相当し得る。WF6ドーズの後に、再びチェンバをパージしてよく、そして、所望の厚さのタングステンが堆積されるまで、再びサイクルを繰り返してよい。 As an example, FIG. 3G illustrates operation 282 in a repetitive cycle, whereby vapor phase H 2 311c is incorporated into a substrate having deposited tungsten 390 and partially reacted intermediate 343d thereon. It is. It should be noted that the incorporated H 2 can be completely reacted with the intermediate 343d on the substrate at this time, and as a result, as shown in FIG. 3H, by the reacted compound 343d. Deposited tungsten 390b and 390c are left, and by-products HF351c and 351d are formed in the gas phase. Some H 2 311c may remain in the gas phase while some H 2 313c may remain on the tungsten layer 390a. In FIG. 3I, the chamber is purged (this corresponds to operation 284 of FIG. 2B or operation 240B of FIG. 2C), leaving the deposited tungsten 390a, 390b, 390c and some H 2 313c. In FIG. 3J, WF 6 is again introduced at a dose, at which time molecules 331c and 323c can adsorb and / or react with H 2 and the substrate. FIG. 3J may correspond to operation 286 in FIG. 2B or 260B in FIG. 2C. After the WF 6 dose, the chamber may be purged again and the cycle may be repeated again until the desired thickness of tungsten is deposited.

開示される実施形態を用いて堆積されたタングステン膜は、非順次CVDによって堆積されたタングステンと比較して、約2桁低いフッ素濃度などの、低フッ素濃度を有する。温度、パルス時間、および他のパラメータなどの堆積条件は、ハードウェアまたはプロセスの変更に応じて変化し得る。膜全体の引張応力は、約1GPa未満であり得る。   A tungsten film deposited using the disclosed embodiments has a low fluorine concentration, such as a fluorine concentration that is about two orders of magnitude lower than tungsten deposited by non-sequential CVD. Deposition conditions such as temperature, pulse time, and other parameters can vary depending on hardware or process changes. The tensile stress of the entire membrane can be less than about 1 GPa.

図3Kは、開示される実施形態に従って実施される方法についてのプロセスフロー図を提示している。オペレーション280で、順次CVDによってバルクタングステンを堆積させる。プロセス条件およびケミストリは、図2Bおよび3A〜3Jに関して上述したもののいずれかであり得る。オペレーション299で、非順次CVDによってバルクタングステンを堆積させる。非順次CVDでは、バルクを堆積させるために、タングステン含有前駆体と還元剤に同時に基板を暴露する。タングステン含有前駆体の例として、フッ素含有前駆体(例えば、WF6)、塩素含有前駆体(例えば、WClx)、およびヘキサカルボニルタングステン(W(CO)6)が含まれる。還元剤の例として、水素が含まれる。一部の実施形態では、非順次CVDの堆積は、基板をWF6とH2に暴露することによる。オペレーション280と299は、順次実行してよく、または、オペレーション280のいずれかを、オペレーション299の実行前もしくは実行後に1回以上実行してよい。一部の実施形態では、オペレーション280および299は、パルスで実施され、これにより、オペレーション280の2サイクル以上の実行ごとに、オペレーション299が実行される。このようにして、バルクタングステンは、順次CVDと非順次CVDを併用して堆積され得る。 FIG. 3K presents a process flow diagram for a method performed in accordance with the disclosed embodiments. In operation 280, bulk tungsten is deposited by sequential CVD. The process conditions and chemistry can be any of those described above with respect to FIGS. 2B and 3A-3J. In operation 299, bulk tungsten is deposited by non-sequential CVD. In non-sequential CVD, the substrate is simultaneously exposed to a tungsten-containing precursor and a reducing agent to deposit a bulk. Examples of tungsten-containing precursors include fluorine-containing precursors (eg, WF 6 ), chlorine-containing precursors (eg, WCl x ), and hexacarbonyl tungsten (W (CO) 6 ). Examples of the reducing agent include hydrogen. In some embodiments, non-sequential CVD deposition is by exposing the substrate to WF 6 and H 2 . Operations 280 and 299 may be performed sequentially, or any of operations 280 may be performed one or more times before or after execution of operation 299. In some embodiments, operations 280 and 299 are performed in pulses, so that operation 299 is performed for every execution of two or more cycles of operation 280. In this way, bulk tungsten can be deposited using a combination of sequential and non-sequential CVD.

開示される実施形態には、タングステン堆積プロセスにおける様々な用途があり得る。例えば、一部の実施形態では、還元剤(例えば、ボラン、シラン、またはゲルマン)とWF6の交互のパルスのALDサイクルにより、タングステン核形成層を堆積させ、続いて、図2Bに関して上述したような順次CVDによりバルクタングステンを堆積させることで、フィーチャを充填してよい。 The disclosed embodiments can have a variety of applications in tungsten deposition processes. For example, in some embodiments, a tungsten nucleation layer is deposited by an ALD cycle of alternating pulses of reducing agent (eg, borane, silane, or germane) and WF 6 , followed by as described above with respect to FIG. 2B. The feature may be filled by depositing bulk tungsten by sequential CVD.

別の例では、いくつかの実施形態において、還元剤とWF6のALDサイクルを用いてタングステン核形成層を堆積させ、続いて、還元剤とフッ素フリータングステン含有前駆体(例えば、有機金属タングステン前駆体)を用いたフッ素フリータングステンのCVDと、図2Bに関して上述したような順次CVDとの併用によって、バルクタングステンを堆積させてよい。フッ素フリータングステン前駆体として、さらに、カルボニルタングステン(W(CO)6)と、五塩化タングステン(WCl5)および六塩化タングステン(WCl6)のような塩化タングステン(WClx)を含んでもよい。 In another example, in some embodiments, an ALD cycle of a reducing agent and WF 6 is used to deposit a tungsten nucleation layer followed by a reducing agent and a fluorine-free tungsten-containing precursor (eg, an organometallic tungsten precursor). The bulk tungsten may be deposited by a combination of fluorine-free tungsten CVD using a body and sequential CVD as described above with respect to FIG. 2B. The fluorine-free tungsten precursor may further include carbonyl tungsten (W (CO) 6 ) and tungsten chloride (WCl x ) such as tungsten pentachloride (WCl 5 ) and tungsten hexachloride (WCl 6 ).

別の例では、還元剤とWF6の交互のパルスのALDサイクルによって、フィーチャ上にタングステン核形成層を堆積させてよく、さらに、図2Bに関して上述したような順次CVDと、非順次CVDを交互に繰り返すことにより、バルクタングステンを堆積させてよい。例えば、バルクタングステンは、所定の継続時間の非順次CVDの合間に、いくつかのサイクルの順次CVDを用いて堆積され得る。具体的な例では、バルクタングステンは、約5サイクルの順次CVD、続いて5秒の非順次CVD、続いて5サイクルの順次CVD、さらに5秒の非順次CVDを用いて堆積され得る。 In another example, a tungsten nucleation layer may be deposited on the feature by an ALD cycle of alternating pulses of reducing agent and WF 6 , and alternating between sequential and non-sequential CVD as described above with respect to FIG. 2B. The bulk tungsten may be deposited by repeating the above. For example, bulk tungsten can be deposited using several cycles of sequential CVD between non-sequential CVDs of a predetermined duration. In a specific example, bulk tungsten may be deposited using about 5 cycles of sequential CVD followed by 5 seconds of non-sequential CVD followed by 5 cycles of sequential CVD and then 5 seconds of non-sequential CVD.

別の例では、最初に、還元剤とWF6の交互のパルスのALDサイクルによってタングステン核形成層を堆積させ、次に、順次CVDを用いてフィーチャを部分的に充填し、さらに非順次CVDによってフィーチャの残り部分を充填することにより、フィーチャを充填してよい。 In another example, a tungsten nucleation layer is first deposited by an ALD cycle of alternating pulses of reducing agent and WF 6 , then partially filled with features using sequential CVD, and further by non-sequential CVD. The feature may be filled by filling the rest of the feature.

別の例では、還元剤とWF6の交互のパルスのALDサイクルによってタングステン核形成層を堆積させ、続いて順次CVDによりバルクタングステンを部分的に堆積させ、さらに(有機金属タングステン前駆体を用いるような)フッ素フリータングステンのCVDによる完全なバルク充填によって、フィーチャを充填してよい。例えば、バルクタングステンによってフィーチャを部分的に充填するために、いくつかのサイクルの順次CVDを実施し、続いてMDNOWとH2への同時暴露を用いたCVDによってフィーチャの残り部分を充填してよい。一部の実施形態では、核形成層を堆積させることなく、フィーチャは充填され得るものの、核形成層は、バルクタングステンの成長の遅れを抑える助けとなり得るということに留意すべきである。 In another example, a tungsten nucleation layer is deposited by an ALD cycle of alternating pulses of reducing agent and WF 6 followed by partial deposition of bulk tungsten by sequential CVD, and further (using an organometallic tungsten precursor). The feature may be filled by complete bulk filling with fluorine-free tungsten CVD. For example, in order to partially fill the feature by the bulk tungsten, some performed sequentially CVD cycles, followed by MDNOW the remaining portion of the feature by CVD using simultaneous exposure to H 2 may be filled . It should be noted that in some embodiments, the features can be filled without depositing a nucleation layer, but the nucleation layer can help to slow down the growth of bulk tungsten.

なお、タングステンを堆積させるために、本明細書に記載の応用の様々な組み合わせを用いてよく、また、それらの方法は、本明細書で提示される例に限定されないことは理解されるであろう。例えば、本明細書に記載の実施形態におけるWF6の代わりに、またはWF6と組み合わせて、五塩化タングステン(WCl5)および六塩化タングステン(WCl6)のような塩素含有タングステン前駆体(WClx)を用いてよい。 It should be understood that various combinations of the applications described herein may be used to deposit tungsten, and that the methods are not limited to the examples presented herein. Let's go. For example, instead of WF 6 in the embodiment described herein, or in combination with WF 6, chlorine-containing tungsten precursors such as five tungsten chloride (WCl 5) and tungsten hexachloride (WCl 6) (WCl x ) May be used.

種々の実施形態において、核形成層を堆積させる前に、ソークまたは表面処理オペレーションを実施してよい。ソークまたは表面処理の例として、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、ゲルマン(GeH4)、アルゴン(Ar)、六フッ化タングステン(WF6)、ジボラン(B26)、水素(H2)、窒素(N2)のガス、またはそれらの組み合わせに、基板を暴露することが含まれる。いくつかの実施形態において、基板は、1種以上のガスを用いてソークされ得る。例えば、一部の実施形態では、基板を、第1の継続時間にわたってシランに暴露し、次に、第2の継続時間にわたってジボランに暴露してよい。また、このようなオペレーションを、サイクルで繰り返してもよい。別の例では、基板を、第1の継続時間にわたってジボランに暴露し、次に、第2の継続時間にわたってシランに暴露してよい。別の例では、基板を、第1の継続時間にわたってジボランに暴露し、次に、第2の継続時間にわたって水素に暴露してよい。別の例では、基板を、第1の継続時間にわたってシランに暴露し、次に、第2の継続時間にわたって水素に暴露してよい。一部の実施形態では、上記ソークプロセスのいずれかと組み合わせて、基板を、窒素ガスに暴露してよい。開示される実施形態のいずれかにおいて、1回以上のソークオペレーションの合間に、基板を収容しているチェンバをパージしてよい。パージは、アルゴンなどの不活性ガスをチェンバ内に流入させることによって、実施され得る。例えば、一例では、第1の継続時間にわたって基板をジボランに暴露してよく、次にチェンバをパージしてよく、その後、第2の継続時間にわたって基板をシランに暴露してよい。 In various embodiments, a soak or surface treatment operation may be performed prior to depositing the nucleation layer. Examples of soak or surface treatment include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), germane (GeH 4 ), argon (Ar), tungsten hexafluoride (WF 6 ), Exposing the substrate to a gas of diborane (B 2 H 6 ), hydrogen (H 2 ), nitrogen (N 2 ), or a combination thereof includes. In some embodiments, the substrate can be soaked with one or more gases. For example, in some embodiments, the substrate may be exposed to silane for a first duration and then to diborane for a second duration. Such an operation may be repeated in a cycle. In another example, the substrate may be exposed to diborane for a first duration and then exposed to silane for a second duration. In another example, the substrate may be exposed to diborane for a first duration and then exposed to hydrogen for a second duration. In another example, the substrate may be exposed to silane for a first duration and then exposed to hydrogen for a second duration. In some embodiments, the substrate may be exposed to nitrogen gas in combination with any of the above soak processes. In any of the disclosed embodiments, the chamber containing the substrate may be purged between one or more soak operations. Purging can be performed by flowing an inert gas such as argon into the chamber. For example, in one example, the substrate may be exposed to diborane for a first duration, the chamber may then be purged, and then the substrate may be exposed to silane for a second duration.

バルクタングステン層を堆積させる前に、開示されるいくつかの実施形態に従って堆積される核形成層は、タングステン含有前駆体と、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、ゲルマン(GeH4)、またはジボラン(B26)などの還元剤と、を交互に用いることにより堆積させてよい。一部の実施形態では、核形成層は、タングステン含有前駆体とシランの交互のパルスに基板を暴露することによって堆積される。一部の実施形態では、核形成層は、タングステン含有前駆体とジボランの交互のパルスに基板を暴露することによって堆積される。一部の実施形態では、核形成層は、タングステン含有前駆体とシランの交互のパルスに基板を暴露し、次に、タングステン含有前駆体とジボランの交互のパルスに基板を暴露することによって堆積される。一部の実施形態では、核形成層は、タングステン含有前駆体とジボランの交互のパルスに基板を暴露し、次に、タングステン含有前駆体とシランの交互のパルスに基板を暴露することによって堆積される。一部の実施形態では、核形成層は、タングステン含有前駆体とシランの交互のパルスに基板を暴露し、次に、タングステン含有前駆体とジボランの交互のパルスに基板を暴露し、その後、タングステン含有前駆体とシランの交互のパルスに基板を暴露することによって堆積される。一部の実施形態では、核形成層は、タングステン含有前駆体とジボランの交互のパルスに基板を暴露し、次に、タングステン含有前駆体とシランの交互のパルスに基板を暴露し、その後、タングステン含有前駆体とジボランの交互のパルスに基板を暴露することによって堆積される。開示される実施形態のいずれかにおいて、核形成層を堆積させるための1回以上のドーズオペレーションの合間に、基板を収容しているチェンバをパージしてよい。パージは、アルゴンなどの不活性ガスをチェンバ内に流入させることによって、実施され得る。任意の適切な不活性ガスを、パージに用いてよい。例えば、一部の実施形態では、タングステン含有前駆体のパルスに基板を暴露してよく、次にチェンバをパージしてよく、その後、シランのパルスに基板を暴露してよく、そして再びチェンバをパージしてよく、さらに、このようなオペレーションをサイクルで繰り返してよい。 Prior to depositing the bulk tungsten layer, the nucleation layer deposited according to some disclosed embodiments includes a tungsten-containing precursor and silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), germane (GeH 4 ), or a reducing agent such as diborane (B 2 H 6 ) may be used alternately for deposition. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and silane. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and silane, and then exposing the substrate to alternating pulses of tungsten-containing precursor and diborane. The In some embodiments, the nucleation layer is deposited by exposing the substrate to alternating pulses of tungsten-containing precursor and diborane, and then exposing the substrate to alternating pulses of tungsten-containing precursor and silane. The In some embodiments, the nucleation layer exposes the substrate to alternating pulses of tungsten-containing precursor and silane, and then exposes the substrate to alternating pulses of tungsten-containing precursor and diborane, followed by tungsten. Deposited by exposing the substrate to alternating pulses of containing precursor and silane. In some embodiments, the nucleation layer exposes the substrate to alternating pulses of tungsten-containing precursor and diborane, and then exposes the substrate to alternating pulses of tungsten-containing precursor and silane, followed by tungsten. Deposited by exposing the substrate to alternating pulses of containing precursor and diborane. In any of the disclosed embodiments, the chamber containing the substrate may be purged between one or more dose operations to deposit the nucleation layer. Purging can be performed by flowing an inert gas such as argon into the chamber. Any suitable inert gas may be used for the purge. For example, in some embodiments, the substrate may be exposed to a pulse of a tungsten-containing precursor, then the chamber may be purged, and then the substrate may be exposed to a pulse of silane, and the chamber is purged again. In addition, such an operation may be repeated in a cycle.

上記の実現形態のいずれかで用いられ得る核形成層の堆積は、核形成堆積プロセスの間中、またはシランのドーズ中、またはジボランのドーズ中、またはWF6ドーズのようなタングステン含有前駆体のドーズ中、またはいずれかのパージ時間の間に、水素(H2)、アルゴン(Ar)、窒素(N2)のうちのいずれか1つ、またはそれらの組み合わせを、共流させることを含んでよい。一部の実施形態では、核形成成長の最中または後に、シラン、ジシラン、トリシラン、ゲルマン、ジボラン、水素、六フッ化タングステン、窒素、アルゴン、およびこれらの組み合わせのいずれかに基板を暴露することにより、表面処理オペレーションを実施してよい。例えば、核形成層の堆積の際に、シランとWF6の交互のパルスに基板を暴露してよく、次に、基板をシランソークに暴露してよく、その後、シランとWF6の交互のパルスへの基板の暴露を再開してよい。このようなオペレーションを、サイクルで実行してよい。例えば、一部の実施形態では、核形成層を堆積させるために、以下のサイクルを1回以上繰り返してよい:SiH4とWF6の交互のパルスと、表面処理への暴露。 The deposition of a nucleation layer that can be used in any of the above implementations is during the nucleation deposition process, or during a silane dose, or during a diborane dose, or of a tungsten-containing precursor such as a WF 6 dose. Co-flowing any one of hydrogen (H 2 ), argon (Ar), nitrogen (N 2 ), or a combination thereof during dose or during any purge time. Good. In some embodiments, exposing the substrate to any of silane, disilane, trisilane, germane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, and combinations thereof during or after nucleation growth A surface treatment operation may be performed. For example, during deposition of the nucleation layer, the substrate may be exposed to alternating pulses of silane and WF 6 , then the substrate may be exposed to silane soak, and then to alternating pulses of silane and WF 6 . The substrate exposure may be resumed. Such operations may be performed in cycles. For example, in some embodiments, the following cycle may be repeated one or more times to deposit a nucleation layer: alternating pulses of SiH 4 and WF 6 and exposure to a surface treatment.

一部の実施形態では、核形成層は、タングステン含有前駆体と、以下のガスのいずれか1つ以上との任意の組み合わせに、任意の順序で順次、かつ1回以上のサイクルで、基板を暴露することによって堆積され得る:ジボラン、シラン、ジシラン、トリシラン、水素、窒素、およびゲルマン(GeH4)。例えば、一部の実施形態では、核形成層は、ジボランに基板を暴露し、六フッ化タングステンに基板を暴露し、シランに基板を暴露し、そして水素に基板を暴露することによって堆積され得る。このようなオペレーションを、1回以上のサイクルで繰り返してよい。別の例では、いくつかの実施形態において、核形成層は、シランに基板を暴露し、六フッ化タングステンに基板を暴露し、そして水素に基板を暴露することによって堆積され得る。このようなオペレーションを、1回以上のサイクルで繰り返してよい。別の例では、いくつかの実施形態において、核形成層は、ジボランに基板を暴露し、水素に基板を暴露し、そして六フッ化タングステンに基板を暴露することによって堆積され得る。このようなオペレーションを、1回以上のサイクルで繰り返してよい。別の例では、いくつかの実施形態において、核形成層は、窒素に基板を暴露し、ジボランに基板を暴露し、そして六フッ化タングステンに基板を暴露することによって堆積され得る。このようなオペレーションを、1回以上のサイクルで繰り返してよい。別の例では、いくつかの実施形態において、核形成層は、シランに基板を暴露し、窒素に基板を暴露し、そして六フッ化タングステンに基板を暴露することによって堆積され得る。このようなオペレーションを、1回以上のサイクルで繰り返してよい。記載される実施形態のいずれかにおいて、任意の利用可能なガスを用いて、核形成堆積サイクルの前、最中、または後に、基板を、表面処理および/またはソークオペレーションに暴露してよい。一部の実施形態では、核形成堆積プロセスの1回以上の暴露中に、上記のガスのいずれかと共に、追加的なガスを共流させてよい。開示される実施形態のいずれかにおいて、核形成層を堆積させるための1回以上のドーズオペレーションの合間に、基板を収容しているチェンバをパージしてよい。パージは、アルゴンなどの不活性ガスをチェンバ内に流入させることによって、実施され得る。任意の適切な不活性ガスを、パージに用いてよい。 In some embodiments, the nucleation layer is a substrate containing any combination of a tungsten-containing precursor and one or more of the following gases, sequentially in any order, and in one or more cycles. Can be deposited by exposure: diborane, silane, disilane, trisilane, hydrogen, nitrogen, and germane (GeH 4 ). For example, in some embodiments, the nucleation layer can be deposited by exposing the substrate to diborane, exposing the substrate to tungsten hexafluoride, exposing the substrate to silane, and exposing the substrate to hydrogen. . Such an operation may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer can be deposited by exposing the substrate to silane, exposing the substrate to tungsten hexafluoride, and exposing the substrate to hydrogen. Such an operation may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer can be deposited by exposing the substrate to diborane, exposing the substrate to hydrogen, and exposing the substrate to tungsten hexafluoride. Such an operation may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer can be deposited by exposing the substrate to nitrogen, exposing the substrate to diborane, and exposing the substrate to tungsten hexafluoride. Such an operation may be repeated in one or more cycles. In another example, in some embodiments, the nucleation layer can be deposited by exposing the substrate to silane, exposing the substrate to nitrogen, and exposing the substrate to tungsten hexafluoride. Such an operation may be repeated in one or more cycles. In any of the described embodiments, any available gas may be used to expose the substrate to surface treatment and / or soak operations before, during, or after the nucleation deposition cycle. In some embodiments, additional gas may be co-flowed with any of the above gases during one or more exposures of the nucleation deposition process. In any of the disclosed embodiments, the chamber containing the substrate may be purged between one or more dose operations to deposit the nucleation layer. Purging can be performed by flowing an inert gas such as argon into the chamber. Any suitable inert gas may be used for the purge.

バルクタングステンの堆積は、本明細書、および2015年5月27日に出願された米国特許出願第14/723,275号(代理人整理番号LAMRP183/3623−1US)に記載された開示の実施形態のいずれかを用いた堆積であってよく、上記文献は、その全体が参照により本明細書に組み込まれる。また、上記の実現形態のいずれかにおいて、バルク堆積の合間に実行される再核形成および/またはソークおよび/または表面処理および/または従来のCVD堆積オペレーションを伴って、バルクタングステンは周期的に堆積されてもよい。例えば、いくつかの実施形態において、図2Bに関して上述したような開示の実施形態を用いて、バルクタングステンを堆積させてよく、その後、バルクタングステンの堆積は休止されてよく、次に、基板の表面に再核形成するために、シランとWF6、またはジボランとWF6の交互のパルスに基板を暴露してよく、次に、図2Bに関して上述したような開示の実施形態を用いて、バルクタングステンの堆積を再開させてよい。このようなオペレーションを、任意の数のサイクルで繰り返してよい。別の例では、いくつかの実施形態において、図2Bに関して上述したような開示の実施形態を用いて、バルクタングステンを堆積させてよく、その後、バルクタングステンの堆積は休止されてよく、次に、基板の表面を処理するために、シラン、ジシラン、トリシラン、ゲルマン、ジボラン、水素、六フッ化タングステン、窒素、アルゴン、およびそれらの組み合わせのいずれかを流入させることにより、ソークまたは表面処理に基板を暴露してよく、次に、図2Bに関して上述したような開示の実施形態を用いて、バルクタングステンの堆積を再開させてよい。バルクタングステンの堆積は、WF6のようなタングステン含有前駆体と、以下のガスのいずれか1つ以上に基板を暴露することにより実施され得る:水素、シラン、ジシラン、トリシラン、ジボラン、窒素、アルゴン、およびゲルマン。また、図3Kに関して上述したように、順次CVDと従来のCVDを併用してバルクタングステンを堆積させてもよい。順次CVDを用いてバルクタングステンを堆積させる前、最中(順次CVDと従来のCVDを順に繰り返すことなどによる)、または後に、従来のCVDを実施してよい。 Bulk tungsten deposition is disclosed herein and disclosed embodiments described in US patent application Ser. No. 14 / 723,275 filed May 27, 2015 (Attorney Docket LAMRP 183 / 3623-1 US). The above references are incorporated herein by reference in their entirety. Also, in any of the above implementations, bulk tungsten is deposited periodically with renucleation and / or soaking and / or surface treatment and / or conventional CVD deposition operations performed between bulk depositions. May be. For example, in some embodiments, the disclosed embodiments as described above with respect to FIG. 2B may be used to deposit bulk tungsten, after which bulk tungsten deposition may be paused and then the surface of the substrate The substrate may be exposed to alternating pulses of silane and WF 6 , or diborane and WF 6 , and then the bulk tungsten using the disclosed embodiments as described above with respect to FIG. 2B. The deposition may be resumed. Such an operation may be repeated for any number of cycles. In another example, in some embodiments, bulk tungsten may be deposited using the disclosed embodiments as described above with respect to FIG. 2B, after which bulk tungsten deposition may be paused, To treat the surface of the substrate, the substrate is subjected to soaking or surface treatment by flowing one of silane, disilane, trisilane, germane, diborane, hydrogen, tungsten hexafluoride, nitrogen, argon, and combinations thereof. The exposure may then be performed and then the deposition of bulk tungsten may be resumed using the disclosed embodiments as described above with respect to FIG. 2B. Bulk tungsten deposition may be performed by exposing the substrate to a tungsten-containing precursor such as WF 6 and one or more of the following gases: hydrogen, silane, disilane, trisilane, diborane, nitrogen, argon , And germane. Also, as described above with respect to FIG. 3K, bulk tungsten may be deposited using sequential CVD and conventional CVD in combination. Conventional CVD may be performed before, during (eg, by sequentially repeating CVD and conventional CVD), or after depositing bulk tungsten using sequential CVD.

一部の実施形態では、バルクタングステンを堆積させる前、かつ核形成層を堆積させた後に、基板を任意の適切な温度でアニールしてよい。一部の実施形態では、バルクタングステン層を堆積させた後に、基板を任意の適切な温度でアニールしてよい。一部の実施形態では、バルクタングステンの堆積中の中間時点で、基板を任意の適切な温度でアニールしてよい。アニールは、以下のガスの1つ以上を含む環境など、任意の適切なガス環境で実施され得る:WF6のようなタングステン含有ガス、水素、シラン、ジシラン、トリシラン、ジボラン、窒素、アルゴン、およびゲルマン。 In some embodiments, the substrate may be annealed at any suitable temperature before depositing the bulk tungsten and after depositing the nucleation layer. In some embodiments, the substrate may be annealed at any suitable temperature after depositing the bulk tungsten layer. In some embodiments, the substrate may be annealed at any suitable temperature at an intermediate point during bulk tungsten deposition. Annealing may be performed in any suitable gas environment, such as an environment containing one or more of the following gases: a tungsten-containing gas such as WF 6 , hydrogen, silane, disilane, trisilane, diborane, nitrogen, argon, and germane.

種々の実施形態において、図2Bに関して上述したような開示の実施形態に従ってバルクタングステンを堆積させるためのタングステン含有前駆体および還元剤のドーズの前または後に、基板を収容しているチェンバを排気またはパージしてよい。一部の実施形態では、本明細書で記載されるような順次CVD堆積のドーズまたはパージステップに、遅延時間を導入してよい。一部の実施形態では、以下のガスのいずれか1つ以上を用いたドーズまたはパージオペレーション中に、1種以上のガスを共流させてよい:WF6、水素、シラン、ジシラン、トリシラン、ジボラン、窒素、アルゴン、およびゲルマン。 In various embodiments, the chamber containing the substrate is evacuated or purged before or after the tungsten-containing precursor and reducing agent dose for depositing bulk tungsten according to the disclosed embodiments as described above with respect to FIG. 2B. You can do it. In some embodiments, a delay time may be introduced into the dose or purge step of sequential CVD deposition as described herein. In some embodiments, one or more gases may be co-flowed during a dose or purge operation using any one or more of the following gases: WF 6 , hydrogen, silane, disilane, trisilane, diborane. , Nitrogen, argon, and germane.

核形成堆積中の基板の温度は、図2Bに関して上述したような順次CVDの際の基板の温度と同じでなくてもよい。基板の温度とは、基板を保持する台に設定される温度を意味するものと解釈される。開示される実施形態は、約10Torr超の圧力、または約10Torr未満の圧力など、任意の適切な圧力で実施してよい。マルチステーション・チェンバの場合、各台は、異なる温度に設定されてよい。一部の実施形態では、各台は、同じ温度に設定される。開示の実施形態による上述のオペレーションのいずれかの一部またはすべての際に、基板をステーションからステーションへと巡回させてよい。また、開示されるいくつかの実施形態における1つ以上のオペレーションにおいて、チェンバ圧力を変調させてもよい。一部の実施形態では、核形成堆積中のチェンバ圧力は、バルク堆積中のチェンバ圧力とは異なる。一部の実施形態では、核形成堆積中のチェンバ圧力は、バルク堆積中のチェンバ圧力と同じである。   The temperature of the substrate during nucleation deposition may not be the same as the temperature of the substrate during sequential CVD as described above with respect to FIG. 2B. The temperature of the substrate is taken to mean the temperature set on the table holding the substrate. The disclosed embodiments may be performed at any suitable pressure, such as a pressure greater than about 10 Torr, or a pressure less than about 10 Torr. In the case of a multi-station chamber, each platform may be set to a different temperature. In some embodiments, each platform is set to the same temperature. During some or all of the above operations according to the disclosed embodiments, the substrate may be cycled from station to station. The chamber pressure may also be modulated in one or more operations in some disclosed embodiments. In some embodiments, the chamber pressure during nucleation deposition is different from the chamber pressure during bulk deposition. In some embodiments, the chamber pressure during nucleation deposition is the same as the chamber pressure during bulk deposition.

上記の暴露の際はいずれも、ガスは、パルス化するか、または連続的に流入させてよい。例えば、一部の実施形態では、順次CVDオペレーションのWF6ドーズの際に、1回のドーズ中にWF6を1回以上のパルス状にしてよい。同様に、一部の実施形態では、パージの際に、1回のパージオペレーション中に不活性ガスを1回以上のパルス状にしてよい。このようなパルス化操作は、任意の核形成堆積オペレーション、または任意のバルク堆積オペレーション、またはそれらの任意の組み合わせにおいて実施してよい。いくつかの実施形態において、圧力、流量、および温度などの1つ以上のパラメータに対して1つ以上の変更を加えてよい。いくつかの実施形態において、核形成堆積またはバルク堆積またはその両方のいずれかのオペレーションの際に、基板と台上方のシャワーヘッドとの間の間隔が変調され得るように、台を移動させてよい。台の移動は、圧力、温度、または流量などの1つ以上のパラメータの変更と組み合わせて用いてよい。基板とシャワーヘッドとの間の間隔を変調することは、開示されるいくつかの実施形態に従って用いられ得る圧力、温度、または流量に影響を及ぼし得る。本明細書に記載のプロセスはいずれも、ALDを伴う技術に適用可能であり得ることは理解されるであろう。 In any of the above exposures, the gas may be pulsed or flowed continuously. For example, in some embodiments, during sequential CVD operation WF 6 doses, WF 6 may be pulsed one or more times during a single dose. Similarly, in some embodiments, during purging, the inert gas may be pulsed one or more times during a single purge operation. Such a pulsing operation may be performed in any nucleation deposition operation, or any bulk deposition operation, or any combination thereof. In some embodiments, one or more changes may be made to one or more parameters such as pressure, flow rate, and temperature. In some embodiments, the pedestal may be moved so that the spacing between the substrate and the showerhead above the pedestal can be modulated during either nucleation deposition or bulk deposition or both operations. . The movement of the platform may be used in combination with changing one or more parameters such as pressure, temperature, or flow rate. Modulating the spacing between the substrate and the showerhead can affect the pressure, temperature, or flow rate that can be used in accordance with some disclosed embodiments. It will be appreciated that any of the processes described herein may be applicable to technologies involving ALD.

[装置]
開示される実施形態を実施するために、任意の適切なチェンバを使用してよい。堆積装置の例として様々なシステムが含まれ、例えば、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corp.)から入手可能なALTUS(登録商標)およびALTUS(登録商標)Max、または他の様々な市販の処理システムのいずれかが含まれる。いくつかの実施形態において、順次化学気相成長(CVD)は、シングル成膜チェンバ内に配置された2つ、5つ、またはさらに多くの成膜ステーションのうちの1つである第1のステーションで実施され得る。その場合、第1のステーションにおいて、例えば、水素(H2)と六フッ化タングステン(WF6)を、交互に、基板表面で局所雰囲気を生成する個々のガス供給システムを用いて半導体基板の表面に導入してよい。フッ素フリータングステン堆積、または非順次CVDのために、他のステーションを使用してよい。低圧でタングステン核形成層を堆積させるために、他のステーションを使用してよい。並行処理でタングステンを堆積させるために、2つ以上のステーションを使用してよい。あるいは、順次CVDオペレーションが、2つ以上のステーションに跨って順次実行されるように、ウェハにインデックスを付けてよい。
[apparatus]
Any suitable chamber may be used to implement the disclosed embodiments. Examples of deposition equipment include various systems such as ALTUS® and ALTUS® Max available from Lam Research Corp., Fremont, California, or various other Any of the commercially available processing systems are included. In some embodiments, sequential chemical vapor deposition (CVD) is a first station that is one of two, five, or more deposition stations disposed within a single deposition chamber. Can be implemented. In that case, at the first station, for example, hydrogen (H 2 ) and tungsten hexafluoride (WF 6 ) are alternately applied to the surface of the semiconductor substrate using individual gas supply systems that generate a local atmosphere on the substrate surface. May be introduced. Other stations may be used for fluorine-free tungsten deposition or non-sequential CVD. Other stations may be used to deposit the tungsten nucleation layer at low pressure. More than one station may be used to deposit tungsten in parallel processing. Alternatively, the wafer may be indexed so that sequential CVD operations are performed sequentially across two or more stations.

図4は、いくつかの実施形態に従ってタングステン薄膜堆積プロセスを実施するのに適した処理システムのブロック図である。システム400は、搬送モジュール403を備える。搬送モジュール403は、処理されている基板をいくつかの反応器モジュールの間で移送するときの基板の汚染のリスクを最小限に抑えるための、クリーンな加圧環境を提供する。原子層堆積(ALD)、およびいくつかの実施形態による順次CVDを実施することが可能なマルチステーション反応器409が、搬送モジュール403に取り付けられる。また、マルチステーション反応器409は、いくつかの実施形態においてフッ素フリータングステン堆積および/または非順次CVDを実施するために使用されてもよい。反応器409は、開示される実施形態に従ってオペレーションを順次実行し得る複数のステーション411、413、415、417を有し得る。例えば、反応器409は、ステーション411でALDにより核形成層堆積を実施し、ステーション413で順次CVDを実施し、ステーション415でフッ素フリータングステン堆積を実施し、ステーション417で非順次CVDを実施するように、構成することができる。これらのステーションは、加熱される台または基板サポートと、1つ以上のガス導入口またはシャワーヘッドまたは分散板と、を有し得る。成膜ステーション500の一例を、図5に示しており、これは、基板サポート502とシャワーヘッド503とを有する。台部501に、ヒータを設けてよい。   FIG. 4 is a block diagram of a processing system suitable for performing a tungsten thin film deposition process in accordance with some embodiments. The system 400 includes a transfer module 403. The transfer module 403 provides a clean pressurized environment to minimize the risk of substrate contamination when transferring the substrate being processed between several reactor modules. A multi-station reactor 409 capable of performing atomic layer deposition (ALD) and sequential CVD according to some embodiments is attached to the transfer module 403. Multi-station reactor 409 may also be used to perform fluorine-free tungsten deposition and / or non-sequential CVD in some embodiments. The reactor 409 may have a plurality of stations 411, 413, 415, 417 that may perform operations sequentially according to the disclosed embodiments. For example, the reactor 409 may perform nucleation layer deposition by ALD at station 411, perform sequential CVD at station 413, perform fluorine-free tungsten deposition at station 415, and perform non-sequential CVD at station 417. It can be configured. These stations may have a heated platform or substrate support and one or more gas inlets or showerheads or dispersion plates. An example of a deposition station 500 is shown in FIG. 5, which has a substrate support 502 and a showerhead 503. A heater may be provided on the base portion 501.

さらに、プラズマまたは化学的(非プラズマ)プレクリーニングを実施することが可能な1つ以上のシングルまたはマルチステーションモジュール407を、搬送モジュール403に取り付けてもよい。また、このモジュールは、例えば堆積プロセスのための基板を準備するために、様々な処理に用いてもよい。システム400は、さらに、処理前および処理後のウェハを格納する1つ以上のウェハソースモジュール401を備える。大気搬送チェンバ419内の大気ロボット(図示せず)によって、まず、ウェハをソースモジュール401からロードロック421に取り出してよい。搬送モジュール403内のウェハ搬送装置(一般的には、ロボットアームユニット)によって、ウェハを、ロードロック421から、搬送モジュール403に取り付けられたモジュールへ、さらには搬送モジュール403に取り付けられたモジュール間で、移送する。   In addition, one or more single or multi-station modules 407 that can perform plasma or chemical (non-plasma) pre-cleaning may be attached to the transfer module 403. The module may also be used in various processes, for example to prepare a substrate for a deposition process. The system 400 further comprises one or more wafer source modules 401 for storing pre-processed and post-processed wafers. First, a wafer may be taken out from the source module 401 to the load lock 421 by an atmospheric robot (not shown) in the atmospheric transfer chamber 419. A wafer is transferred from the load lock 421 to a module attached to the transfer module 403 by a wafer transfer device (generally, a robot arm unit) in the transfer module 403, and between the modules attached to the transfer module 403. Transport.

種々の実施形態において、堆積中のプロセス条件を制御するために、システムコントローラ429を採用する。コントローラ429は、一般に、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、などを含み得る。   In various embodiments, a system controller 429 is employed to control process conditions during deposition. The controller 429 generally comprises one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output connections, stepper motor controller boards, and the like.

コントローラ429は、堆積装置の動作のすべてを制御し得る。システムコントローラ429は、特定のプロセスのタイミング、ガスの混合、チェンバ圧力、チェンバ温度、ウェハ温度、高周波(RF)電力レベル、ウェハチャックまたは台の位置、および他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアを実行する。コントローラ429に関連付けられたメモリデバイスに格納される他のコンピュータプログラムを、いくつかの実施形態において採用してよい。   Controller 429 may control all of the operation of the deposition apparatus. The system controller 429 provides a set of instructions for controlling specific process timing, gas mixing, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power level, wafer chuck or platform position, and other parameters. Run the system control software that contains it. Other computer programs stored in a memory device associated with controller 429 may be employed in some embodiments.

一般的に、コントローラ429に関連付けられたユーザインタフェースが設けられる。ユーザインタフェースとして、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスが含まれ得る。   In general, a user interface associated with the controller 429 is provided. User interfaces may include display screens, graphical software displays of equipment and / or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

システム制御ロジックは、任意の適切な方法で構成され得る。一般に、ロジックは、ハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコーディングされるか、またはソフトウェアとして提供され得る。それらの命令は、「プログラミング」によって提供され得る。そのようなプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および他のデバイスにおいてハードコーディングされたロジックであって、ハードウェアとして実現された特定のアルゴリズムを有するものなど、任意の形態のロジックを含むものと解釈される。プログラミングは、さらに、汎用プロセッサ上で実行され得るソフトウェアまたはファームウェア命令を含むものと解釈される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングされ得る。   The system control logic can be configured in any suitable manner. In general, the logic can be designed or configured in hardware and / or software. The instructions for controlling the drive circuit may be hard coded or provided as software. Those instructions may be provided by “programming”. Such programming can be any form of logic, such as hard-coded logic in digital signal processors, application-specific integrated circuits, and other devices, with specific algorithms implemented as hardware. It is interpreted as including. Programming is further interpreted as including software or firmware instructions that may be executed on a general purpose processor. The system control software can be coded in any suitable computer readable programming language.

プロセスシーケンスにおけるゲルマニウム含有還元剤パルス、水素流、およびタングステン含有前駆体パルス、および他のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、通常のコンピュータ可読プログラミング言語のいずれかで作成することができる。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサにより実行することで、プログラムで示されるタスクが実行される。さらに、指摘したように、プログラムコードは、ハードコーディングされてもよい。   Computer program code for controlling germanium-containing reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in the process sequence is, for example, an ordinary computer such as assembly language, C, C ++, Pascal, Fortran, etc. Can be created in any readable programming language. The task indicated by the program is executed by executing the compiled object code or script by the processor. Further, as pointed out, the program code may be hard coded.

コントローラパラメータは、例えば、処理ガスの組成および流量、温度、圧力、冷却ガス圧力、基板温度、チェンバ壁温度、などのプロセス条件に関するものである。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを利用して入力され得る。   The controller parameters relate to process conditions such as process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, chamber wall temperature, and the like. These parameters are provided to the user in the form of a recipe and can be entered utilizing a user interface.

プロセスを監視するための信号を、システムコントローラ429のアナログおよび/またはデジタル入力接続によって供給してよい。プロセスを制御するための信号は、堆積装置400のアナログおよびデジタル出力接続に出力される。   Signals for monitoring the process may be provided by system controller 429 analog and / or digital input connections. Signals for controlling the process are output to the analog and digital output connections of the deposition apparatus 400.

システムソフトウェアは、多くの異なる方法で設計または構成され得る。例えば、開示した実施形態による堆積プロセスを実施するために必要なチェンバ構成要素の動作を制御するための、各種チェンバ構成要素サブルーチンまたは制御オブジェクトが作成され得る。この目的のためのプログラムまたはプログラム部分の例には、基板位置決めコード、処理ガス制御コード、圧力制御コード、およびヒータ制御コードが含まれる。   The system software can be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be created to control the operation of the chamber components necessary to perform the deposition process according to the disclosed embodiments. Examples of programs or program parts for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.

いくつかの実現形態において、コントローラ429は、上述の例の一部であり得るシステムの一部である。そのようなシステムは、処理ツールまたはいくつかのツール、チェンバまたはいくつかのチェンバ、処理用プラットフォームまたはいくつかのプラットフォーム、および/または特定の処理コンポーネント(ウェハ台、ガスフローシステムなど)、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後の自身のオペレーションを制御するための電子装置と統合されてよい。それらの電子装置は、そのシステムまたはいくつかのシステムの各種コンポーネントまたはサブパーツを制御し得る上記「コントローラ」を指す場合がある。コントローラ429は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の搬送ツールとの間および/または特定のシステムに接続またはインタフェースしているロードロックとの間のウェハ搬送を含む、本明細書に開示の任意のプロセスを制御するようにプログラムされ得る。   In some implementations, the controller 429 is part of a system that can be part of the above example. Such systems include semiconductors such as processing tools or some tools, chambers or some chambers, processing platforms or some platforms, and / or certain processing components (wafer platforms, gas flow systems, etc.) A processing device may be provided. These systems may be integrated with electronic devices to control their operations before, during and after processing of the semiconductor wafer or substrate. These electronic devices may refer to the above “controllers” that may control various components or subparts of the system or several systems. The controller 429 may provide process gas supply, temperature settings (eg, heating and / or cooling), pressure settings, vacuum settings, power settings, high frequency (RF) in some systems, depending on processing requirements and / or system type. ) Generator settings, RF matching circuit settings, frequency settings, flow settings, fluid supply settings, position and operation settings, between tools and with other transport tools and / or to connect to or interface to a specific system Can be programmed to control any process disclosed herein, including wafer transfer to and from a load lock.

コントローラは、広義には、種々の集積回路、ロジック、メモリ、および/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェア、を有する電子装置と定義され得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、が含まれ得る。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実施するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であり得る。動作パラメータは、一部の実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。   The controller broadly refers to various integrated circuits, logic, memory, and / or software that receives instructions, issues instructions, controls operations, implements cleaning operations, implements endpoint measurements, etc. It can be defined as an electronic device having. The integrated circuit executes a chip in the form of firmware storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and / or program instructions (eg, software). One or more microprocessors or microcontrollers may be included. Program instructions are in the form of various individual settings (or program files) that define operating parameters for performing a specific process on a semiconductor wafer or a specific process or system for a semiconductor wafer. It can be a command transmitted to the controller. Operating parameters, in some embodiments, enable one or more processing steps in the manufacture of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / or dies of a wafer. To be part of a recipe defined by a process engineer.

コントローラ429は、いくつかの実現形態において、システムに統合もしくは接続されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに接続されたものであるか、またはそれらの組み合わせであり得る。例えば、コントローラ429は、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部であってよく、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスが実現され得る。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能とするユーザインタフェースを有するものであってよく、それらは、その後、リモートコンピュータからシステムに伝達される。一部の例では、コントローラは、1つ以上のオペレーションにおいて実行される処理工程の各々のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびコントローラがインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されなければならない。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、コントローラを分散させてよい。このような目的の分散コントローラの一例は、チェンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチェンバにおけるプロセスを制御する。   The controller 429 is, in some implementations, part of a computer that is integrated or connected to the system, or otherwise networked to the system, or that is connected to such a computer. There may be or a combination thereof. For example, the controller 429 may be in the “cloud” or may be all or part of a fab host computer system, which may allow remote access for wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, investigates trends or performance metrics from multiple manufacturing operations, changes current processing parameters, Remote access to the system can be implemented to set up the processing steps according to the process or to start a new process. In some examples, a remote computer (eg, a server) can provide process recipes to the system over a network that can include a local network or the Internet. The remote computer may have a user interface that allows entry or programming of parameters and / or settings, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process steps performed in one or more operations. It should be understood that these parameters may be specific to the type of process being performed and the type of tool that the controller is configured to interface with or control. In that case, as described above, such as by including one or more separate controllers that are networked together and cooperate towards a common purpose such as the processes and controls described herein, etc. Controllers may be distributed. One example of a distributed controller for such purposes is one or more integrated circuits mounted in a chamber, which are one or more remotely located (such as at the platform level or as part of a remote computer). Communicate with integrated circuits and jointly control processes in the chamber.

例示的なシステムは、限定するものではないが、プラズマエッチングチェンバまたはモジュール、成膜チェンバまたはモジュール、スピンリンスチェンバまたはモジュール、金属メッキチェンバまたはモジュール、クリーンチェンバまたはモジュール、ベベルエッジエッチングチェンバまたはモジュール、物理気相成長(PVD)チェンバまたはモジュール、CVDチェンバまたはモジュール、ALDチェンバまたはモジュール、原子層エッチング(ALE)チェンバまたはモジュール、イオン注入チェンバまたはモジュール、トラックチェンバまたはモジュール、ならびに半導体ウェハの作製および/または製造に関連または使用することがある他の任意の半導体処理システム、を含み得る。   Exemplary systems include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etch chambers or modules, physical Vapor Deposition (PVD) Chamber or Module, CVD Chamber or Module, ALD Chamber or Module, Atomic Layer Etch (ALE) Chamber or Module, Ion Implantation Chamber or Module, Track Chamber or Module, and Semiconductor Wafer Fabrication and / or Manufacturing And any other semiconductor processing system that may be associated with or used.

上述のように、ツールによって実行される処理工程またはいくつかの工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場の至るところに配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場においてツール場所および/またはロードポートとの間でウェハの容器を移動させる材料搬送で使用されるツール、のうちの1つ以上と通信し得る。   As described above, depending on the processing steps or some steps performed by the tool, the controller may have other tool circuits or modules, other tool parts, cluster tools, other tool interfaces, neighboring tools, neighboring tools, Of tools, tools located throughout the factory, main computers, other controllers, or tools used in material transport to move wafer containers between tool locations and / or load ports in semiconductor manufacturing plants Can communicate with one or more of them.

コントローラ429は、各種プログラムを含み得る。基板位置決めプログラムは、基板を台またはチャック上にロードするために、さらには、基板と、ガス導入口のようなチェンバの他の部材および/またはターゲットとの間隔を制御するために、使用されるチェンバ構成要素を制御するためのプログラムコードを含み得る。処理ガス制御プログラムは、ガス組成、流量、パルス時間を制御するための、さらにはオプションとして、堆積前にチェンバ内の圧力を安定化させるためにチェンバ内にガスを流入させるための、コードを含み得る。圧力制御プログラムは、例えば、チェンバの排気システムのスロットル弁を調節することによってチェンバ内の圧力を制御するための、コードを含み得る。ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含み得る。あるいは、ヒータ制御プログラムは、ウェハチャックへのヘリウムのような熱伝達ガスの供給を制御するものであってよい。   The controller 429 can include various programs. The substrate positioning program is used to load the substrate onto a platform or chuck, and also to control the spacing between the substrate and other members of the chamber such as gas inlets and / or targets. Program code may be included for controlling the chamber components. The process gas control program includes code for controlling gas composition, flow rate, pulse time, and optionally for flowing gas into the chamber to stabilize the pressure in the chamber prior to deposition. obtain. The pressure control program may include code for controlling the pressure in the chamber, for example, by adjusting the throttle valve of the chamber exhaust system. The heater control program may include code for controlling the current to the heating unit that is used to heat the substrate. Alternatively, the heater control program may control supply of a heat transfer gas such as helium to the wafer chuck.

堆積中に監視され得るチェンバセンサの例として、マスフローコントローラ、マノメータのような圧力センサ、台またはチャックに配置される熱電対、が含まれる。所望のプロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いてよい。   Examples of chamber sensors that can be monitored during deposition include a mass flow controller, a pressure sensor such as a manometer, a thermocouple located on a table or chuck. Appropriately programmed feedback and control algorithms may be used with the data from these sensors to maintain the desired process conditions.

上記では、シングルまたはマルチチェンバ半導体処理ツールにおける開示の実施形態の実施について記載している。本明細書に記載の装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたプロセスと組み合わせて用いてよい。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。膜のリソグラフィパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールを用いて実施される。(1)スピン式またはスプレー式のツールを用いて、ワークピースすなわち基板の上にフォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。   The above describes the implementation of the disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and processes described herein may be used in combination with lithographic patterning tools or processes, for example, for making or manufacturing semiconductor devices, displays, LEDs, solar panels, and the like. In general, such tools / processes, although not necessarily, are used or performed together in a common manufacturing facility. Lithographic patterning of the film typically includes some or all of the following steps, each step being performed using several possible tools. (1) Apply photoresist on workpiece or substrate using spin or spray tool; (2) Cure photoresist using hot plate or oven or UV curing tool; (3 ) Expose the photoresist with visible light, ultraviolet light or X-rays with a tool such as a wafer stepper; (4) Use a tool such as a wet bench to develop the resist to selectively remove the resist, thereby Form a pattern; (5) transfer the resist pattern to the underlying film or workpiece using a dry or plasma assisted etching tool; (6) use a tool such as an RF or microwave plasma resist stripper; Strip the resist.

[実験]
「実験1」
395℃、かつ40Torrの圧力で、バルクタングステンを堆積させるための4通りのプロセスについて、実験を実施した。各プロセスでは、ジボラン(B26)と六フッ化タングステン(WF6)の交互のサイクルを繰り返す原子層堆積(ALD)を用いて堆積させたタングステン核形成層の上に、バルクタングステンを堆積させた。図6は、これら4通りのプロセスの各々について、例示的なパルス化スキームを提示している。プロセス1では、従来の化学気相成長(CVD)のときのように、H2とWF6を同時に、チェンバ内に連続的に流入させる。プロセス2では、H2を連続的に流入させつつ、一方でWF6をパルス化する(例えば、パルスCVD)。プロセス3では、WF6を連続的に流入させつつ、一方でH2をパルス化する(例えば、パルスCVD)。プロセス4では、図2Bに関して上述したような方法(例えば、順次CVD)を用いて、H2とWF6を交互にパルス化する。タングステン核形成層の厚さと、これら4通りのプロセスをそれぞれ用いて堆積させた膜の応力、不均一性、および抵抗率を測定して、以下の表1に編集した。

Figure 2017008412
[Experiment]
Experiment 1”
Experiments were conducted on four processes for depositing bulk tungsten at 395 ° C. and a pressure of 40 Torr. In each process, bulk tungsten is deposited on a tungsten nucleation layer deposited using atomic layer deposition (ALD) in which alternating cycles of diborane (B 2 H 6 ) and tungsten hexafluoride (WF 6 ) are repeated. I let you. FIG. 6 presents an exemplary pulsing scheme for each of these four processes. In process 1, as in conventional chemical vapor deposition (CVD), H 2 and WF 6 are flowed continuously into the chamber simultaneously. In process 2, WF 6 is pulsed (eg, pulsed CVD) while H 2 is continuously flowing. In process 3, WF 6 is continuously introduced while H 2 is pulsed (eg, pulsed CVD). In process 4, H 2 and WF 6 are alternately pulsed using a method as described above with respect to FIG. 2B (eg, sequential CVD). The thickness of the tungsten nucleation layer and the stress, non-uniformity, and resistivity of the films deposited using each of these four processes were measured and compiled in Table 1 below.
Figure 2017008412

表1に示すように、プロセス4を用いて堆積させたタングステン膜の応力と抵抗率はともに、プロセス1〜3のいずれかを用いて堆積させた膜よりも顕著に低い。   As shown in Table 1, both the stress and resistivity of the tungsten film deposited using Process 4 are significantly lower than the film deposited using any of Processes 1-3.

「実験2」
両方ともに、窒化チタン(TiN)バリア層と、B26とWF6の交互のサイクルを繰り返すALDによって堆積させたタングステン核形成層とを有する基板である2つの基板上に、バルクタングステンを堆積させるためのプロセスについて、実験を実施した。一方の基板は、300℃でWF6とH2に同時に基板を暴露することを伴う非順次CVDを用いたバルクタングステン堆積に関わったものである。他方の基板は、10Torrのチェンバ圧力でWF6とH2の交互のパルスを繰り返すことを伴って、図2Bに関して上述したような順次CVDを用いたバルクタングステン堆積に関わったものである。両方の基板について、フッ素濃度を測定した。この実験の条件を表2に示している。結果を、図7にプロットしている。

Figure 2017008412
"Experiment 2"
Bulk tungsten is deposited on two substrates, both of which have a titanium nitride (TiN) barrier layer and a tungsten nucleation layer deposited by ALD that repeats alternating cycles of B 2 H 6 and WF 6. Experiments were conducted on the process to make it happen. One substrate involved bulk tungsten deposition using non-sequential CVD with simultaneous exposure of the substrate to WF 6 and H 2 at 300 ° C. The other substrate is involved in bulk tungsten deposition using sequential CVD as described above with respect to FIG. 2B, with repeated alternating WF 6 and H 2 pulses at a chamber pressure of 10 Torr. The fluorine concentration was measured for both substrates. The conditions of this experiment are shown in Table 2. The results are plotted in FIG.
Figure 2017008412

ライン700は、非順次CVDで堆積されたタングステンを有する基板について、フッ素濃度を示している。ライン701は、順次CVDで堆積されたタングステンを有する基板について、フッ素濃度を示している。約350Åの位置のW/TiN界面のラインは、タングステン核形成層とTiNバリア層との間の界面を表している。約475Åの位置のTiN/酸化物界面の点線ラインは、TiNバリア層と酸化物との間の界面を表している。注目すべきことは、プロットのy軸上のフッ素濃度は、桁の違いによるものであり、順次CVDのフッ素濃度701は、非順次CVDのフッ素濃度700よりも、顕著に低く、いくつかの基板深さにおいてフッ素濃度は最大2桁低いということである。   Line 700 shows the fluorine concentration for a substrate having tungsten deposited by non-sequential CVD. Line 701 shows the fluorine concentration for a substrate having tungsten sequentially deposited by CVD. A line at the W / TiN interface at about 350 mm represents the interface between the tungsten nucleation layer and the TiN barrier layer. The dotted line at the TiN / oxide interface at about 475 mm represents the interface between the TiN barrier layer and the oxide. It should be noted that the fluorine concentration on the y-axis of the plot is due to orders of magnitude, and the sequential CVD fluorine concentration 701 is significantly lower than the non-sequential CVD fluorine concentration 700, and several substrates. This means that the fluorine concentration is up to two orders of magnitude lower in depth.

「実験3」
異なる圧力で基板上にバルクタングステンを堆積させるためのプロセスについて、実験を実施した。3つの基板はそれぞれTiNバリア層を有するものであった。
1つの基板は、10TorrでB26とWF6の交互のサイクルを繰り返すALDにより堆積されたタングステン核形成層の堆積と、その後の、300℃でWF6とH2に基板を暴露することによるバルクタングステンのCVDに関わったものである。もう1つの基板は、10TorrでB26とWF6の交互のサイクルを繰り返すALDにより堆積されたタングステン核形成層の堆積と、その後の、10TorrでWF6とH2の交互のパルスを繰り返すことによるバルクタングステンの順次CVDに関わったものである。第3の基板は、3TorrでB26とWF6の交互のサイクルを繰り返すことにより堆積されたタングステン核形成層のALDと、その後の、10TorrでWF6とH2の交互のパルスを用いたバルクタングステンの順次CVDに関わったものである。3つの基板すべてについて、フッ素濃度を測定した。この実験の条件を、表3に示している。結果を、図8にプロットしている。

Figure 2017008412
“Experiment 3”
Experiments were conducted on a process for depositing bulk tungsten on a substrate at different pressures. Each of the three substrates had a TiN barrier layer.
One substrate is deposited by tungsten nucleation layer deposited by ALD repeating alternating cycles of B 2 H 6 and WF 6 at 10 Torr, followed by exposure of the substrate to WF 6 and H 2 at 300 ° C. Is involved in CVD of bulk tungsten. Another substrate repeats the deposition of a tungsten nucleation layer deposited by ALD, repeating alternating cycles of B 2 H 6 and WF 6 at 10 Torr, followed by alternating pulses of WF 6 and H 2 at 10 Torr. This is related to the sequential CVD of bulk tungsten. The third substrate uses an ALD of tungsten nucleation layer deposited by repeating alternating cycles of B 2 H 6 and WF 6 at 3 Torr, followed by alternating pulses of WF 6 and H 2 at 10 Torr. This was related to the sequential CVD of bulk tungsten. The fluorine concentration was measured for all three substrates. Table 3 shows the conditions for this experiment. The results are plotted in FIG.
Figure 2017008412

ライン800は、非順次CVDによりバルクタングステンが堆積された第1の基板について、フッ素濃度を表している。破線ライン801は、10Torrでの核形成層の堆積に続いて、順次CVDによりバルクタングステンが堆積された第2の基板について、フッ素濃度を表している。点線ライン803は、3Torrでの核形成層の堆積に続いて、順次CVDによりバルクタングステンが堆積された第3の基板について、フッ素濃度を表している。結果は、低圧での核形成層の後に続く順次CVD(803)では、W/TiN界面であっても、さらには(350Åと475Åの間の)TiN層においても、第2の基板(801)よりも低いフッ素濃度が示されたことを、示している。これは、タングステン膜中のフッ素濃度の減量によって、TiN層および酸化物へのフッ素の拡散が低減され得ることを示唆している。   Line 800 represents the fluorine concentration for the first substrate on which bulk tungsten is deposited by non-sequential CVD. Dashed line 801 represents the fluorine concentration for the second substrate on which bulk tungsten was deposited sequentially by CVD following deposition of the nucleation layer at 10 Torr. Dotted line 803 represents the fluorine concentration for a third substrate on which bulk tungsten was deposited sequentially by CVD following deposition of the nucleation layer at 3 Torr. The result is that in sequential CVD (803) following the nucleation layer at low pressure, the second substrate (801) at the W / TiN interface or even at the TiN layer (between 350 and 475). It indicates that a lower fluorine concentration was shown. This suggests that the diffusion of fluorine into the TiN layer and oxide can be reduced by reducing the fluorine concentration in the tungsten film.

「実験4」
タングステン堆積の異なる組み合わせを用いて基板上にバルクタングステンを堆積させるためのプロセスについて、実験を実施した。3つの基板で比較した。1つの基板は、1kÅの熱酸化物と、30ÅのTiNと、WF6とB26の交互のパルスを繰り返すALDを用いて3Torrで堆積された18Åのタングステン核形成層と、WF6とH2のパルスによる順次CVDを用いて10Torrで堆積されたバルクタングステンと、を有するものであった。この基板のフッ素濃度を、図9に破線ライン912で示している。もう1つの基板は、1kÅの熱酸化物と、30ÅのTiNと、10Åのフッ素フリータングステンと、WF6とB26の交互のパルスを繰り返すALDを用いて3Torrで堆積された12Åのタングステン核形成層と、WF6とH2のパルスを用いた10Torrでの順次CVDにより堆積されたバルクタングステンと、を有するものであった。この第2の基板のフッ素濃度を、図9にライン911で示している。第3の基板は、5kÅのTEOS堆積による酸化物と、30Åのフッ素フリータングステンと、WF6とB26の交互のパルスを繰り返すALDを用いて3Torrで堆積された12Åのタングステン核形成層と、WF6とH2を用いた10Torrでの順次CVDにより堆積されたバルクタングステンと、を有するものであった。この基板のフッ素濃度を、図9に点線ライン913で示している。この実験で各基板に堆積させた層を、表4に要約している。

Figure 2017008412
“Experiment 4”
Experiments were conducted on a process for depositing bulk tungsten on a substrate using different combinations of tungsten deposition. Comparison was made with three substrates. One substrate consists of an 18 K tungsten nucleation layer deposited at 3 Torr using an ALD that repeats alternating pulses of 1 K K thermal oxide, 30 K TiN, alternating WF 6 and B 2 H 6 , WF 6 , And bulk tungsten deposited at 10 Torr using sequential CVD with H 2 pulses. The fluorine concentration of this substrate is indicated by a broken line 912 in FIG. The other substrate was 12 K tungsten deposited at 3 Torr using ALD with repeating alternating pulses of 1 K thermal oxide, 30 K TiN, 10 K fluorine free tungsten, and WF 6 and B 2 H 6. It had a nucleation layer and bulk tungsten deposited by sequential CVD at 10 Torr using WF 6 and H 2 pulses. The fluorine concentration of the second substrate is indicated by a line 911 in FIG. The third substrate is a 12 タ ン グ ス テ ン tungsten nucleation layer deposited at 3 Torr using an ALD that repeats alternating pulses of WF 6 and B 2 H 6 with oxide from 5 k Å TEOS deposition, 30 フ ッ 素 fluorine-free tungsten, and WF 6 and B 2 H 6. And bulk tungsten deposited by sequential CVD at 10 Torr using WF 6 and H 2 . The fluorine concentration of this substrate is indicated by a dotted line 913 in FIG. The layers deposited on each substrate in this experiment are summarized in Table 4.
Figure 2017008412

図9に示すように、フッ素フリータングステンと、低圧での核形成層と、順次CVDとの組み合わせを用いて堆積させた膜についてのフッ素濃度では、フッ素拡散がより少なかった(W/TiN界面を越えた425Å超の深さにおけるライン911およびライン913を参照)。核形成層付近のフッ素濃度は、基板上に堆積させたより多くのフッ素フリータングステンを有する膜の場合に、300Åと425Åの間において最も低かったが、一方、バルクタングステンは、フッ素フリータングステン層を有することなく低圧での核形成と順次CVDを用いて堆積させた膜の場合に、約50Åと300Åの間においてフッ素濃度がより低かった(ライン912を参照)。これらの結果は、フッ素フリータングステンの堆積と、タングステンの順次CVDとの組み合わせによって、結果的に、極めて低いフッ素濃度およびフッ素拡散の低減を実現したタングステン膜が得られる場合があることを示唆している。   As shown in FIG. 9, there was less fluorine diffusion at the fluorine concentration for films deposited using a combination of fluorine-free tungsten, low pressure nucleation layers, and sequential CVD (W / TiN interface). (See line 911 and line 913 at depths greater than 425 mm beyond). The fluorine concentration near the nucleation layer was lowest between 300 and 425% for films with more fluorine-free tungsten deposited on the substrate, whereas bulk tungsten has a fluorine-free tungsten layer. In the case of films deposited using low pressure nucleation and sequential CVD without lowering, the fluorine concentration was lower between about 50% and 300% (see line 912). These results suggest that the combination of fluorine-free tungsten deposition and sequential CVD of tungsten may result in a tungsten film that achieves a very low fluorine concentration and reduced fluorine diffusion. Yes.

「実験5」
低圧と高圧での核形成層の堆積との組み合わせで、順次CVDにより膜を堆積させるプロセスについて、実験を実施した。一方の基板は、10TorrでWF6とB26の交互のサイクルを繰り返すALDを用いて堆積されたタングステン核形成層と、10TorrでWF6とH2の交互のパルスを用いて、上述のように図2Bに従った順次CVDにより堆積されたバルクタングステンと、を有するものであった。膜の応力および抵抗率を、いくつかの厚さの位置で測定したものを、図10Aおよび10Bにライン1001「低圧での核形成」として示している。他方の基板は、40TorrでWF6とB26の交互のサイクルを繰り返すALDを用いて堆積されたタングステン核形成層と、10TorrでWF6とH2の交互のパルスを用いて、上述のように図2Bに従った順次CVDにより堆積されたバルクタングステンと、を有するものであった。膜の応力および抵抗率を、いくつかの厚さの位置で測定したものを、図10Aおよび10Bにライン1002「高圧での核形成」として示している。これらの核形成層およびバルク層の堆積条件を、表5に示している。

Figure 2017008412
"Experiment 5"
Experiments were carried out on the process of depositing films sequentially by CVD in combination with low pressure and high pressure nucleation layer deposition. One substrate uses a tungsten nucleation layer deposited using ALD that repeats alternating cycles of WF 6 and B 2 H 6 at 10 Torr and the above described pulse using alternating pulses of WF 6 and H 2 at 10 Torr. As shown in FIG. 2B, bulk tungsten deposited by sequential CVD according to FIG. 2B. Film stress and resistivity measured at several thickness locations are shown as lines 1001 “low pressure nucleation” in FIGS. 10A and 10B. The other substrate is a tungsten nucleation layer deposited using ALD that repeats alternating cycles of WF 6 and B 2 H 6 at 40 Torr, and alternating pulses of WF 6 and H 2 at 10 Torr, as described above. As shown in FIG. 2B, bulk tungsten deposited by sequential CVD according to FIG. 2B. Film stress and resistivity measured at several thickness locations are shown in FIGS. 10A and 10B as line 1002 “nucleation at high pressure”. The deposition conditions for these nucleation layers and bulk layers are shown in Table 5.
Figure 2017008412

結果に示されているように、低圧で堆積された核形成層を有する基板は、高圧で堆積された核形成層を有する基板よりも、応力が顕著に低かったが、一方、抵抗率は、略同じままであった。   As shown in the results, the substrate with the nucleation layer deposited at low pressure was significantly less stressed than the substrate with the nucleation layer deposited at high pressure, while the resistivity was It remained almost the same.

「実験6」
低温と高温での核形成層の堆積との組み合わせで、順次CVDにより膜を堆積させるプロセスについて、実験を実施した。一方の基板は、10Torrかつ250℃でWF6とB26の交互のサイクルを繰り返すALDを用いて堆積されたタングステン核形成層と、10TorrでWF6とH2の交互のパルスを用いて、上述のように図2Bに従った順次CVDにより堆積されたバルクタングステンと、を有するものであった。膜の応力および抵抗率を、いくつかの厚さの位置で測定したものを、図11Aおよび11Bにライン1102「低温での核形成」として示している。他方の基板は、10Torrかつ300℃でWF6とB26の交互のサイクルを繰り返すALDを用いて堆積されたタングステン核形成層と、10TorrでWF6とH2の交互のパルスを用いて、上述のように図2Bに従った順次CVDにより堆積されたバルクタングステンと、を有するものであった。膜の応力および抵抗率を、いくつかの厚さの位置で測定したものを、図11Aおよび11Bにライン1102「高温での核形成」として示している。これらの核形成層およびバルク層の堆積条件を、表6に示している。

Figure 2017008412
“Experiment 6”
Experiments were conducted on the process of depositing films sequentially by CVD in combination with low temperature and high temperature nucleation layer deposition. One substrate uses a tungsten nucleation layer deposited using ALD that repeats alternating cycles of WF 6 and B 2 H 6 at 10 Torr and 250 ° C., and using alternating pulses of WF 6 and H 2 at 10 Torr. And bulk tungsten deposited by sequential CVD according to FIG. 2B as described above. Film stress and resistivity measured at several thickness locations are shown in FIGS. 11A and 11B as line 1102 “low temperature nucleation”. The other substrate is a tungsten nucleation layer deposited using ALD that repeats alternating cycles of WF 6 and B 2 H 6 at 10 Torr and 300 ° C., and using alternating pulses of WF 6 and H 2 at 10 Torr. And bulk tungsten deposited by sequential CVD according to FIG. 2B as described above. Film stress and resistivity measured at several thickness locations are shown as lines 1102 “high temperature nucleation” in FIGS. 11A and 11B. The deposition conditions for these nucleation layers and bulk layers are shown in Table 6.
Figure 2017008412

結果に示されているように、低温で堆積された核形成層を有する基板は、高温で堆積された核形成層を有する基板よりも、応力が顕著に低かったが、一方、より高温で堆積された膜の抵抗率は、より低温で堆積された膜の抵抗率よりも、わずかに低かった。これらの結果は、より低温での核形成層の堆積と、順次CVDによるバルク堆積との組み合わせによって、膜の応力を顕著に低減できることを示唆している。   As shown in the results, the substrate with a nucleation layer deposited at a low temperature was significantly less stressed than the substrate with a nucleation layer deposited at a high temperature, whereas it deposited at a higher temperature. The resistivity of the deposited film was slightly lower than that of the film deposited at a lower temperature. These results suggest that the combination of nucleation layer deposition at lower temperatures and sequential bulk deposition by CVD can significantly reduce film stress.

[結論]
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の請求項の範囲内でいくらかの変更および変形を実施してよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。
[Conclusion]
Although the above embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of embodiments of the present invention. Accordingly, the embodiments of the present invention are to be regarded as illustrative and not limiting, and the embodiments are not limited to the details presented herein.

Claims (22)

フィーチャを充填する方法であって、
(a)基板上にタングステン核形成層を堆積させるために、チェンバ内で還元剤と第1のタングステン含有前駆体の交互のパルスに前記基板を暴露することと、
(b)前記タングステン核形成層の上にバルクタングステン層を堆積させるために、水素と第2のタングステン含有前駆体の交互のパルスに前記基板を暴露することと、を含み、
(a)におけるチェンバ圧力は、10Torr以下である、方法。
A method of filling features,
(A) exposing the substrate to alternating pulses of a reducing agent and a first tungsten-containing precursor in a chamber to deposit a tungsten nucleation layer on the substrate;
(B) exposing the substrate to alternating pulses of hydrogen and a second tungsten-containing precursor to deposit a bulk tungsten layer over the tungsten nucleation layer;
The chamber pressure in (a) is 10 Torr or less.
請求項1に記載の方法であって、さらに、
(c)第2のバルクタングステン層を堆積させるために、還元剤と第3のタングステン含有前駆体に同時に前記基板を暴露すること、を含む、方法。
The method of claim 1, further comprising:
(C) exposing the substrate simultaneously to a reducing agent and a third tungsten-containing precursor to deposit a second bulk tungsten layer.
請求項2に記載の方法であって、さらに、
(d)として、(b)の2サイクル以上の実施ごとに(c)を実施すること、を含み、(b)の1サイクルには、水素のパルスと前記第2のタングステン含有前駆体のパルスが含まれる、方法。
The method of claim 2, further comprising:
(D) includes performing (c) every two or more cycles of (b), wherein one cycle of (b) includes a pulse of hydrogen and a pulse of the second tungsten-containing precursor. Include the method.
請求項1ないし3のいずれかに記載の方法であって、
(b)は、水素のパルスと前記タングステン含有前駆体のパルスを含むサイクルで実施され、各サイクルで、少なくとも約0.3Åの厚さを有するサブ原子層を形成する、方法。
A method according to any one of claims 1 to 3,
(B) is performed in cycles comprising a pulse of hydrogen and a pulse of said tungsten-containing precursor, each cycle forming a subatomic layer having a thickness of at least about 0.3 mm.
請求項1ないし3のいずれかに記載の方法であって、
前記第1のタングステン含有前駆体は、前記第2のタングステン含有前駆体とは異なるものである、方法。
A method according to any one of claims 1 to 3,
The method wherein the first tungsten-containing precursor is different from the second tungsten-containing precursor.
請求項5に記載の方法であって、
前記第1のタングステン含有前駆体は、フッ素フリーのものである、方法。
6. A method according to claim 5, wherein
The method wherein the first tungsten-containing precursor is fluorine-free.
請求項1ないし3のいずれかに記載の方法であって、
前記堆積されたタングステン核形成層および前記堆積されたバルクタングステン層は、500Åの堆積あたり、約1GPa未満の引張応力を有する、方法。
A method according to any one of claims 1 to 3,
The deposited tungsten nucleation layer and the deposited bulk tungsten layer have a tensile stress of less than about 1 GPa per 500Å deposition.
基板上にタングステンを堆積させる方法であって、前記方法は、
(a)前記基板上にタングステン層を堆積させることであって、
(i)還元剤に前記基板を暴露すること、および、
(ii)第1のフッ素フリータングステン含有前駆体に前記基板を暴露することにより、(a)前記基板上にタングステン層を堆積させることと、
(b)バルクタングステン層をサイクルで堆積させることと、を含み、(b)は、
(i)水素(H2)に前記基板を暴露すること、
(ii)第2のタングステン含有前駆体に前記基板を暴露すること、および、
(iii)前記バルクタングステン層を堆積させるために(i)−(ii)を1回以上のサイクルで繰り返すこと、を含む、方法。
A method of depositing tungsten on a substrate, the method comprising:
(A) depositing a tungsten layer on the substrate;
(I) exposing the substrate to a reducing agent; and
(Ii) exposing the substrate to a first fluorine-free tungsten-containing precursor; (a) depositing a tungsten layer on the substrate;
(B) cyclically depositing a bulk tungsten layer, and (b)
(I) exposing the substrate to hydrogen (H 2 );
(Ii) exposing the substrate to a second tungsten-containing precursor; and
(Iii) repeating (i)-(ii) in one or more cycles to deposit the bulk tungsten layer.
請求項8に記載の方法であって、
前記第1のフッ素フリータングステン含有前駆体は、有機金属タングステン含有前駆体、およびヘキサカルボニルタングステン、からなる群から選択される、方法。
The method according to claim 8, comprising:
The method wherein the first fluorine-free tungsten-containing precursor is selected from the group consisting of organometallic tungsten-containing precursors and hexacarbonyl tungsten.
請求項8または9に記載の方法であって、
(a)において、前記タングステン層は、約2Å〜約100Åの間の厚さに堆積される、方法。
10. A method according to claim 8 or 9, wherein
In (a), the tungsten layer is deposited to a thickness between about 2 and about 100 inches.
請求項8または9に記載の方法であって、
(b)における各サイクルは、少なくとも約0.3Åの厚さを有するサブ原子層を形成する、方法。
10. A method according to claim 8 or 9, wherein
Each cycle in (b) forms a subatomic layer having a thickness of at least about 0.3 mm.
フィーチャを充填する方法であって、
(a)基板上にバルクタングステン層を堆積させるために、水素と第1のタングステン含有前駆体の交互のパルスに前記基板を暴露することと、
(b)前記基板上に第2のバルクタングステン層を堆積させるために、第2のタングステン含有前駆体と還元剤に同時に前記基板を暴露することと、を含む方法。
A method of filling features,
(A) exposing the substrate to alternating pulses of hydrogen and a first tungsten-containing precursor to deposit a bulk tungsten layer on the substrate;
(B) exposing the substrate simultaneously to a second tungsten-containing precursor and a reducing agent to deposit a second bulk tungsten layer on the substrate.
請求項12に記載の方法であって、
(a)と(b)を順次繰り返す、方法。
The method of claim 12, comprising:
A method in which (a) and (b) are sequentially repeated.
請求項12に記載の方法であって、
(b)における前記タングステン含有前駆体は、有機金属タングステン含有前駆体、塩化タングステン、およびヘキサカルボニルタングステン、からなる群から選択されたフッ素フリータングステン含有前駆体である、方法。
The method of claim 12, comprising:
The tungsten-containing precursor in (b) is a fluorine-free tungsten-containing precursor selected from the group consisting of an organometallic tungsten-containing precursor, tungsten chloride, and hexacarbonyl tungsten.
請求項12ないし14のいずれかに記載の方法であって、
前記第1のタングステン含有前駆体は、前記第2のタングステン含有前駆体とは異なるものである、方法。
15. A method according to any one of claims 12 to 14, comprising
The method wherein the first tungsten-containing precursor is different from the second tungsten-containing precursor.
基板を処理するための装置であって、前記装置は、
(a)基板を保持するように構成された台を有する少なくとも1つの処理チェンバと、
(b)真空に接続するための少なくとも1つの出口と、
(c)1つ以上の処理ガス源に接続された1つ以上の処理ガス導入口と、
(d)前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、
(i)還元剤と第1のタングステン含有前駆体を交互のパルスで前記処理チェンバに導入するための機械可読命令、および、
(ii)水素と第2のタングステン含有前駆体を交互のパルスで前記処理チェンバに導入するための機械可読命令を含み、
(i)におけるチェンバ圧力は、10Torr以下である、装置。
An apparatus for processing a substrate, the apparatus comprising:
(A) at least one processing chamber having a platform configured to hold a substrate;
(B) at least one outlet for connection to a vacuum;
(C) one or more process gas inlets connected to one or more process gas sources;
(D) a controller for controlling operations in the device, the controller comprising:
(I) machine-readable instructions for introducing a reducing agent and a first tungsten-containing precursor into the processing chamber in alternating pulses; and
(Ii) machine-readable instructions for introducing hydrogen and a second tungsten-containing precursor into the processing chamber in alternating pulses;
The chamber pressure in (i) is 10 Torr or less.
請求項16に記載の装置であって、さらに、
前記コントローラは、(iii)第2のバルクタングステン層を堆積させるために、還元剤と第3のタングステン含有前駆体を同時に前記処理チェンバに導入するための機械可読命令を含む、装置。
The apparatus of claim 16, further comprising:
The controller includes (iii) machine-readable instructions for simultaneously introducing a reducing agent and a third tungsten-containing precursor into the processing chamber to deposit a second bulk tungsten layer.
請求項16に記載の装置であって、さらに、
前記コントローラは、(iv)として、(ii)の2サイクル以上の実施ごとに(iii)を実施するための機械可読命令を含み、(ii)の1サイクルには、水素のパルスと前記第2のタングステン含有前駆体のパルスが含まれる、装置。
The apparatus of claim 16, further comprising:
The controller includes, as (iv), machine readable instructions for performing (iii) every two or more cycles of (ii), wherein one cycle of (ii) includes a pulse of hydrogen and the second A device comprising a pulse of a tungsten-containing precursor.
請求項16ないし18のいずれかに記載の装置であって、
前記第1のタングステン含有前駆体は、前記第2のタングステン含有前駆体とは異なるものである、装置。
An apparatus according to any of claims 16 to 18, comprising
The first tungsten-containing precursor is different from the second tungsten-containing precursor.
請求項16ないし18のいずれかに記載の装置であって、
前記第1のタングステン含有前駆体は、フッ素フリーのものである、装置。
An apparatus according to any of claims 16 to 18, comprising
The apparatus wherein the first tungsten-containing precursor is fluorine-free.
基板を処理するための装置であって、前記装置は、
(a)基板を保持するように構成された台を有する少なくとも1つの処理チェンバと、
(b)真空に接続するための少なくとも1つの出口と、
(c)1つ以上の処理ガス源に接続された1つ以上の処理ガス導入口と、
(d)前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、
(i)バルクタングステン層を堆積させるために、水素と第1のタングステン含有前駆体を交互のパルスで前記処理チェンバに導入するための機械可読命令、および、
(ii)第2のバルクタングステン層を堆積させるために、第2のタングステン含有前駆体と還元剤を同時に前記処理チェンバに導入するための機械可読命令を含む、装置。
An apparatus for processing a substrate, the apparatus comprising:
(A) at least one processing chamber having a platform configured to hold a substrate;
(B) at least one outlet for connection to a vacuum;
(C) one or more process gas inlets connected to one or more process gas sources;
(D) a controller for controlling operations in the device, the controller comprising:
(I) machine-readable instructions for introducing hydrogen and a first tungsten-containing precursor into the processing chamber in alternating pulses to deposit a bulk tungsten layer; and
(Ii) an apparatus comprising machine readable instructions for simultaneously introducing a second tungsten-containing precursor and a reducing agent into the processing chamber to deposit a second bulk tungsten layer.
請求項21に記載の装置であって、
前記コントローラは、さらに、(i)と(ii)を順次繰り返すための機械可読命令を含む、装置。
The apparatus of claim 21, comprising:
The controller further includes machine readable instructions for sequentially repeating (i) and (ii).
JP2016104837A 2015-05-27 2016-05-26 Deposit of low fluorine tungsten by continuous CVD process Active JP7092456B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/723,270 2015-05-27
US14/723,270 US9613818B2 (en) 2015-05-27 2015-05-27 Deposition of low fluorine tungsten by sequential CVD process
US201662328759P 2016-04-28 2016-04-28
US62/328,759 2016-04-28

Publications (3)

Publication Number Publication Date
JP2017008412A true JP2017008412A (en) 2017-01-12
JP2017008412A5 JP2017008412A5 (en) 2021-08-26
JP7092456B2 JP7092456B2 (en) 2022-06-28

Family

ID=57573895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016104837A Active JP7092456B2 (en) 2015-05-27 2016-05-26 Deposit of low fluorine tungsten by continuous CVD process

Country Status (3)

Country Link
JP (1) JP7092456B2 (en)
KR (1) KR102397797B1 (en)
TW (1) TWI747825B (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018184636A (en) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 Method for forming tungsten film
KR20190123804A (en) * 2017-06-05 2019-11-01 어플라이드 머티어리얼스, 인코포레이티드 Ways to lower wordline resistance
JP2020020043A (en) * 2018-07-30 2020-02-06 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. Method of depositing tungsten
JP2020033630A (en) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 Film deposition method and film deposition system
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device
JP2020526669A (en) * 2017-07-13 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods and equipment for depositing tungsten nucleation layers
KR20200123863A (en) * 2018-04-30 2020-10-30 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Heterogeneous wet synthesis process for the production of high purity tungsten pentahalides
WO2021044929A1 (en) * 2019-09-04 2021-03-11 東京エレクトロン株式会社 Substrate processing method and substrate processing device
JP2023516862A (en) * 2020-06-30 2023-04-21 アプライド マテリアルズ インコーポレイテッド Selective tungsten deposition at low temperature
JP2023516866A (en) * 2020-12-03 2023-04-21 アプライド マテリアルズ インコーポレイテッド Selective tungsten deposition in trench structures
US11972952B2 (en) 2019-12-13 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
KR102424993B1 (en) 2017-09-11 2022-07-25 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
JP7273323B2 (en) * 2018-08-17 2023-05-15 セントラル硝子株式会社 Manufacturing method of tungsten hexafluoride
KR20200099112A (en) 2019-02-13 2020-08-21 세종대학교산학협력단 Composition for reducing metal precusor in ald process, and method of producing metal thin film using the same
KR20220060818A (en) 2020-11-05 2022-05-12 세종대학교산학협력단 Tunsten precusor, method and apparatus for deposition of tungsten film using the same
CN115836380A (en) * 2020-11-20 2023-03-21 朗姆研究公司 Low resistance pulsed CVD tungsten

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008303466A (en) * 2001-10-10 2008-12-18 Applied Materials Inc Method for depositing refractory metal layer employing sequential deposition techniques
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
JP2015067869A (en) * 2013-09-30 2015-04-13 株式会社日立国際電気 Method of manufacturing semiconductor device, and substrate processing apparatus and program

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101356332B1 (en) * 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8975142B2 (en) * 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008303466A (en) * 2001-10-10 2008-12-18 Applied Materials Inc Method for depositing refractory metal layer employing sequential deposition techniques
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
JP2015067869A (en) * 2013-09-30 2015-04-13 株式会社日立国際電気 Method of manufacturing semiconductor device, and substrate processing apparatus and program

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018184636A (en) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 Method for forming tungsten film
JP2020522877A (en) * 2017-06-05 2020-07-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated How to reduce word line resistance
KR20190123804A (en) * 2017-06-05 2019-11-01 어플라이드 머티어리얼스, 인코포레이티드 Ways to lower wordline resistance
CN110678972A (en) * 2017-06-05 2020-01-10 应用材料公司 Method for reducing word line resistance
KR102270458B1 (en) 2017-06-05 2021-06-29 어플라이드 머티어리얼스, 인코포레이티드 Ways to lower wordline resistance
JP2020526669A (en) * 2017-07-13 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods and equipment for depositing tungsten nucleation layers
JP7093888B2 (en) 2018-04-30 2022-06-30 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Non-uniform wet synthesis process for the preparation of high-purity tungsten pentahalogenates
KR20200123863A (en) * 2018-04-30 2020-10-30 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Heterogeneous wet synthesis process for the production of high purity tungsten pentahalides
JP2021520338A (en) * 2018-04-30 2021-08-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Non-uniform wet synthetic process for the preparation of high-purity tungsten pentahalogenates
KR102426525B1 (en) 2018-04-30 2022-07-27 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Heterogeneous Wet Synthesis Process for Preparation of High Purity Tungsten Pentahalide
JP2020020043A (en) * 2018-07-30 2020-02-06 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. Method of depositing tungsten
JP2020033630A (en) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 Film deposition method and film deposition system
US11401609B2 (en) 2018-08-31 2022-08-02 Tokyo Electron Limited Film forming method and film forming system
JP7138518B2 (en) 2018-08-31 2022-09-16 東京エレクトロン株式会社 Film forming method and film forming system
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device
WO2021044929A1 (en) * 2019-09-04 2021-03-11 東京エレクトロン株式会社 Substrate processing method and substrate processing device
US11972952B2 (en) 2019-12-13 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
JP2023516862A (en) * 2020-06-30 2023-04-21 アプライド マテリアルズ インコーポレイテッド Selective tungsten deposition at low temperature
JP2023516866A (en) * 2020-12-03 2023-04-21 アプライド マテリアルズ インコーポレイテッド Selective tungsten deposition in trench structures

Also Published As

Publication number Publication date
KR20160140448A (en) 2016-12-07
JP7092456B2 (en) 2022-06-28
TWI747825B (en) 2021-12-01
TW201715067A (en) 2017-05-01
KR102397797B1 (en) 2022-05-12

Similar Documents

Publication Publication Date Title
JP7092456B2 (en) Deposit of low fluorine tungsten by continuous CVD process
US11355345B2 (en) Method for preventing line bending during metal fill process
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
TWI709656B (en) Tungsten films having low fluorine content
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
JP2017008412A5 (en)
TWI704251B (en) Tungsten for wordline applications
JP2021523292A (en) How to deposit tungsten and other metals in a 3D NAND structure
CN111357083A (en) Self-limiting growth
TW201606121A (en) Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
TWI672737B (en) Tungsten nucleation process to enable low resistivity tungsten feature fill
JP2022180422A (en) Vapor deposition of metal film
JP2022513479A (en) Atomic layer deposition on 3D NAND structure
TW202237880A (en) Low resistance pulsed cvd tungsten
KR102637315B1 (en) Deposition of tungsten nitride barrier layer
KR20220044601A (en) Reduced line bending during metal filling process
TWI831756B (en) Method and apparatus for forming metal film
TW202239998A (en) Low resistivity contacts and interconnects
KR20240052872A (en) Process gas ramping during semiconductor processing
WO2023038905A1 (en) Process gas ramp during semiconductor processing
TW202338134A (en) Gradient liner in metal fill
TW202401671A (en) Tungsten wordline fill in high aspect ratio 3d nand architecture
CN115803473A (en) Deposition of molybdenum

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190521

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200609

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210413

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20210713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220616

R150 Certificate of patent or registration of utility model

Ref document number: 7092456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150