TW201644054A - 半導體裝置結構及其形成方法 - Google Patents

半導體裝置結構及其形成方法 Download PDF

Info

Publication number
TW201644054A
TW201644054A TW104138701A TW104138701A TW201644054A TW 201644054 A TW201644054 A TW 201644054A TW 104138701 A TW104138701 A TW 104138701A TW 104138701 A TW104138701 A TW 104138701A TW 201644054 A TW201644054 A TW 201644054A
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
dielectric
semiconductor device
trench
Prior art date
Application number
TW104138701A
Other languages
English (en)
Other versions
TWI615971B (zh
Inventor
張哲誠
林志翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201644054A publication Critical patent/TW201644054A/zh
Application granted granted Critical
Publication of TWI615971B publication Critical patent/TWI615971B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供半導體裝置結構及其形成方法,半導體裝置結構包含在基底上形成的第一金屬層,以及在第一金屬層上形成的介電層。半導體裝置結構更包含在介電層內和第一金屬層上形成的黏著層,以及在介電層內形成的第二金屬層。第二金屬層電連接於第一金屬層,且一部分的黏著層形成於第二金屬層和介電層之間,黏著層包含內襯於第二金屬層頂部之第一部分,且第一部分沿垂直方向有延伸部分。

Description

半導體裝置結構及其形成方法
本發明是關於半導體裝置技術,特別有關於具有互連結構之鰭式場效電晶體(fin field effect transistor,FinFET)裝置結構及其形成方法。
半導體裝置被廣泛用在各式各樣的電子應用中,例如個人電腦,手機,數位相機和其他電子設備。典型上,半導體裝置的製造是藉著在半導體基底上依序沉積絕緣或介電層、導電層和半導體層材料,以及利用微影圖案化不同的材料層以形成電路組件及元件在半導體基底上。很多積體電路典型被製造在單一半導體晶圓上,且晶圓上的個別晶粒是藉由切割積體電路間的刻線來分離。典型的單一晶粒是各自封裝,例如在多晶片模組或其他種類的封裝。
在半導體裝置的製造中,半導體裝置的尺寸不斷地縮小以增加裝置密度。因此,多層互連(interconnect)結構被提出。此互連結構可包含一或多條導電線和導孔層。
雖然現存的互連結構和其製造方法已普遍滿足原先預期的目的,但它們還未在各方面皆完全地符合要求。
本揭示提供了半導體裝置結構及其形成方法的實施例。半導體裝置結構包含在基底上形成的鰭式場效電晶體(FinFET)結構,以及形成在FinFET結構上的互連結構。互連結構包含具溝槽-導孔結構的雙鑲嵌(dual damascene)結構。在介電層內形成溝槽開口和導孔開口,且在溝槽開口和導孔開口內填入導電特徵(又稱為第二金屬層)以形成溝槽-導孔結構。
在暴露出第一金屬層之前,於溝槽-導孔結構上形成黏著層,此黏著層是不連續層,且有延伸部分。設置此黏著層以改善介電層和第二金屬層之間的黏著性,以及防止過度蝕刻溝槽。因此,防止第二金屬層的剝離問題和收縮問題,再者,改善半導體裝置結構的效能。
在一些實施例中,提供半導體裝置結構。半導體裝置結構包含在基底上形成的第一金屬層和在第一金屬層上形成的介電層。半導體裝置結構更包含在介電層內和第一金屬層上形成的黏著層,以及在介電層內形成的第二金屬層。第二金屬層電連接於第一金屬層,且一部分的黏著層形成於第二金屬層和介電層之間。黏著層包含內襯於第二金屬層頂部之第一部分,且第一部分沿垂直方向有延伸部分。
在一些實施例中,提供半導體裝置結構。半導體裝置結構包含在基底上形成的第一金屬層和在第一金屬層上形成的介電層。半導體裝置結構包含在介電層內和第一金屬層上形成的黏著層,以及在介電層上形成的第二金屬層。黏著層形成於第二金屬層和介電層之間,且第二金屬層包含導孔部和在導孔部上的溝槽部。黏著層包含鄰接於第二金屬層之溝槽部 的第一部分,此第一部分有延伸尖端,且此延伸尖端之位置設在低於第二金屬層導孔部的最高點。
在一些實施例中,提供形成半導體裝置結構的方法。此方法包含在基底上形成第一金屬層,以及在第一金屬層上形成蝕刻停止層。此方法包含在蝕刻停止層上形成介電層,以及在介電層內形成溝槽開口和導孔開口。溝槽開口有延伸部分。此方法包含在溝槽開口和導孔開口的側壁和底面形成黏著層,在第一金屬層正上方移除一部分蝕刻停止層,以及移除一部分黏著層以暴露一部分介電層。此方法包含在導孔開口和溝槽開口內填入第二金屬層,且第二金屬層電連接於第一金屬層。
100‧‧‧半導體裝置結構
102‧‧‧基底
11‧‧‧第一區域
12‧‧‧第二區域
20‧‧‧鰭式結構
22‧‧‧隔離結構
24‧‧‧源/汲極結構
32‧‧‧閘極介電層
34‧‧‧閘極電極層
36‧‧‧間隔物
40‧‧‧接觸結構
50‧‧‧溝槽-導孔結構
50a、50b、50c‧‧‧互連結構
104‧‧‧第一金屬層
104a‧‧‧第一金屬層之第一部分
104b‧‧‧第一金屬層之第二部分
106‧‧‧第一介電層
110‧‧‧蝕刻停止層
112‧‧‧第二介電層
114‧‧‧抗反射層
116‧‧‧硬遮罩層
120‧‧‧第一光阻結構
124‧‧‧底層
126‧‧‧中間層
128‧‧‧頂層
128a、228a‧‧‧頂層之第一部分
128b、228b‧‧‧頂層之第二部分
128c、228c‧‧‧頂層之第三部分
130‧‧‧黏著層
130a‧‧‧黏著層之第一部分
130b‧‧‧黏著層之第二部分
131‧‧‧第一表面
133a‧‧‧第一圓滑側壁
133b‧‧‧第二圓滑側壁
142‧‧‧第二金屬層
142a‧‧‧溝槽部
142b‧‧‧介面部
142c‧‧‧導孔部
220‧‧‧第二光阻結構
224‧‧‧底層
226‧‧‧中間層
228‧‧‧頂層
302a‧‧‧第一凹陷
302b‧‧‧第二凹陷
304a‧‧‧第一開口
304b‧‧‧第二開口
306a‧‧‧第一導孔開口
306b‧‧‧第二導孔開口
308a‧‧‧第一溝槽開口
308b‧‧‧第二溝槽開口
310‧‧‧第一蝕刻製程
330‧‧‧第二蝕刻製程
350‧‧‧第三蝕刻製程
370‧‧‧第四蝕刻製程
506‧‧‧導孔開口
508‧‧‧溝槽開口
D1、W1‧‧‧第一寬度
D2、W2‧‧‧第二寬度
D3、W3‧‧‧第三寬度
D4、W4‧‧‧第四寬度
H1、H2、H3‧‧‧深度
Q1、R1‧‧‧最高點
t1‧‧‧最低點
W5、W6‧‧‧寬度
藉由以下的詳述配合所附圖式,可以更加理解本揭示的觀點。值得注意的是,根據工業上的標準慣例,許多特徵並沒有按照比例繪製。事實上,為了能清楚地討論,不同特徵的尺寸可能被增加或減少。
第1圖是根據本揭示的一些實施例,顯示FinFET裝置結構上互連結構的3D圖;第2A-2P圖是根據本揭示的一些實施例,顯示形成具互連結構之半導體裝置結構不同階段的剖面示意圖;第2P’圖是根據本揭示的一些實施例,顯示第2P圖A區域的放大示意圖;第3A-3E圖是根據本揭示的一些實施例,顯示形成具互連結構之半導體裝置結構不同階段的剖面示意圖; 第3C’圖是根據本揭示的一些實施例,顯示第3C圖B區域的放大示意圖;第3E’圖是根據本揭示的一些實施例,顯示第3E圖C區域的放大示意圖;第4A-4E圖是根據本揭示的一些實施例,顯示形成具互連結構之半導體裝置結構不同階段的剖面示意圖;第4C’圖是根據本揭示的一些實施例,顯示第4C圖D區域的放大示意圖;第5圖顯示具過度凹陷之溝槽開口的溝槽-導孔結構。
以下揭示提供了很多不同的實施例或實例,用於實施所提供的標的之不同特徵。組件和配置的具體實例描述如下,以簡化本揭示。當然,這些僅僅是實例,並非用以限定本揭示。舉例而言,敘述中若提及第一特徵形成在第二特徵之上,可能包含第一和第二特徵直接接觸的實施例,也可能包含額外的特徵形成在第一和第二特徵之間,使得它們不直接接觸的實施例。此外,本揭示可能在不同的實例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例及/或形態之間的關係。
以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的參考數字被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的操作,且一些敘述的操作可為了該方法的其他實施例被取代或刪除。
本揭示提供形成具互連結構之半導體結構的實施 例。此互連結構包含一些在介電層(例如金屬間介電(inter-metal dielectric,IMD))內形成的金屬化層。形成互連結構的一種製程為雙鑲嵌製程。
第1圖是根據本揭示的一些實施例,顯示半導體裝置(例如FinFET)結構100上互連結構的3D圖。
半導體裝置(例如FinFET)結構100包含基底102。基底102可由矽或其他半導體材料製成,或者或更甚者,基底102可包含其他元素半導體材料,例如鍺(Ge)。一些實施例中,基底102由化合物半導體製成,例如碳化矽、砷化鎵、砷化銦或磷化銦。一些實施例中,基底102由合金半導體製成,例如矽鍺、碳化矽鍺、磷化砷鎵或磷化銦鎵。一些實施例中,基底102包含磊晶層。舉例而言,基底102有覆蓋在塊材半導體之上的磊晶層。
半導體裝置(例如FinFET)結構100也包含自基底102延伸的一或多個鰭式結構20(例如:矽鰭(Si fins))。鰭式結構20可選擇性地包含鍺(Ge)。鰭式結構20可藉由適當的製程,例如微影和蝕刻製程來形成。一些實施例中,鰭式結構20係利用乾式蝕刻或電漿製程從基底102蝕刻。
形成隔離結構22,例如淺溝槽隔離(shallow trench isolation,STI)結構環繞鰭式結構20。一些實施例中,如第1圖所示,隔離結構22環繞較低部分的鰭式結構20,且較高部分的鰭式結構20自隔離結構22突出。換言之,一部分鰭式結構20嵌入隔離結構22。隔離結構22防止電性干擾或串擾(crosstalk)。
半導體裝置(例如FinFET)結構100更包含含有閘極介電層32和閘極電極層34的閘極堆疊結構。閘極堆疊結構形成於鰭式結構20的中間部分上。一些其他的實施例中,閘極堆疊結構是虛設(dummy)閘極堆疊,且後續在實施高熱預算(high thermal budget)製程之後被金屬閘極(metal gate,MG)取代。
如第1圖所示,間隔物36形成於閘極電極層34的相對側壁上。源/汲極(source/drain,S/D)結構24形成在鄰接於閘極堆疊結構。接觸結構40形成於源/汲極(S/D)結構24上,且第一金屬層104形成於接觸結構40上。溝槽-導孔結構50形成於第一金屬層104上。第二金屬層(未繪示)將會形成於溝槽-導孔結構50上。溝槽-導孔結構50設置於第一金屬層104和第二金屬層之間,以電連接於第一金屬層104和第二金屬層。
第1圖為在半導體裝置(例如FinFET)結構100上包含第一金屬層104和溝槽-導孔結構50的互連結構簡化示意圖。一些特徵,例如層間介電層(inter-layer dielectric,ILD)和摻雜區域並未繪示在第1圖中。
第2A-2P圖是根據本揭示的一些實施例,顯示形成具互連結構50a之半導體裝置結構不同階段的剖面示意圖。第2A-2P圖顯示形成雙鑲嵌結構的溝槽優先(trench-first)製程。
如第2A圖所示,半導體裝置結構100包含基底102。基底102包含第一區域11和第二區域12。一些實施例中,第一區域11是密集區域,且第二區域12是隔離區域。基底102 可由矽或其他半導體材料製成。一些裝置元件(未繪示)形成在基底102內。裝置元件包含電晶體(例如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors,BJT)、高電壓電晶體、高頻電晶體、P型通道及/或N型通道場效電晶體(p channel field effect transistors,PFETs/n channel field effect transistors,NFETs)等)、二極體(diodes)及/或其他適用元件。實施各種的製程以形成裝置元件,例如沉積、蝕刻、植入、微影、退火及/或其他適用製程。一些實施例中,裝置元件在前段製程(front-end-of-line,FEOL)中形成於基底102內。
基底102可包含不同摻雜區域,例如P型井(p-type wells)或N型井(n-type wells)。摻雜區域可摻雜P型摻雜物,例如硼(B)或二氟化硼(BF2),及/或摻雜N型摻雜物,例如磷(P)或砷(As)。摻雜區域可直接形成在基底102上之P型井結構內、N型井結構內或雙井(dual-well)結構內。
基底102可更包含隔離特徵(未繪示),例如淺溝槽隔離(STI)特徵或矽的局部氧化(local oxidation of silicon,LOCOS)特徵。隔離特徵可定義和隔離不同的裝置元件。
如第2A圖所示,第一介電層106(例如金屬間介電(IMD))形成於基底102上,且第一金屬層之第一部分104a和第二部分104b嵌入第一介電層106。第一金屬層之第一部分104a在第一區域11內,且第一金屬層之第二部分104b在第二 區域12內。第一介電層106、第一金屬層之第一部分104a和第一金屬層之第二部分104b係在後段製程(back-end-of-line,BEOL)中形成。
第一介電層106可為單層或多層。第一介電層106由氧化矽(SiOx)、氮化矽(SixNy)、氮氧化矽(SiON)、低介電常數(low-K)之介電材料或前述之組合製成。一些實施例中,第一介電層106由介電常數低於約2.5之極低介電常數(extreme low-k,ELK)介電材料製成。一些實施例中,ELK介電材料包含摻雜碳的氧化矽、非晶氟化碳、聚對二甲苯(parylene)、雙-苯環丁烯(bis-benzocyclobutenes,BCB)、聚四氟乙烯(polytetrafluoroethylene,PTFE)(鐵氟龍)或碳氧化矽高分子(silicon oxycarbide polymers,SiOC)。一些實施例中,ELK介電材料包含現存的介電材料之多孔型式,例如氫矽倍半氧烷(hydrogen silsesquioxane,HSQ)、多孔甲基矽倍半氧烷(methyl silsesquioxane,MSQ)、多孔聚芳基醚(polyarylether,PAE)、多孔高分子材料SiLK或多孔二氧化矽(SiO2)。一些實施例中,介電層106藉電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)製程或旋轉塗佈製程而沉積。
一些實施例中,第一金屬層之第一部分104a和第二部分104b分別由銅(Cu)、銅合金、鋁(Al)、鋁合金、鎢(W)、鎢合金、鈦(Ti)、鈦合金、鉭(Ta)或鉭合金製成。一些實施例中,第一金屬層104由電鍍(plating)方法形成。
蝕刻停止層110形成於第一介電層106上,蝕刻 停止層110可為單層或多層。蝕刻停止層110保護其下各層,例如第一介電層106,並也對隨後形成的各層提供更好的黏著性。
蝕刻停止層110由含金屬材料製成,例如含鋁材料。一些實施例中,含鋁材料為氮化鋁、氧化鋁或氮氧化鋁。含鋁材料可增加半導體裝置結構100的速度。
第二介電層112形成於蝕刻停止層110上,第二介電層112可為單層或多層。第二介電層112由氧化矽(SiOx)、氮化矽(SixNy)、氮氧化矽(SiON)、低介電常數(low-k)之介電材料或前述之組合製成。一些實施例中,第二介電層112由介電常數低於約2.5之極低介電常數(ELK)介電材料製成。
抗反射層114和硬遮罩層116依序形成於第二介電層112上。一些實施例中,抗反射層114由無氮材料,例如碳氧化矽(SiOC)製成。一些實施例中,硬遮罩層116由金屬材料製成,例如氮化鈦(TiN)、氮化鉭(TaN)或氮化鎢(WN)。配置金屬材料製成的硬遮罩層116以在電漿製程中提供與第二介電層112相比較高的蝕刻選擇性。
三層的第一光阻結構120形成於硬遮罩層116上,三層的第一光阻結構120包含底層124、中間層126和頂層128。一些實施例中,底層124係在微影製程中用於減少反射的底部抗反射塗佈(bottom anti-reflective coating,BARC)層。一些實施例中,底層124由無氮材料製成,例如富含矽之氧化物或碳氧化矽(SiOC)。一些實施例中,中間層126由以矽為基礎之材料製成,例如氮化矽、氮氧化矽或氧化矽。
頂層128可為正型或負型光阻層。一些實施例中,頂層128由聚甲基丙烯酸甲酯(poly(methyl methacrylate),PMMA)、聚甲基戊醯亞胺(poly(methyl glutarimide),PMGI)、酚甲醛樹脂(phenol formaldehyde resin,DNQ/Novolac)或以環氧化物為基礎的SU-8光阻製成。一些實施例中,底層124的厚度對中間層126的厚度比值約在4到8的範圍內。
接續前述,根據本揭示一些實施例,將頂層128圖案化以形成如第2B圖所示圖案化的頂層128。圖案化的頂層128包含第一部分128a、第二部分128b和第三部分128c。
根據本揭示一些實施例,如第2C圖所示,於頂層128圖案化後,用圖案化的頂層128當遮罩以將中間層126圖案化。結果,頂層128的圖案轉移至中間層126以形成圖案化的中間層126。
根據本揭示一些實施例,如第2D圖所示,於中間層126圖案化後,用圖案化的中間層126當遮罩將底層124圖案化。
接續前述,根據本揭示一些實施例,如第2E圖所示,用圖案化的底層124當遮罩將硬遮罩層116圖案化。接著,藉由蝕刻製程移除三層的第一光阻結構120。因此,獲得圖案化的硬遮罩層116,其包含第一部分116a、第二部分116b和第三部分116c。第一寬度W1形成於第一部分116a和第二部分116b之間。第二寬度W2形成於第二部分116b和第三部分116c之間。一些實施例中,第一寬度W1大抵上等於第二寬度W2
根據本揭示一些實施例,如第2F圖所示,於硬遮 罩層116圖案化後,第二光阻結構220形成於圖案化的硬遮罩層116上。第二光阻結構220包含底層224、中間層226和頂層228。
根據本揭示一些實施例,如第2G圖所示,先將第二光阻結構220的頂層228圖案化以形成圖案化的頂層228。圖案化的頂層228包含第一部分228a、第二部分228bc和第三部分228c。第三寬度W3形成於第一部分228a和第二部分228b之間。第四寬度W4形成於第二部分228b和第三部分228c之間,第三寬度W3大抵上等於第四寬度W4。第一部分228a和第二部分228b之間的第三寬度W3小於圖案化的硬遮罩層116的第一部分116a和第二部分116b之間的第一寬度W1(如第2E圖所示)。
接續前述,根據本揭示一些實施例,如第2H圖所示,用圖案化的頂層228當遮罩將中間層226圖案化。
根據本揭示一些實施例,如第2I圖所示,於中間層226圖案化後,移除一部分底層224和一部分抗反射層114,此部分的抗反射層114藉由第一蝕刻製程310移除以在第一區域11內形成第一凹陷302a和在第二區域12內形成第二凹陷302b。第一凹陷302a和第二凹陷302b的側壁垂直於抗反射層114。第一凹陷302a的寬度大抵上等於第二凹陷302b的寬度。
第一蝕刻製程310包含使用含有氧氣(O2)、二氧化碳(CO2)或其他合適氣體的第一蝕刻氣體。除了氣體之外,第一蝕刻製程310可在不同的參數上做微調,例如壓力、功率、溫度及/或其他合適的參數。
根據本揭示一些實施例,如第2J圖所示,形成第一凹陷302a和第二凹陷302b之後,藉由第二蝕刻製程330蝕刻穿透抗反射層114,且移除一部分第二介電層112。
結果,使第一凹陷302a和第二凹陷302b延長形成第一開口304a和第二開口304b。值得注意的是,第一開口304a和第二開口304b的側壁垂直於第二介電層112,換句話說,第一開口304a和第二開口304b皆有一大抵上垂直的輪廓。
第二蝕刻製程330使用包含含氟氣體、氮氣(N2)、氧氣(O2)或前述之組合的第二蝕刻氣體實施。含氟氣體包含六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟環丁烷(C4F8)或前述之組合。
接續前述,根據本揭示一些實施例,如第2K圖所示,移除第二光阻結構220。因此,暴露出圖案化的硬遮罩層116。
根據本揭示一些實施例,如第2L圖所示,移除第二光阻結構220後,藉第三蝕刻製程350蝕刻穿透第二介電層112以暴露出蝕刻停止層110。
因此,形成第一導孔開口306a和第一溝槽開口308a,且第一導孔開口306a和第一溝槽開口308a共同組成第一溝槽-導孔結構,作為雙鑲嵌的凹洞。第一導孔開口306a有第一寬度D1。一些實施例中,第一寬度D1的範圍在約30nm至約60nm。第一溝槽開口308a有第三寬度D2。一些實施例中,第三寬度D3大於第一寬度D1
若第一寬度D1小於30nm,則尺寸太小無法填入導 電材料。若第一寬度D1大於60nm,則兩相鄰導孔開口之間距可能小於原先預定的值。
第三蝕刻製程350使用包含含氟氣體、氮氣(N2)、氧氣(O2)或前述之組合的第三蝕刻氣體。含氟氣體包含六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟環丁烷(C4F8)或前述之組合。
第三蝕刻製程350所用的第三蝕刻氣體更包含稀釋氣體,例如惰性氣體,舉例而言:氬氣(Ar)或氦氣(He)。稀釋氣體被用於降低負載效應(loading effect)。
根據本揭示一些實施例,如第2M圖所示,實施第三蝕刻製程350後,黏著層130形成於導孔開口306a、306b和溝槽開口308a、308b之側壁和底面上。此外,黏著層130也形成於硬遮罩層116之上。
黏著層130用於改善隨後形成的各層黏著性。一些實施例中,黏著層130由鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或氮化鋁(AlN)製成。
根據本揭示一些實施例,如第2N圖所示,形成黏著層130之後,藉由第四蝕刻製程370移除一部分蝕刻停止層110,以暴露第一金屬層之第一部分104a和第二部分104b。
一些實施例中,第四蝕刻製程370為乾式蝕刻製程,例如電漿製程。第四蝕刻製程370的過程中,電場集中於開口的角落區域。因此,角落區域可能受損。如第2N圖所示,第四蝕刻製程370也移除了一部分黏著層130,特別是在角落區域。結果,黏著層130成為不連續層。
黏著層130包含第一部分130a和第一部分130a下的第二部分130b。第一部分130a鄰接或內襯於溝槽開口308a、308b,且第二部分130b鄰接或內襯於導孔開口306a、306b。
一些實施例中,黏著層130之第一部分130a在水平方向(水平於第一金屬層104的頂面)有延伸底面。此延伸底面大於黏著層130之第一部分130a的頂面。
一些實施例中,黏著層130之第二部分130b有斜面。一些實施例中,黏著層130之第二部分130b的頂面不平行於第一金屬層之第一部分104a和第二部分104b的頂面。
根據本揭示一些實施例,如第2O圖所示,實施第四蝕刻製程370後,導電特徵,又稱為第二金屬層142形成於溝槽開口308a、308b、導孔開口306a、306b內和硬遮罩層116上。
第二金屬層142電連接於第一金屬層104。第一金屬層104嵌入第一介電層106,且第二金屬層142嵌入第二介電層112組成一部分互連結構50a。一些實施例中,第二金屬層142由銅(Cu)、銅合金、鋁(Al)、鋁合金或前述之組合製成。
值得注意的是,第二介電層112(特別是低介電常數(low-k)的材料)和第二金屬層142之間的黏著性很弱。若第二介電層112和第二金屬層142之間沒有黏著層130,第二金屬層142可能因第2P圖之後實施的烘烤製程而收縮。因此,設置黏著層130以增加黏著性和防止第二金屬層142的收縮問題。
接續前述,根據本揭示一些實施例,如第2P圖所示,移除抗反射層114、硬遮罩層116和超出溝槽開口308a、308b的一些第二金屬層142。第2P’圖是根據本揭示的一些實施例,顯示第2P圖A區域的放大示意圖。一些實施例中,藉由化學機械研磨(Chemical Mechanical Polishing,CMP)製程移除抗反射層114和硬遮罩層116。
如第2P圖所示,黏著層130環繞一部分第二金屬層142,但黏著層130並非環繞所有的第二金屬層142。第二金屬層142包含溝槽部142a、導孔部142c和位於溝槽部142a和導孔部142c之間的介面部142b。溝槽部142a和介面部142b之間,以及介面部142b和導孔部142c之間都沒有明顯的介面存在。第2P’圖中顯示的虛線是用以清楚表明本揭示。
溝槽部142a有固定寬度W5,且導孔部142c有固定寬度W6。然而,介面部142b有從溝槽部142a朝導孔部142c逐漸變細的漸細寬度。換句話說,介面部142b有一對彎曲測壁。寬度W5大於寬度W6,且漸細寬度小於寬度W5、大於寬度W6
應該注意的是,黏著層130形成於第二金屬層142和第二介電層112之間,且第二金屬層142之一部分的溝槽部142a和一部份的導孔部142c並未形成於黏著層130上,以及第二金屬層142之一部分的介面部142b也未形成於黏著層130上。第二金屬層142之介面部142b並未接觸於黏著層130,取而代之的是,直接接觸於第二介電層112,因為黏著層130為不連續層。
如上所述,黏著層130包含第一部分130a和第二部分130b。如第2P和2P’圖所示,第一部分130a內襯於第二金屬層142之溝槽部142a,且第二部分130b內襯於第二金屬層142之導孔部142c。蝕刻停止層110環繞一部分互連結構50a。更明確而言,蝕刻停止層110環繞一部分第二金屬層142。
當實施第四蝕刻製程370時(如第2N圖所示),暴露第一金屬層之第一部分104a和第二部分104b,且一些副產品(例如含金屬材料)可能形成於導孔開口306a、306b上。然而,若不希望存在的副產品沉積於導孔開口306a、306b之側壁上,導孔開口306a、306b的寬度會變小,且第二金屬層142會難以填入導孔開口306a、306b。此外,一些含金屬材料可能再濺鍍於導孔開口306a、306b之側壁。結果,降低第二金屬層142和第二介電層112之間的黏著性。在第2P圖所示之移除製程之後,第二金屬層142可能在實施烘烤製程中輕易地剝離。因此,如第2M圖所示,在暴露第一金屬層之第一部分104a和第二部分104b之前形成黏著層130。黏著層130防止導孔開口306a、306b之側壁受到汙染,且增加第二金屬層142和第二介電層112之間的黏著性。此外,也防止第二金屬層142的剝離問題。
第3A-3E圖是根據本揭示的一些實施例,顯示形成具互連結構50b之半導體裝置結構不同階段的剖面示意圖。互連結構50b相似或等同於第2P圖所示之互連結構50a,除了溝槽開口308a、308b的形狀不同外。用於形成互連結構50b之製程和材料可相似或等同於用於形成互連結構50a之製程和 材料,在此便不重複。
如第3A圖所示,實施第三蝕刻製程350於第二介電層112上,形成第一溝槽開口308a和第一導孔開口306a於第一區域11內,且形成第二溝槽開口308b和第二導孔開口306b於第二區域12內。第一溝槽開口308a和第一導孔開口306a共同組成用於當作雙鑲嵌凹洞的第一溝槽-導孔結構。第二溝槽開口308b和第二導孔開口306b共同組成用於當作雙鑲嵌凹洞的第二溝槽-導孔結構。
如第3A圖所示,溝槽開口308a、308b有延伸部分。第一溝槽開口308a包含具垂直側壁的上部分,以及具彎曲底部的延伸部分。垂直側壁和彎曲底部的交會點稱作最低點t1。一些實施例中,最低點t1的位置設在低於第一導孔開口306a之最高點Q1
溝槽-導孔結構有深度H1。第一溝槽開口308a有第三寬度D3。深寬比(H1/D3)為深度H1對寬度D3之比值。第一溝槽開口308a之上部分有深度H2,且延伸部分有深度H3。一些實施例中,深度H3對深度H2之比值(H3/H2)是在約0.5到約100的範圍內。
開口的深寬比定義為開口深度對開口寬度之比值。應該注意的是,在此的第一溝槽-導孔結構與第2L圖之溝槽-導孔結構相比有較高的深寬比。若要蝕刻出此高深寬比的第一溝槽-導孔結構,需要更多的蝕刻時間以獲得期望的輪廓。
第5圖顯示具過度凹陷之溝槽開口508的溝槽-導孔結構。溝槽開口508形成於導孔開口506上。如上所述,溝 槽開口508的角落區域將容易受第三蝕刻製程350的侵蝕。若蝕刻時間增加,溝槽開口508的角落區域會過度凹陷。結果,隨後形成於導孔開口506和溝槽開口508內的第二金屬層可能與其他區域接觸。過度凹陷的溝槽開口508並非期望的輪廓。此外,若一些溝槽-導孔結構設置於隔離區域和密集區域,可能因負載效應產生過度蝕刻的溝槽結構。
因此,為了預防過度蝕刻溝槽開口,當形成溝槽開口時,應該藉由第三蝕刻製程350之蝕刻參數的控制,控制溝槽開口的延伸部分於一範圍內。舉例而言,當深度H3對深度H2之比值(H3/H2)控制於約0.5到約100的範圍內時,便停止第三蝕刻製程350。黏著層130係在過度蝕刻溝槽開口308a、308b之前用於保護溝槽開口308a、308b之側壁。
根據本揭示一些實施例,如第3B圖所示,實施第三蝕刻製程350後,在導孔開口306a、306b和溝槽開口308a、308b之側壁和底面上形成黏著層130。黏著層130順應第一溝槽開口308a和第一導孔開口306a的形狀形成於第一溝槽-導孔結構上,且順應第二溝槽開口308b和第二導孔開口306b的形狀形成於第二溝槽-導孔結構上。
黏著層130係用於改善隨後形成的各層黏著性。此外,因為一些蝕刻製程會實施在溝槽-導孔結構上,形成黏著層130於溝槽開口308a、308b和導孔開口306a、306b上,以防止過度蝕刻溝槽-導孔結構。
根據本揭示一些實施例,如第3C圖所示,形成黏著層130後,藉由第四蝕刻製程370移除一部分蝕刻停止層110 以暴露第一金屬層之第一部分104a和第二部分104b。
如上所述,角落區域受到第四蝕刻製程370的侵蝕。結果,黏著層130成為不連續層。黏著層130包含第一部分130a,以及在第一部分130a下的第二部分130b。第一部分130a不連接於第二部分130b。第一部分130a鄰接於溝槽開口308a、308b,且第二部分130b鄰接於導孔開口306a、306b。第一部分130a在沿著垂直於第一金屬層之第一部分104a和第二部分104b之頂面的垂直方向上有延伸部分。
一些實施例中,黏著層130之第一部分130a在水平方向(平行於第一金屬層104之頂面)有延伸底面。此延伸底面大於黏著層130第一部分130a之頂面。
一些實施例中,黏著層130之第二部分130b有傾斜頂面。一些實施例中,黏著層130之第二部分130b的頂面不平行於第一金屬層104的頂面。
當移除一部分黏著層130後,溝槽開口308a提供更多面積以形成第二金屬層142。結果,與沒有移除部分黏著層130的實施例相比,沉積了更大體積的第二金屬層142於溝槽-導孔結構內,且減少了互連結構50b的電阻。
第3C’圖是根據本揭示一些實施例,顯示第3C圖B區域的放大示意圖。黏著層130之第一部分130a有直接接觸於第二介電層112的第一表面131。第一表面131垂直於第一金屬層之第一部分104a和第二部分104b的頂面。最低點t1位於第一表面131。此外,最低點t1位置設在低於黏著層130之第二部分130b的最高點R1。換句話說,延伸部分有最低點 t1位置設在低於黏著層130之第二部分130b的最高點R1
根據本揭示一些實施例,如第3D圖所示,實施第四蝕刻製程370後,第二金屬層142形成於溝槽開口308a、308b和導孔開口306a、306b內,以及硬遮罩層116上。
接續前述,根據本揭示一些實施例,如第3E圖所示,移除抗反射層114、硬遮罩層116和超出溝槽開口308a、308b的一些第二金屬層142。一些實施例中,藉由化學機械研磨(CMP)製程移除抗反射層114和硬遮罩層116。
第二金屬層142包含溝槽部142a、介面部142b和導孔部142c。介面部142b在溝槽部142a和導孔部142c之間。介面部142b有一對彎曲側壁。
第3E’圖是根據本揭示的一些實施例,顯示第3E圖C區域的放大示意圖。一部分介面部142b直接接觸於第二介電層112。一部分第二金屬層142的介面部142b有從溝槽部142a朝導孔部142c逐漸變細的漸細寬度。
第4A-4E圖是根據本揭示的一些實施例,顯示形成具互連結構50c之半導體裝置結構不同階段的剖面示意圖;互連結構50c相似或等同於第2P圖所示之互連結構50a,除了溝槽開口308a、308b形狀不同外。用於形成互連結構50c之製程和材料可相似或等同於用於形成互連結構50a之製程和材料,在此便不重複。
如第4A圖所示,實施第三蝕刻製程350於第二介電層112上,形成第一溝槽開口308a和第一導孔開口306a於第一區域11內,且形成第二溝槽開口308b和第二導孔開口 306b於第二區域12內。溝槽開口308a、308b的底部有平滑V形。
根據本揭示一些實施例,如第4B圖所示,實施第三蝕刻製程350後,黏著層130形成於導孔開口306a、306b和溝槽開口308a、308b的側壁和底面上。
黏著層130包含第一部分130a和第一部分130a下的第二部分130b。黏著層130為不連續層,且第一部分130a不連接於第二部分130b。第一部分130a鄰接於溝槽開口308a、308b,且第二部分130b鄰接於導孔開口306a、306b。因為溝槽開口380a、308b底部有平滑V形,黏著層130之第一部分130a底部也有平滑V形。
根據本揭示一些實施例,如第4C圖所示,形成黏著層130之後,藉由第四蝕刻製程370移除一部分蝕刻停止層110,以暴露第一金屬層之第一部分104a和第二部分104b。第4C’圖是根據本揭示的一些實施例,顯示第4C圖D區域的放大示意圖。
如上所述,角落區域受到第四蝕刻製程370的侵蝕。結果,黏著層130成為不連續層。黏著層130包含第一部分130a和第一部分130a下的第二部分130b。第一部分130a鄰接於溝槽開口308a、308b,且第二部分130b鄰接於導孔開口306a、306b。
黏著層130之第一部分130a底部有平滑V形,延伸尖端位置設於平滑V形之最低點t1。黏著層130之第一部分130a底部有連接於最低點t1的第一圓滑側壁133a,和連接於 最低點t1的第二圓滑側壁133b,且第一圓滑側壁133a和第二圓滑側壁133b對稱於最低點t1
根據本揭示一些實施例,如第4D圖所示,實施第四蝕刻製程370後,第二金屬層142形成於溝槽開口308a、308b和導孔開口306a、306b內,以及硬遮罩層116上。
接續前述,根據本揭示一些實施例,如第4E圖所示,移除抗反射層114、硬遮罩層116和超出溝槽開口308a、308b的一些第二金屬層142。一些實施例中,藉由化學機械研磨(CMP)製程移除抗反射層114和硬遮罩層116。
黏著層130之第一部分130a有延伸尖端,且此延伸尖端的最低點t1之位置設在低於第二金屬層142之介面部142b的最高點。
以上概述數個實施例為特徵,以便在本發明所屬技術領域中具有通常知識者可以更理解本揭示的觀點。在發明所屬技術領域中具有通常知識者應該理解他們能以本揭示為基礎,設計或修改其他製程和結構以達到與在此介紹的實施例相同之目的及/或優勢。在發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並無悖離本揭示的精神與範圍,且他們能在不違背本揭示之精神和範圍之下,做各式各樣的改變、取代和替換。
11‧‧‧第一區域
12‧‧‧第二區域
50a‧‧‧互連結構
102‧‧‧基底
104‧‧‧第一金屬層
104a‧‧‧第一金屬層之第一部分
104b‧‧‧第一金屬層之第二部分
106‧‧‧第一介電層
110‧‧‧蝕刻停止層
112‧‧‧第二介電層
130a‧‧‧黏著層之第一部分
130b‧‧‧黏著層之第二部分
142‧‧‧第二金屬層
142a‧‧‧溝槽部
142b‧‧‧介面部
142c‧‧‧導孔部
W5、W6‧‧‧寬度

Claims (11)

  1. 一種半導體裝置結構,包括:一第一金屬層,形成於一基底上;一介電層,形成於該第一金屬層上;一黏著層,形成於該介電層內和該第一金屬層上;以及一第二金屬層,形成於該介電層內,其中該第二金屬層電連接於該第一金屬層,一部分該黏著層形成於該第二金屬層和該介電層之間,且其中該黏著層包括一第一部分內襯於一頂部的該第二金屬層,且該第一部分沿垂直方向有一延伸部分。
  2. 如申請專利範圍第1項所述之半導體裝置結構,其中該黏著層更包括一第二部分於該第一部分下,該第一部分不連接於該第二部分,且該黏著層之該第二部分有一斜頂面,以及該第一部分之該延伸部分有一尖端位置設置在低於該第二部分之最高位置。
  3. 如申請專利範圍第1項所述之半導體裝置結構,其中該黏著層之該第一部分有一第一表面,該第一表面直接接觸於該介電層,且垂直於該第一金屬層之一頂面,該黏著層之該第一部分的最低位置係在該第一表面。
  4. 如申請專利範圍第1項所述之半導體裝置結構,其中該第二金屬層包括一導孔部、一介面部和一在該導孔部上之溝槽部,該介面部形成於該導孔部和該溝槽部之間,以及該介面部有一對彎曲側壁,且一部分該第二金屬層之該介面部有一漸細寬度,該漸細寬度從該溝槽部朝該導孔部逐漸變細,以 及一部分該第二金屬層之該介面部直接接觸於該介電層。
  5. 一種半導體裝置結構,包括:一第一金屬層,形成於一基底上;一介電層,形成於該第一金屬層上;一黏著層,形成於該介電層內和該第一金屬層上;以及一第二金屬層,形成於該介電層內,其中該黏著層形成於該第二金屬層和該介電層之間,且該第二金屬層包括一導孔部和一位於該導孔部上的溝槽部,且其中該黏著層包括一第一部分鄰接於該第二金屬層之該溝槽部,該第一部分有一延伸尖端,且該延伸尖端位置設置在低於該第二金屬層之該導孔部的最高點。
  6. 如申請專利範圍第5項所述之半導體裝置結構,其中該第二金屬層更包括一介面部於該導孔部和該溝槽部之間,該導孔部和該溝槽部各自有一固定寬度,該介面部有一從該溝槽部逐漸變細至該導孔部的漸細寬度,且該黏著層是一不連續層,以及該黏著層更包括一第二部分鄰接於該第二金屬層之該溝槽部。
  7. 如申請專利範圍第6項所述之半導體裝置結構,其中該黏著層之該第一部分底部有一平滑V形,且該延伸尖端設置於該平滑V形之最低位置。
  8. 如申請專利範圍第5項所述之半導體裝置結構,其中該黏著層之該第一部分底部有一第一圓形側壁連接於該延伸尖端和一第二圓形側壁連接於該延伸尖端,且該第一圓形側壁和該第二圓形側壁對於該延伸尖端是對稱的。
  9. 一種形成半導體裝置結構的方法,包括:在一基底上形成一第一金屬層;在該第一金屬層上形成一蝕刻停止層;在該蝕刻停止層上形成一介電層;在該介電層內形成一溝槽開口和一導孔開口,其中該溝槽開口有一延伸部分;在該溝槽開口和該導孔開口之側壁和底面上形成一黏著層;移除直接在該第一金屬層上之一部分該蝕刻停止層,且移除一部分該黏著層以暴露一部分該介電層;以及填充一第二金屬層於該導孔開口和該溝槽開口內,其中該第二金屬層電連接於該第一金屬層。
  10. 如申請專利範圍第9項所述之形成半導體裝置結構的方法,更包括:在該介電層上形成一圖案化硬遮罩層;以及藉由該圖案化硬遮罩層圖案化該介電層,使得該溝槽開口在該導孔開口上,且該溝槽開口之寬度大於該導孔開口之寬度。
  11. 如申請專利範圍第9項所述之形成半導體裝置結構的方法,更包括:在該基底上形成一鰭式場效電晶體裝置;以及在該鰭式場效電晶體裝置上形成一接觸結構,其中該接觸結構電連接於該第一金屬層。
TW104138701A 2015-06-15 2015-11-23 半導體裝置結構及其形成方法 TWI615971B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562175849P 2015-06-15 2015-06-15
US62/175,849 2015-06-15
US14/813,775 US10332790B2 (en) 2015-06-15 2015-07-30 Fin field effect transistor (FinFET) device structure with interconnect structure
US14/813,775 2015-07-30

Publications (2)

Publication Number Publication Date
TW201644054A true TW201644054A (zh) 2016-12-16
TWI615971B TWI615971B (zh) 2018-02-21

Family

ID=57394902

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138701A TWI615971B (zh) 2015-06-15 2015-11-23 半導體裝置結構及其形成方法

Country Status (5)

Country Link
US (4) US10332790B2 (zh)
KR (1) KR101756544B1 (zh)
CN (1) CN106252327B (zh)
DE (1) DE102015112914B4 (zh)
TW (1) TWI615971B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264350B2 (en) 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same
TWI793711B (zh) * 2021-03-10 2023-02-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016100282B4 (de) * 2015-10-20 2020-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Verbindungsstruktur und Verfahren zu ihrer Herstellung
US9859156B2 (en) 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
EP3364454B1 (en) * 2017-02-15 2022-03-30 ams AG Semiconductor device
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
WO2019009871A1 (en) * 2017-07-01 2019-01-10 Intel Corporation METALLIZATION STRUCTURES UNDER LAYER OF SEMICONDUCTOR DEVICES
US10269624B2 (en) * 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US11121027B2 (en) * 2017-12-08 2021-09-14 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
DE112018007144T5 (de) 2018-02-22 2020-11-12 Intel Corporation Seitenwand-Interconnect-Metallisierungsstrukturen für integrierte Schaltungsvorrichtungen
US11309192B2 (en) * 2018-06-05 2022-04-19 Intel Corporation Integrated circuit package supports
US10763208B2 (en) * 2018-08-13 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11107728B2 (en) * 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
WO2021026049A1 (en) * 2019-08-02 2021-02-11 The Research Foundation For Suny Semiconducting devices, back end of line portions for semiconducting devices, and dielectric materials incorporating deuterium
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
US11476191B2 (en) * 2019-12-18 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance interconnect structure for semiconductor device
US20220367253A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US20230178426A1 (en) * 2021-12-03 2023-06-08 Intel Corporation Via profile shrink for advanced integrated circuit structure fabrication
WO2024065253A1 (zh) * 2022-09-28 2024-04-04 华为技术有限公司 芯片及其制备方法、电子设备

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69533823D1 (de) 1994-12-29 2005-01-05 St Microelectronics Inc Elektrische Verbindungsstruktur auf einer integrierten Schaltungsanordnung mit einem Zapfen mit vergrössertem Kopf
US6156648A (en) * 1999-03-10 2000-12-05 United Microelectronics Corp. Method for fabricating dual damascene
US6211068B1 (en) 1999-05-25 2001-04-03 United Microelectronics Corp. Dual damascene process for manufacturing interconnects
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
DE10006235C1 (de) * 2000-02-11 2001-08-09 Siemens Ag Kurbeltriebsensor
JP4858895B2 (ja) 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
JP2002319636A (ja) * 2001-02-19 2002-10-31 Nec Corp 半導体記憶装置及びその製造方法
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6949461B2 (en) 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US7078350B2 (en) 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
JP4064955B2 (ja) 2004-09-30 2008-03-19 株式会社東芝 半導体装置及びその製造方法
US7745865B2 (en) * 2005-07-20 2010-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Devices and methods for preventing capacitor leakage
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US8264086B2 (en) 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
KR100660915B1 (ko) 2006-02-03 2006-12-26 삼성전자주식회사 반도체 소자의 배선 형성 방법
EP2079385B1 (en) * 2006-10-23 2013-11-20 C.R.Bard, Inc. Breast marker
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
KR101231019B1 (ko) 2007-12-18 2013-02-07 양병춘 집적회로장치 제조방법
US8058728B2 (en) 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7615489B1 (en) * 2008-10-22 2009-11-10 Applied Materials, Inc. Method for forming metal interconnects and reducing metal seed layer overhang
DE102008063429B4 (de) 2008-12-31 2015-03-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Einstellen der Konfiguration eines Mehr-Gatetransistors durch Steuern einzelner Stege
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8236645B1 (en) * 2011-02-07 2012-08-07 GlobalFoundries, Inc. Integrated circuits having place-efficient capacitors and methods for fabricating the same
WO2013095433A1 (en) 2011-12-21 2013-06-27 Intel Corporation Electroless filled conductive structures
US9129965B2 (en) 2013-03-14 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264350B2 (en) 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same
TWI763296B (zh) * 2020-03-19 2022-05-01 南亞科技股份有限公司 半導體元件及其製備方法
US11664341B2 (en) 2020-03-19 2023-05-30 Nanya Technology Corporation Method for preparing semiconductor device with composite dielectric structure
TWI793711B (zh) * 2021-03-10 2023-02-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11652049B2 (en) 2021-03-10 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof

Also Published As

Publication number Publication date
CN106252327A (zh) 2016-12-21
US20180350658A1 (en) 2018-12-06
KR20160147618A (ko) 2016-12-23
DE102015112914A1 (de) 2016-12-15
US20160365271A1 (en) 2016-12-15
US10796955B2 (en) 2020-10-06
US20230116545A1 (en) 2023-04-13
TWI615971B (zh) 2018-02-21
US11532512B2 (en) 2022-12-20
CN106252327B (zh) 2019-02-22
DE102015112914B4 (de) 2020-06-18
KR101756544B1 (ko) 2017-07-10
US20210020496A1 (en) 2021-01-21
US10332790B2 (en) 2019-06-25

Similar Documents

Publication Publication Date Title
TWI615971B (zh) 半導體裝置結構及其形成方法
TWI596703B (zh) 形成半導體裝置結構的方法
TWI602224B (zh) 半導體元件結構之形成方法
US10134669B2 (en) Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US20190115297A1 (en) Method of Forming Metal Interconnection
US10043754B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US9293413B2 (en) Semiconductor devices and methods of manufacture thereof
US11217458B2 (en) Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US10964636B2 (en) Interconnect structure with low resistivity and method for forming the same
US20080057727A1 (en) Method of manufacturing a semiconductor device
TW202347449A (zh) 半導體裝置及其製造方法