TW201611273A - Semiconductor device and method of fabricating the same - Google Patents

Semiconductor device and method of fabricating the same Download PDF

Info

Publication number
TW201611273A
TW201611273A TW104125320A TW104125320A TW201611273A TW 201611273 A TW201611273 A TW 201611273A TW 104125320 A TW104125320 A TW 104125320A TW 104125320 A TW104125320 A TW 104125320A TW 201611273 A TW201611273 A TW 201611273A
Authority
TW
Taiwan
Prior art keywords
pattern
region
forming
substrate
mask
Prior art date
Application number
TW104125320A
Other languages
Chinese (zh)
Other versions
TWI661557B (en
Inventor
白尙訓
朴在浩
梁雪雲
宋泰中
吳祥奎
Original Assignee
三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201611273A publication Critical patent/TW201611273A/en
Application granted granted Critical
Publication of TWI661557B publication Critical patent/TWI661557B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

A method of fabricating a semiconductor device having a first region, a second region, and a third region between the first and second regions includes forming first and second preliminary active patterns protruding from a substrate in the first and second regions, respectively, forming mask patterns exposing the third region on the substrate, performing a first etching process using the mask patterns as an etch mask to form first and second active patterns, respectively, and forming gate structures on the substrate. A semiconductor device is also provided.

Description

半導體裝置及其製造方法Semiconductor device and method of manufacturing same

本發明概念的代表性實施例是關於半導體裝置以及其製造方法。特定言之,是關於鰭式場效電晶體以及其製造方法。A representative embodiment of the inventive concept relates to a semiconductor device and a method of fabricating the same. In particular, it relates to a fin field effect transistor and a method of manufacturing the same.

為了實現高度整合式半導體裝置,形成精細圖案其有必要的。舉例而言,每一圖案應形成為具有儘可能小之面積或佔據面積,使得每給定面積可提供愈來愈多的裝置。特定言之,應採用以最小化圖案間距或最小化圖案寬度以及圖案的鄰近者之間的間隙的總和的這種方式形成圖案。然而,通常使用光微影形成此等圖案。由於半導體裝置的設計規則已大幅度變得更小,因此就可達成的解析度而言光微影已到了極限。因此,隨著半導體裝置的圖案間距變得較細以滿足較小設計規則,愈來愈難以形成圖案。In order to realize a highly integrated semiconductor device, it is necessary to form a fine pattern. For example, each pattern should be formed to have as small an area or footprint as possible so that more and more devices can be provided per given area. In particular, the pattern should be formed in such a manner as to minimize the pattern pitch or minimize the width of the pattern and the sum of the gaps between the neighbors of the pattern. However, such patterns are typically formed using photolithography. Since the design rules of semiconductor devices have become substantially smaller, photolithography has reached its limit in terms of resolution that can be achieved. Therefore, as the pattern pitch of the semiconductor device becomes finer to satisfy a smaller design rule, it becomes more and more difficult to form a pattern.

根據本發明概念,提供一種製造半導體裝置的方法的代表性實施例,所述方法包含:提供跨越裝置的第一區、第二區以及第三區的基板;在基板上形成第一初步主動圖案以及第二初步主動圖案,使得第一初步主動圖案以及第二初步主動圖案自基板凸起,第一初步主動圖案自第一區延伸至第三區以便在第三區中與基板重疊,且第二初步主動圖案自第二區延伸至第三區以便亦在第三區中與基板重疊;在第一以及第二區但並不在第三區中的基板上形成遮罩圖案,藉此暴露第三區中的基板;執行第一蝕刻製程,其包括將遮罩圖案用作蝕刻遮罩來蝕刻第一初步主動圖案以及第二初步主動圖案以分別自第一初步主動圖案以及第二初步主動圖案形成第一主動圖案以及第二主動圖案;以及形成與第一主動圖案交叉的第一閘極結構並形成與第二主動圖案交叉的第二閘極結構。第一主動圖案形成為在第一方向上縱向延伸且以便在與第一方向交叉的第二方向上彼此間隔開。第二主動圖案形成為在第一方向上延伸且以便在第二方向上彼此間隔開。第一方向為橫跨第一區、第二區以及第三區延伸的一個方向。此外,在第二方向上,第一主動圖案中的鄰近者之間的距離不同於第二主動圖案中的鄰近者之間的彼等距離。In accordance with the inventive concept, a representative embodiment of a method of fabricating a semiconductor device is provided, the method comprising: providing a substrate across a first region, a second region, and a third region of the device; forming a first preliminary active pattern on the substrate And a second preliminary active pattern, such that the first preliminary active pattern and the second preliminary active pattern are protruded from the substrate, and the first preliminary active pattern extends from the first region to the third region to overlap the substrate in the third region, and a preliminary active pattern extending from the second region to the third region to also overlap the substrate in the third region; forming a mask pattern on the first and second regions but not on the substrate in the third region, thereby exposing the first a substrate in the three regions; performing a first etching process, including using the mask pattern as an etch mask to etch the first preliminary active pattern and the second preliminary active pattern to respectively from the first preliminary active pattern and the second preliminary active pattern Forming a first active pattern and a second active pattern; and forming a first gate structure crossing the first active pattern and forming a second gate crossing the second active pattern Structure. The first active patterns are formed to extend longitudinally in the first direction and to be spaced apart from each other in a second direction that intersects the first direction. The second active patterns are formed to extend in the first direction and to be spaced apart from each other in the second direction. The first direction is one direction extending across the first zone, the second zone, and the third zone. Further, in the second direction, the distance between the neighbors in the first active pattern is different from the distance between the neighbors in the second active pattern.

根據本發明概念,亦提供一種製造半導體裝置的方法的代表性實施例,所述方法包含:圖案化基板以形成界定第一初步主動圖案以及第二初步主動圖案的第一溝槽,第一初步主動圖案在第一方向上縱向延伸且在與第一方向交叉的第二方向上彼此間隔開,第二初步主動圖案在第一方向上縱向延伸且在第一方向上與第一初步主動圖案間隔開並在第二方向上彼此間隔開;在基板上形成暴露第一初步主動圖案以及第二初步主動圖案的末端部分的遮罩圖案;執行蝕刻製程,其中將遮罩圖案用作蝕刻遮罩,所述製程移除第一初步主動圖案以及第二初步主動圖案的末端部分並分別自其形成第一主動圖案以及第二主動圖案;以及在基板上形成與第一主動圖案交叉的第一閘極結構以及與第二主動圖案交叉的第二閘極結構。又,形成主動圖案,使得第一初步主動圖案中的鄰近者之間在第二方向上的距離不同於第二初步主動圖案中的鄰近者之間在第二方向上的距離。此外,第一初步主動圖案的末端部分集體地在第一方向上與第二初步主動圖案的末端部分間隔開。再者,蝕刻製程形成第二溝槽,其具有安置於低於第一溝槽的底部的層面處的底部,且使得第二溝槽在第一方向上的寬度等於所有第一主動圖案的集合與所有第二主動圖案的集合之間在第一方向上的距離。According to the inventive concept, a representative embodiment of a method of fabricating a semiconductor device is also provided, the method comprising: patterning a substrate to form a first trench defining a first preliminary active pattern and a second preliminary active pattern, a first preliminary The active patterns extend longitudinally in the first direction and are spaced apart from each other in a second direction crossing the first direction, the second preliminary active pattern extending longitudinally in the first direction and spaced apart from the first preliminary active pattern in the first direction Opening and spaced apart from each other in the second direction; forming a mask pattern exposing the first preliminary active pattern and the end portion of the second preliminary active pattern on the substrate; performing an etching process, wherein the mask pattern is used as an etch mask, The process removes the first preliminary active pattern and the end portions of the second preliminary active pattern and respectively forms a first active pattern and a second active pattern therefrom; and forms a first gate crossing the first active pattern on the substrate a structure and a second gate structure crossing the second active pattern. Also, the active pattern is formed such that the distance between the neighbors in the first preliminary active pattern in the second direction is different from the distance between the neighbors in the second preliminary active pattern in the second direction. Furthermore, the end portions of the first preliminary active pattern are collectively spaced apart from the end portions of the second preliminary active pattern in the first direction. Furthermore, the etching process forms a second trench having a bottom disposed at a level lower than the bottom of the first trench, and such that the width of the second trench in the first direction is equal to the set of all the first active patterns The distance in the first direction from the set of all second active patterns.

根據本發明概念,亦提供一種製造半導體裝置的方法的代表性實施例,所述方法包含:在基板上形成犧牲層;執行光微影製程以在犧牲層上形成包括第一光阻圖案以及第二光阻圖案的經圖案化光阻層,其中第一光阻圖案中的至少一者自記憶體單元區延伸至第三區且第二光阻圖案中的至少一者自周邊電路區延伸至第三區;將經圖案化光阻層用作蝕刻遮罩來蝕刻犧牲層以在記憶體單元區、周邊電路區以及第三區中形成經圖案化犧牲材料層;形成沿著經圖案化犧牲材料層的側表面的側壁表面間隔物;移除經圖案化犧牲材料層並將間隔物用作蝕刻遮罩來蝕刻基板以在記憶體單元區、周邊電路區以及第三區中圖案化基板;在基板上形成覆蓋在記憶體單元區以及周邊電路區兩者中所圖案化的基板的部分而暴露在第三區中所圖案化的基板的部分;將遮罩用作蝕刻遮罩來蝕刻第三區中的基板以移除第三區中所圖案化的基板的部分,且藉此在記憶體單元區中形成基板的多個第一主動區並在周邊電路區中形成基板的多個第二主動區;形成橫跨第一主動區延伸的第一閘極以及形成橫跨第二主動區延伸的第二閘極。According to the inventive concept, there is also provided a representative embodiment of a method of fabricating a semiconductor device, the method comprising: forming a sacrificial layer on a substrate; performing a photolithography process to form a first photoresist pattern on the sacrificial layer and a patterned photoresist layer of a two photoresist pattern, wherein at least one of the first photoresist patterns extends from the memory cell region to the third region and at least one of the second photoresist patterns extends from the peripheral circuit region to a third region; the patterned photoresist layer is used as an etch mask to etch the sacrificial layer to form a patterned sacrificial material layer in the memory cell region, the peripheral circuit region, and the third region; forming a sacrifice along the patterning a sidewall surface spacer of a side surface of the material layer; removing the patterned sacrificial material layer and using the spacer as an etch mask to etch the substrate to pattern the substrate in the memory cell region, the peripheral circuit region, and the third region; Forming a portion of the substrate patterned in both the memory cell region and the peripheral circuit region on the substrate to expose the portion of the substrate patterned in the third region; using the mask as an etch Masking to etch the substrate in the third region to remove portions of the patterned substrate in the third region, and thereby forming a plurality of first active regions of the substrate in the memory cell region and forming a substrate in the peripheral circuit region a plurality of second active regions; forming a first gate extending across the first active region and forming a second gate extending across the second active region.

根據本發明概念,亦提供一種半導體裝置的代表性實施例,所述半導體裝置包含:在第一區、第二區以及第一區與第二區之間的第三區中延伸的基板;自基板的第一區朝上凸起、在與第一至第三區交叉的第一方向上延伸,且在與第一方向交叉的第二方向上彼此間隔開的第一主動圖案;自基板的第二區朝上凸起、在第一方向上延伸,且在第二方向上彼此間隔開的第二主動圖案,第一主動圖案中的鄰近者之間在第二方向上的距離不同於第二主動圖案中的鄰近者之間的彼等距離;與第一主動圖案交叉的第一閘極結構以及與第二主動圖案交叉的第二閘極結構。第三區由在第一區與第二區之間的基板中的溝槽界定。第一主動圖案的側壁表面沿著第二方向在第一區與第三區之間的邊界處對準,且第二主動圖案的側壁表面沿著第二方向在第二區與第三區之間的邊界處對準。According to the inventive concept, there is also provided a representative embodiment of a semiconductor device including: a substrate extending in a first region, a second region, and a third region between the first region and the second region; a first active region of the substrate extending upward, extending in a first direction crossing the first to third regions, and spaced apart from each other in a second direction crossing the first direction; a second active area that is convex upwardly, extends in the first direction, and is spaced apart from each other in the second direction, and a distance between the neighbors in the first active pattern is different from that in the second direction a distance between adjacent ones of the two active patterns; a first gate structure crossing the first active pattern; and a second gate structure crossing the second active pattern. The third zone is defined by a groove in the substrate between the first zone and the second zone. The sidewall surface of the first active pattern is aligned along the second direction at a boundary between the first region and the third region, and the sidewall surface of the second active pattern is along the second direction in the second region and the third region Alignment between the boundaries.

現將參考其中繪示代表性實施例的隨附圖式更全面地描述本發明概念的代表性實施例。然而,本發明概念的代表性實施例可以許多不同形式體現且不應理解為限於本文中所闡述的實施例;實情為,提供此等實施例以使得本揭露內容將透徹且完整,且將代表性實施例的概念完全傳達給一般熟習此項技術者。在圖式中,為清楚起見而放大層以及區的厚度。在圖式中類似參考數字表示類似元件,且因此將省略其描述。Representative embodiments of the inventive concept will now be described more fully hereinafter with reference to the accompanying drawings. However, the representative embodiments of the present invention may be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. The embodiments are provided so that the disclosure will be thorough and complete, and The concept of a sexual embodiment is fully conveyed to those of ordinary skill in the art. In the drawings, the layers and the thickness of the regions are enlarged for clarity. Like reference numerals indicate similar elements in the drawings, and thus descriptions thereof will be omitted.

應理解,當一元件被稱作「連接」或「耦接」至另一元件時,其可直接地連接或耦接至另一元件,或可存在介入元件。相反地,當將元件稱為「直接連接」或「直接耦接」至另一元件時,不存在介入元件。類似數字始終指示類似元件。如本文中所使用,術語「以及/或」包含相關聯所列項目中的一或多者的任何以及所有組合。用以描述元件或層之間的關係的其他詞應按類似方式來解釋(例如,「在……之間」對「直接在……之間」、「鄰近」對「直接鄰近」、「在……上」對「直接在……上」)。It will be understood that when an element is referred to as "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or the intervening element can be present. Conversely, when an element is referred to as "directly connected" or "directly coupled" to another element, the intervening element is absent. Similar numbers always indicate similar components. The term "and/or" as used herein includes any and all combinations of one or more of the associated listed items. Other words used to describe the relationship between elements or layers should be interpreted in a similar manner (for example, "between" and "directly between", "nearby" to "directly adjacent", "in "Up" to "directly on...").

將理解,儘管術語「第一」、「第二」等可在本文中使用以描述各種元件、組件、區、層以及/或區段,但此等元件、組件、區、層以及/或區段不應受此等術語限制。此等術語僅用以將一個元件、組件、區、層或區段與另一元件、組件、區、層或區段區分。因此,可在不脫離代表性實施例的教示的情況下將下文論述的第一元件、組件、區、層或區段稱為第二元件、組件、區、層或區段。It will be understood that, although the terms "first," "second," etc. may be used to describe various elements, components, regions, layers, and / or sections, such elements, components, regions, layers and/or regions Segments should not be limited by these terms. The terms are used to distinguish one element, component, region, layer, The first element, component, region, layer or section discussed below may be referred to as a second element, component, region, layer or section, without departing from the teachings of the representative embodiments.

為了易於描述,諸如「在……下」、「在……下方」、「下部」、「在……上方」、「上部」以及其類似者的空間相對術語可在本文中用以描述如在圖中所說明的一個元件或特徵與另一(多個)元件或特徵的關係。應理解,空間相對術語意欲涵蓋在使用或操作裝置時除圖中描繪的定向之外的不同定向。舉例而言,若將圖中的裝置翻轉,則描述為「在其他元件或特徵下方」或「在其他元件或特徵下」的元件將定向「在其他元件或特徵上方」。因此,例示性術語「在……下方」可涵蓋「在……上方」以及「在……下方」的兩個定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且相應地進行解釋本文中所使用的空間相對描述詞。For ease of description, spatially relative terms such as "under", "below", "lower", "above", "upper", and the like may be used herein to describe The relationship of one element or feature illustrated in the figures to another element or feature(s). It will be understood that the spatially relative terms are intended to encompass different orientations in addition to the orientation depicted in the figures when the device is used or operated. For example, elements that are described as "under other elements or features" or "under other elements or features" will be "above". Therefore, the exemplary term "below" can encompass both orientations "above" and "below". The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

本文中所使用的術語僅出於描述特定實施例的目的,且並不意欲限制代表性實施例。如本文中所使用,單數形式「一」以及「所述」意欲亦包含複數形式,除非上下文另外明確指示。將進一步理解,術語「包括」以及/或「包含」若在本文中使用,則指定所陳述特徵、整數、步驟、操作、元件以及/或組件的存在,但不排除一或多個其他特徵、整數、步驟、操作、元件、組件以及/或其群組的存在或添加。The terminology used herein is for the purpose of describing particular embodiments, and is not intended to As used herein, the sing " It will be further understood that the terms "comprises" and "comprises" and "includes", when used herein, are used to refer to the <RTI ID=0.0> </ RTI> </ RTI> <RTIgt; The presence or addition of integers, steps, operations, components, components, and/or groups thereof.

本發明概念的代表性實施例在本文中參考為代表性實施例的理想化實施例(以及中間結構)的示意性說明的橫截面圖示來描述。因而,預期圖示的形狀因(例如)製造技術以及/或公差所致的變化。因此,本發明概念的代表性實施例不應被理解為限於本文中所說明的特定區形狀,而是包含因(例如)製造引起的形狀偏差。舉例而言,說明為矩形的植入區可具有圓形或彎曲特徵以及/或植入物濃度在其邊緣上的梯度,而非自植入區至非植入區的二進位改變。同樣地,藉由植入而形成的埋入區可在埋入區與發生植入所在的表面之間的區中引起一些植入。因此,圖中所說明的區本質上為示意性的,且其形狀不意欲說明裝置的區的實際形狀,且不意欲限制代表性實施例的範疇。Representative embodiments of the inventive concept are described herein with reference to cross-sectional illustrations of schematic illustrations of the idealized embodiments (and intermediate structures) of representative embodiments. Thus, variations in the shapes of the illustrations are contemplated as a result of, for example, manufacturing techniques and/or tolerances. Thus, a representative embodiment of the inventive concept should not be construed as limited to the particular region shapes described herein, but rather to include a shape variation resulting from, for example, manufacturing. For example, an implanted region illustrated as a rectangle may have rounded or curved features and/or a gradient of implant concentration on its edges rather than a binary change from the implanted region to the non-implanted region. Likewise, a buried region formed by implantation can cause some implantation in the region between the buried region and the surface where the implantation takes place. The area illustrated in the figures is, therefore, in the nature of the embodiments, and is not intended to limit the scope of the representative embodiments.

如由本發明實體所瞭解,根據本文中所描述的各種實施例的裝置以及形成裝置的方法可體現於諸如積體電路的微電子裝置中,其中根據本文中所描述的各種實施例的多個裝置整合到相同微電子裝置中。因此,可在微電子裝置中在無需正交的兩個不同方向上複製本文中所說明的橫截面圖。因此,體現根據本文中所描述的各種實施例的裝置的微電子裝置的平面圖可包含基於微電子裝置的功能性而呈陣列以及/或二維圖案的多個裝置。As understood by the entities of the present invention, devices in accordance with various embodiments described herein and methods of forming devices can be embodied in a microelectronic device such as an integrated circuit, wherein a plurality of devices in accordance with various embodiments described herein Integrated into the same microelectronic device. Thus, the cross-sectional views illustrated herein can be replicated in two different directions in a microelectronic device without the need for orthogonality. Accordingly, a plan view of a microelectronic device embodying devices in accordance with various embodiments described herein can include multiple devices in an array and/or two-dimensional pattern based on the functionality of the microelectronic device.

取決於微電子裝置的功能性,根據本文中所描述的各種實施例的裝置可散置於其他裝置當中。此外,可在可正交於兩個不同方向的第三方向上複製根據本文中所描述的各種實施例的微電子裝置以提供三維積體電路。Depending on the functionality of the microelectronic device, devices in accordance with various embodiments described herein may be interspersed among other devices. Moreover, microelectronic devices in accordance with various embodiments described herein can be replicated in a third party that can be orthogonal to two different directions to provide a three-dimensional integrated circuit.

因此,本文中所說明的橫截面圖為在平面圖中沿著兩個不同方向以及/或在透視圖中沿著三個不同方向延伸的根據本文中所描述的各種實施例的多個裝置提供支援。舉例而言,當裝置/結構的橫截面圖中說明單一主動區時,裝置/結構可包含多個主動區以及其上的電晶體結構(按狀況需要,或為記憶體單元結構、閘極結構等),如將由裝置/結構的平面圖所說明。Accordingly, the cross-sectional views illustrated herein provide support for a plurality of devices in accordance with various embodiments described herein in two different directions in plan view and/or in three different directions in a perspective view. . For example, when a single active region is illustrated in a cross-sectional view of a device/structure, the device/structure may include multiple active regions and a transistor structure thereon (as required by the state, or as a memory cell structure, gate structure) Etc.), as will be illustrated by the plan view of the device/structure.

除非另外界定,否則本文中所使用的所有術語(包含技術以及科學術語)具有與由一般熟習本發明概念的代表性實施例屬於的技術者通常所理解相同的意義。將進一步理解,諸如常用詞典中所界定的彼等術語的術語應被解釋為具有與其在相關技術的上下文中的意義一致的意義,且將不按理想化或過度形式化意義來解釋,除非本文中明確地如此界定。舉例而言,術語「圖案」可指由如上下文將清楚說明的圖案化製程形成的單一特徵或元件或可指整個特徵或元件系列。又,術語「大小」將大體上理解為參看特定特徵或元件的表面面積或佔據面積。Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning meaning meaning It will be further understood that terms such as those defined in commonly used dictionaries should be interpreted as having meaning consistent with their meaning in the context of the related art, and will not be interpreted in terms of idealized or overly formalized unless This is clearly defined in this way. For example, the term "pattern" may refer to a single feature or element formed by a patterning process as will be apparent from the context or may refer to the entire feature or series of elements. Also, the term "size" will be understood broadly to refer to the surface area or footprint of a particular feature or element.

現將參考圖1A至圖8B詳細地描述根據本發明概念的半導體裝置的製造方法的代表性實施例。A representative embodiment of a method of fabricating a semiconductor device in accordance with the inventive concept will now be described in detail with reference to FIGS. 1A through 8B.

首先參看圖1A以及圖1B,可提供跨越裝置的第一至第三區R1至R3的基板100。第一區R1可與第二區R2間隔開且第三區R3可插入於第一區R1與第二區R2之間。基板100可由半導體材料形成或包含半導體材料。舉例而言,基板100可為半導體晶圓或包含磊晶層。作為一實例,基板100可包含矽、鍺或矽-鍺的單晶、多晶或非晶形層。Referring first to Figures 1A and 1 B, a substrate 100 can be provided that spans the first through third regions R1 through R3 of the device. The first zone R1 may be spaced apart from the second zone R2 and the third zone R3 may be interposed between the first zone R1 and the second zone R2. The substrate 100 may be formed of or comprise a semiconductor material. For example, the substrate 100 can be a semiconductor wafer or include an epitaxial layer. As an example, substrate 100 can comprise a single crystal, polycrystalline or amorphous layer of tantalum, niobium or tantalum-ruthenium.

在例示性實施例中,第一區R1可為提供用於儲存資料的記憶體單元電晶體的單元陣列區。舉例而言,SRAM(靜態隨存取記憶體)單元(其中的每一者包含六個或八個電晶體)可提供於第一區R1中。但本發明概念的代表性實施例可不限於此。第二區R2可為提供周邊電路的周邊電路區的部分。舉例而言,行解碼器或感測放大器可提供於第二區R2中。電連接至第一區R1的記憶體單元電晶體的周邊電路電晶體可形成於第二區R2中。第三區R3可充當將第一區R1以及第二區R2的電晶體彼此分離的緩衝區,且當操作第一區R1以及第二區R2的電晶體時,歸因於第三區R3,有可能防止第一區R1以及第二區R2的電晶體彼此擾亂或干擾。In an exemplary embodiment, the first region R1 may be a cell array region that provides a memory cell transistor for storing data. For example, SRAM (Static With Access Memory) cells, each of which contains six or eight transistors, may be provided in the first region R1. However, representative embodiments of the inventive concept are not limited thereto. The second region R2 can be part of a peripheral circuit region that provides peripheral circuitry. For example, a row decoder or sense amplifier can be provided in the second region R2. A peripheral circuit transistor electrically connected to the memory cell transistor of the first region R1 may be formed in the second region R2. The third region R3 may serve as a buffer that separates the transistors of the first region R1 and the second region R2 from each other, and when operating the transistors of the first region R1 and the second region R2, due to the third region R3, It is possible to prevent the transistors of the first region R1 and the second region R2 from disturbing or disturbing each other.

硬式遮罩125以及犧牲層130可依序形成於基板100上。在例示性實施例中,硬式遮罩125可包含基板100上的下部遮罩層110以及下部遮罩層110上的上部遮罩層120。下部遮罩層110可由相對於基板100具有蝕刻選擇性的材料形成。作為一實例,下部遮罩層110可包含氧化矽、氮化矽以及氮氧化矽中的至少一者。上部遮罩層120可由相對於下部遮罩層110具有蝕刻選擇性的材料形成。作為一實例,上部遮罩層120可由多晶矽形成或包含多晶矽。犧牲層130可由相對於上部遮罩層120具有蝕刻選擇性的材料形成。作為一實例,犧牲層130可由旋塗式硬式遮罩(SOH)層或非晶形碳層(ACL)形成或包含旋塗式硬式遮罩(SOH)層或非晶形碳層(ACL)。在本實施例中,儘管硬式遮罩125說明為兩個層的堆疊,但本發明概念的代表性實施例可不限於此。舉例而言,在其他代表性實施例中,硬式遮罩125可包含三個層。儘管未繪示,但蝕刻終止層可形成於犧牲層130上以便插入於上部遮罩層120與犧牲層130之間。蝕刻終止層可由(例如)SiON層形成或包含SiON層。The hard mask 125 and the sacrificial layer 130 may be sequentially formed on the substrate 100. In an exemplary embodiment, the hard mask 125 can include a lower mask layer 110 on the substrate 100 and an upper mask layer 120 on the lower mask layer 110. The lower mask layer 110 may be formed of a material having an etch selectivity with respect to the substrate 100. As an example, the lower mask layer 110 may include at least one of cerium oxide, cerium nitride, and cerium oxynitride. The upper mask layer 120 may be formed of a material having an etch selectivity with respect to the lower mask layer 110. As an example, the upper mask layer 120 may be formed of polycrystalline germanium or comprise polycrystalline germanium. The sacrificial layer 130 may be formed of a material having an etch selectivity with respect to the upper mask layer 120. As an example, the sacrificial layer 130 may be formed of or comprise a spin-on hard mask (SOH) layer or an amorphous carbon layer (ACL). In the present embodiment, although the hard mask 125 is illustrated as a stack of two layers, a representative embodiment of the inventive concept may not be limited thereto. For example, in other representative embodiments, the hard mask 125 can include three layers. Although not shown, an etch stop layer may be formed on the sacrificial layer 130 for interposing between the upper mask layer 120 and the sacrificial layer 130. The etch stop layer may be formed of, for example, a SiON layer or a SiON layer.

可執行光微影製程以在犧牲層130上形成光阻圖案。在代表性實施例中,光阻圖案可包含形成於第一區R1中的第一光阻圖案142以及形成於第二區R2中的第二光阻圖案144。第一光阻圖案142以及第二光阻圖案144的形成可包含用抗蝕劑材料塗佈犧牲層130以形成光阻層並在光阻層上執行曝光以及顯影製程。儘管未繪示,但在形成光阻層之前,抗反射層(未繪示)可形成於犧牲層130上。抗反射層可包括(例如)有機抗反射塗層(ARC)。可實質上在相同時間形成第一光阻圖案142以及第二光阻圖案144。A photolithography process can be performed to form a photoresist pattern on the sacrificial layer 130. In a representative embodiment, the photoresist pattern may include a first photoresist pattern 142 formed in the first region R1 and a second photoresist pattern 144 formed in the second region R2. The formation of the first photoresist pattern 142 and the second photoresist pattern 144 may include coating the sacrificial layer 130 with a resist material to form a photoresist layer and performing an exposure and development process on the photoresist layer. Although not shown, an anti-reflective layer (not shown) may be formed on the sacrificial layer 130 before the photoresist layer is formed. The anti-reflective layer can include, for example, an organic anti-reflective coating (ARC). The first photoresist pattern 142 and the second photoresist pattern 144 may be formed substantially at the same time.

在例示性實施例中,第一光阻圖案142以及第二光阻圖案144可反覆地安置於基板100上以具有線與間隙配置。舉例而言,第一光阻圖案142中的每一者可為平行於第一方向D1延伸且在第二方向D2上量測時,具有第一寬度W1的線圖案。此處,第一方向D1以及第二方向D2並不彼此平行(例如,可彼此垂直)。此外,第一光阻圖案142可在第二方向D2上彼此間隔開大於第一寬度W1的距離。在下文中,第二寬度W2將表示第一光阻圖案142之間的距離。類似地,第二光阻圖案144中的每一者可為平行於第一方向D1延伸且在第二方向D2上量測時,具有第三寬度W3的線圖案。第二光阻圖案144可在第二方向D2上彼此間隔開大於第三寬度W3的距離。在下文中,第四寬度W4將表示第二光阻圖案144之間的距離。此處,第一寬度W1可不同於第三寬度W3(例如,W1<W3),且第二寬度W2可不同於第四寬度W4(例如,W2<W4)。換言之,第一光阻圖案142可形成為其所具有的間距不同於第二光阻圖案144的間距。儘管第一光阻圖案142以及第二光阻圖案144說明為具有均勻間距,但本發明概念的代表性實施例可不限於此。In an exemplary embodiment, the first photoresist pattern 142 and the second photoresist pattern 144 may be repeatedly disposed on the substrate 100 to have a line and gap configuration. For example, each of the first photoresist patterns 142 may be a line pattern having a first width W1 that extends parallel to the first direction D1 and is measured in the second direction D2. Here, the first direction D1 and the second direction D2 are not parallel to each other (for example, may be perpendicular to each other). Further, the first photoresist patterns 142 may be spaced apart from each other by a distance greater than the first width W1 in the second direction D2. Hereinafter, the second width W2 will represent the distance between the first photoresist patterns 142. Similarly, each of the second photoresist patterns 144 may be a line pattern having a third width W3 extending parallel to the first direction D1 and measured in the second direction D2. The second photoresist patterns 144 may be spaced apart from each other by a distance greater than the third width W3 in the second direction D2. Hereinafter, the fourth width W4 will represent the distance between the second photoresist patterns 144. Here, the first width W1 may be different from the third width W3 (eg, W1 < W3), and the second width W2 may be different from the fourth width W4 (eg, W2 < W4). In other words, the first photoresist pattern 142 may be formed to have a pitch different from that of the second photoresist pattern 144. Although the first photoresist pattern 142 and the second photoresist pattern 144 are illustrated as having a uniform pitch, representative embodiments of the inventive concept may not be limited thereto.

根據本發明概念的代表性實施例,第一光阻圖案142與第二光阻圖案144之間的距離dp可小於在用於形成第一光阻圖案142以及第二光阻圖案144的曝光製程中所使用的光的波長(λ)(亦即,dp<λ)。在此狀況下,歸因於難以控制諸如光學近接效應的光學現象,第一光阻圖案142以及第二光阻圖案144的末端部分可不具有圖1A中所繪示的精確形狀,亦即,可經塑形不同於光阻圖案142以及144的主要部分。According to a representative embodiment of the inventive concept, the distance dp between the first photoresist pattern 142 and the second photoresist pattern 144 may be smaller than the exposure process for forming the first photoresist pattern 142 and the second photoresist pattern 144. The wavelength (λ) of the light used in (i.e., dp < λ). In this case, due to the difficulty in controlling an optical phenomenon such as an optical proximity effect, the end portions of the first photoresist pattern 142 and the second photoresist pattern 144 may not have the precise shape illustrated in FIG. 1A, that is, The shape is different from the main portions of the photoresist patterns 142 and 144.

在代表性實施例的一些狀況下,第一光阻圖案142以及第二光阻圖案144形成為在第一方向D1上彼此間隔開。舉例而言,第一光阻圖案142以及第二光阻圖案144可具有第三區R3中的末端部分,且第一光阻圖案142以及第二光阻圖案144的一對對向末端部分可在第一方向D1上彼此間隔開距離dp。然而,歸因於上文所提及的光學現象,第一光阻圖案142的末端部分可具有大於或小於第一寬度W1的寬度,且第二光阻圖案144的末端部分可具有大於或小於第三寬度W3的寬度。此外,第一光阻圖案142與第二光阻圖案144之間的距離可並不均勻。在此狀況下,距離dp可界定為在第一方向D1上彼此鄰近的第一光阻圖案142以及第二光阻圖案144的末端部分之間在第一方向D1上的最大距離值。In some cases of the representative embodiment, the first photoresist pattern 142 and the second photoresist pattern 144 are formed to be spaced apart from each other in the first direction D1. For example, the first photoresist pattern 142 and the second photoresist pattern 144 may have end portions in the third region R3, and the pair of opposite end portions of the first photoresist pattern 142 and the second photoresist pattern 144 may be The distance dp is spaced apart from each other in the first direction D1. However, due to the optical phenomenon mentioned above, the end portion of the first photoresist pattern 142 may have a width greater than or less than the first width W1, and the end portion of the second photoresist pattern 144 may have greater or lesser The width of the third width W3. In addition, the distance between the first photoresist pattern 142 and the second photoresist pattern 144 may not be uniform. In this case, the distance dp may be defined as a maximum distance value between the first photoresist pattern 142 adjacent to each other in the first direction D1 and the end portion of the second photoresist pattern 144 in the first direction D1.

在代表性實施例的其他狀況下,如圖9A以及圖9B中所繪示,第一光阻圖案142以及第二光阻圖案144彼此連接。特定言之,當具有不同間距的第一光阻圖案142以及第二光阻圖案144形成為彼此鄰近時,可發生光學近接效應。結果,連接光阻圖案146可形成於第一光阻圖案142以及第二光阻圖案144的末端部分之間以將第一光阻圖案142以及第二光阻圖案144彼此連接。亦即,光學近接效應可在第一光阻圖案142以及第二光阻圖案144的末端部分之間帶來非預期連接,且此非預期連接圖案可構成連接光阻圖案146。又,儘管連接光阻圖案146說明為鄰接另一者(亦即,所有第一光阻圖案142以及第二光阻圖案144)以便與此構成單一主體,但連接光阻圖案146可呈其他形式。舉例而言,每一連接光阻圖案146可僅將第一光阻圖案142中的各別一者與第二光阻圖案144中的各別一者彼此連接。In other cases of the representative embodiment, as illustrated in FIGS. 9A and 9B, the first photoresist pattern 142 and the second photoresist pattern 144 are connected to each other. In particular, when the first photoresist pattern 142 and the second photoresist pattern 144 having different pitches are formed adjacent to each other, an optical proximity effect may occur. As a result, the connection photoresist pattern 146 may be formed between the first photoresist pattern 142 and the end portions of the second photoresist pattern 144 to connect the first photoresist pattern 142 and the second photoresist pattern 144 to each other. That is, the optical proximity effect may cause an unintended connection between the end portions of the first photoresist pattern 142 and the second photoresist pattern 144, and the unintended connection pattern may constitute the connection photoresist pattern 146. Moreover, although the connection resist pattern 146 is illustrated as abutting the other (ie, all of the first photoresist pattern 142 and the second photoresist pattern 144) to form a single body with this, the connection photoresist pattern 146 may take other forms. . For example, each of the connection photoresist patterns 146 may connect only one of the first photoresist patterns 142 and each of the second photoresist patterns 144 to each other.

後續製程中將形成的犧牲圖案、間隔物、硬式遮罩的圖案以及初步主動圖案的形狀可取決於光阻圖案的形狀,但根據本發明概念的代表性實施例,光阻圖案的形狀可並不帶來主動圖案的最後形狀差異。此外,可無需取決於光阻圖案的形狀而以相同方式執行將執行以形成主動圖案的製造步驟。在下文中,為簡單起見,以下描述將參看第一以及第二光阻圖案具有圖1A以及圖1B中所繪示的形狀的本實施例的實例。The shape of the sacrificial pattern, the spacer, the pattern of the hard mask, and the shape of the preliminary active pattern to be formed in the subsequent process may depend on the shape of the photoresist pattern, but according to a representative embodiment of the inventive concept, the shape of the photoresist pattern may be Does not bring the final shape difference of the active pattern. Further, the manufacturing steps to be performed to form the active pattern may be performed in the same manner without depending on the shape of the photoresist pattern. Hereinafter, for the sake of simplicity, the following description will refer to an example of the present embodiment in which the first and second photoresist patterns have the shapes illustrated in FIGS. 1A and 1B.

參看圖2A以及圖2B,可圖案化由第一光阻圖案142以及第二光阻圖案144暴露的犧牲層130以形成第一犧牲圖案132以及第二犧牲圖案134。可使用(例如)各向異性乾式蝕刻製程執行犧牲層130的圖案化,在所述製程中第一光阻圖案142以及第二光阻圖案144用作蝕刻遮罩。因此,當在平面圖中檢視時,第一犧牲圖案132可形成為具有實質上相同於第一光阻圖案142的彼等形狀的形狀且第二犧牲圖案134可形成為具有實質上相同於第二光阻圖案144的彼等形狀的形狀。換言之,類似於第一光阻圖案142以及第二光阻圖案144的情況,第一犧牲圖案132以及第二犧牲圖案134可反覆地安置於基板100上以具有線與間隙配置。第一犧牲圖案132可形成為具有實質上等於對應第一光阻圖案142的彼等寬度以及間隔或間距的寬度以及間隔或間距。類似地,第二犧牲圖案134可形成為具有實質上等於對應第二光阻圖案144的彼等寬度以及間隔或間距的寬度以及間隔或間距。亦即,第一犧牲圖案132可形成為具有第一寬度W1且一對鄰近第一犧牲圖案132可彼此間隔開第二寬度W2。第二犧牲圖案134可形成為具有第三寬度W3且一對鄰近第二犧牲圖案134可彼此間隔開第四寬度W4。Referring to FIGS. 2A and 2B, the sacrificial layer 130 exposed by the first photoresist pattern 142 and the second photoresist pattern 144 may be patterned to form a first sacrificial pattern 132 and a second sacrificial pattern 134. Patterning of the sacrificial layer 130 may be performed using, for example, an anisotropic dry etch process in which the first photoresist pattern 142 and the second photoresist pattern 144 are used as an etch mask. Therefore, when viewed in a plan view, the first sacrificial patterns 132 may be formed to have shapes substantially the same as the shapes of the first photoresist patterns 142 and the second sacrificial patterns 134 may be formed to have substantially the same as the second The shapes of the shapes of the photoresist patterns 144. In other words, similar to the case of the first photoresist pattern 142 and the second photoresist pattern 144, the first sacrificial pattern 132 and the second sacrificial pattern 134 may be repeatedly disposed on the substrate 100 to have a line and gap configuration. The first sacrificial patterns 132 may be formed to have a width and an interval or a pitch substantially equal to the widths and intervals or pitches of the corresponding first photoresist patterns 142. Similarly, the second sacrificial patterns 134 may be formed to have a width and an interval or a pitch substantially equal to the widths and intervals or pitches of the corresponding second photoresist patterns 144. That is, the first sacrificial pattern 132 may be formed to have a first width W1 and a pair of adjacent first sacrificial patterns 132 may be spaced apart from each other by a second width W2. The second sacrificial pattern 134 may be formed to have a third width W3 and a pair of adjacent second sacrificial patterns 134 may be spaced apart from each other by a fourth width W4.

隨後,可形成第一間隔物152以覆蓋第一犧牲圖案132的側壁表面且可形成第二間隔物154以覆蓋第二犧牲圖案134的側壁表面。在例示性實施例中,側壁表面間隔物(亦即,第一間隔物152以及第二間隔物154)的形成可包含在基板100上形成分隔層以共形地覆蓋第一犧牲圖案132以及第二犧牲圖案134,並在分隔層上執行各向異性蝕刻製程(例如,無需任何其他遮罩圖案)以暴露上部遮罩層120。因此,第一間隔物152以及第二間隔物154可形成為分別圍繞第一犧牲圖案132以及第二犧牲圖案134整體。分隔層可由(例如)氧化矽形成或包含氧化矽。分隔層可藉由原子層沈積(ALD)製程形成。當以第二方向D2量測時,第一間隔物152中的鄰近者之間的距離可被界定為第五寬度W5且第二間隔物154中的鄰近者之間的距離可被界定為第六寬度W6。亦即,第五寬度W5可指在第二方向D2上面向彼此的第一間隔物152的側壁表面之間的最短距離,且第六寬度W6可指在第二方向D2上面向彼此的第二間隔物154的側壁表面之間的最短距離。在代表性實施例的實例中,第五寬度W5實質上等於第一寬度W1且第六寬度W6實質上等於第三寬度W3。因此,第五寬度W5可取決於第一寬度W1、第二寬度W2以及分隔層的厚度。類似地,第六寬度W6可取決於第三寬度W3、第四寬度W4以及分隔層的厚度。Subsequently, a first spacer 152 may be formed to cover a sidewall surface of the first sacrificial pattern 132 and a second spacer 154 may be formed to cover a sidewall surface of the second sacrificial pattern 134. In an exemplary embodiment, the forming of the sidewall surface spacers (ie, the first spacers 152 and the second spacers 154) may include forming a spacer layer on the substrate 100 to conformally cover the first sacrificial patterns 132 and the first The sacrificial pattern 134 is patterned and an anisotropic etch process (eg, without any other mask pattern) is performed on the spacer layer to expose the upper mask layer 120. Therefore, the first spacer 152 and the second spacer 154 may be formed to surround the first sacrificial pattern 132 and the second sacrificial pattern 134 as a whole. The separator layer may be formed of, for example, cerium oxide or contain cerium oxide. The spacer layer can be formed by an atomic layer deposition (ALD) process. When measured in the second direction D2, the distance between the neighbors in the first spacer 152 may be defined as the fifth width W5 and the distance between the neighbors in the second spacer 154 may be defined as the Six width W6. That is, the fifth width W5 may refer to the shortest distance between the side wall surfaces of the first spacers 152 facing each other in the second direction D2, and the sixth width W6 may refer to the second surface facing each other in the second direction D2 The shortest distance between the sidewall surfaces of the spacers 154. In an example of a representative embodiment, the fifth width W5 is substantially equal to the first width W1 and the sixth width W6 is substantially equal to the third width W3. Therefore, the fifth width W5 may depend on the first width W1, the second width W2, and the thickness of the separation layer. Similarly, the sixth width W6 may depend on the third width W3, the fourth width W4, and the thickness of the separation layer.

參看圖3A以及圖3B,可移除第一犧牲圖案132以及第二犧牲圖案134。在例示性實施例中,可藉由(例如)灰化以及/或剝離製程移除第一犧牲圖案132以及第二犧牲圖案134。Referring to FIGS. 3A and 3B, the first sacrificial pattern 132 and the second sacrificial pattern 134 may be removed. In an exemplary embodiment, the first sacrificial pattern 132 and the second sacrificial pattern 134 may be removed by, for example, an ashing and/or stripping process.

隨後,可藉由第一間隔物152以及第二間隔物154用作蝕刻遮罩的蝕刻製程蝕刻上部遮罩層120,且結果,第一上部遮罩圖案122以及第二上部遮罩圖案124可形成於下部遮罩層110上。當在平面圖中檢視時,第一上部遮罩圖案122以及第二上部遮罩圖案124可分別形成為具有實質上相同於第一間隔物152以及第二間隔物154的彼等形狀的形狀。同時,儘管圖3A僅說明第一上部遮罩圖案122以及第二上部遮罩圖案124中的每一者的末端部分中的一者,但對向末端部分可形成為具有實質上相同於所說明者的形狀。此情況意謂第一上部遮罩圖案122中的每一者可具有封閉迴路形狀,包含在第二方向D2上細長且彼此連接的一對線圖案。在例示性實施例中,第一上部遮罩圖案122中的每一者的內側壁表面之間的距離可實質上相同於第一犧牲圖案132中的對應一者的第一寬度W1。此外,在第二方向D2上彼此鄰近的第一上部遮罩圖案122之間的距離可實質上相同於第五寬度W5。Subsequently, the upper mask layer 120 may be etched by an etching process in which the first spacer 152 and the second spacer 154 are used as an etch mask, and as a result, the first upper mask pattern 122 and the second upper mask pattern 124 may be Formed on the lower mask layer 110. The first upper mask pattern 122 and the second upper mask pattern 124 may be respectively formed into shapes having substantially the same shape as the first spacer 152 and the second spacer 154 when viewed in a plan view. Meanwhile, although FIG. 3A illustrates only one of the end portions of each of the first upper mask pattern 122 and the second upper mask pattern 124, the opposite end portions may be formed to have substantially the same as illustrated The shape of the person. This case means that each of the first upper mask patterns 122 may have a closed loop shape including a pair of line patterns elongated in the second direction D2 and connected to each other. In an exemplary embodiment, the distance between the inner sidewall surfaces of each of the first upper shroud patterns 122 may be substantially the same as the first width W1 of a corresponding one of the first sacrificial patterns 132. Further, the distance between the first upper mask patterns 122 adjacent to each other in the second direction D2 may be substantially the same as the fifth width W5.

類似地,第二上部遮罩圖案124中的每一者可包含在第二方向D2上細長且彼此連接的一對線圖案且藉此具有封閉迴路形狀。在代表性實施例的實例中,第二上部遮罩圖案124中的每一者的內側壁表面之間的距離可實質上相同於第二犧牲圖案134中的對應一者的第三寬度W3。此外,在第二方向D2上彼此鄰近的第二上部遮罩圖案124之間的距離可實質上相同於第六寬度W6。在某些實施例中,用於形成第一上部遮罩圖案122以及第二上部遮罩圖案124的蝕刻製程可在第一上部遮罩圖案122以及第二上部遮罩圖案124上留下第一間隔物152以及第二間隔物154。Similarly, each of the second upper mask patterns 124 may include a pair of line patterns elongated and connected to each other in the second direction D2 and thereby having a closed loop shape. In an example of a representative embodiment, the distance between the inner sidewall surfaces of each of the second upper shroud patterns 124 may be substantially the same as the third width W3 of a corresponding one of the second sacrificial patterns 134. Further, the distance between the second upper mask patterns 124 adjacent to each other in the second direction D2 may be substantially the same as the sixth width W6. In some embodiments, an etch process for forming the first upper mask pattern 122 and the second upper mask pattern 124 may leave a first on the first upper mask pattern 122 and the second upper mask pattern 124. Spacer 152 and second spacer 154.

參看圖4A以及圖4B,可藉由蝕刻製程蝕刻下部遮罩層110以形成第一下部遮罩圖案112以及第二下部遮罩圖案114,在所述製程中第一上部遮罩圖案122以及第二上部遮罩圖案124用作蝕刻遮罩。當在平面圖中檢視時,第一下部遮罩圖案112以及第二下部遮罩圖案114可分別形成為具有實質上相同於第一上部遮罩圖案122以及第二上部遮罩圖案124的形狀。第一上部遮罩圖案122以及第一下部遮罩圖案112可構成第一硬式遮罩圖案127且第二上部遮罩圖案124以及第二下部遮罩圖案114可構成第二硬式遮罩圖案129。在例示性實施例中,可在用於形成第一下部遮罩圖案112以及第二下部遮罩圖案114的蝕刻製程期間或之前移除第一間隔物152以及第二間隔物154。Referring to FIG. 4A and FIG. 4B, the lower mask layer 110 may be etched by an etching process to form a first lower mask pattern 112 and a second lower mask pattern 114, in which the first upper mask pattern 122 and The second upper mask pattern 124 serves as an etch mask. The first lower mask pattern 112 and the second lower mask pattern 114 may be respectively formed to have shapes substantially the same as the first upper mask pattern 122 and the second upper mask pattern 124 when viewed in a plan view. The first upper mask pattern 122 and the first lower mask pattern 112 may constitute the first hard mask pattern 127 and the second upper mask pattern 124 and the second lower mask pattern 114 may constitute the second hard mask pattern 129 . In an exemplary embodiment, the first spacer 152 and the second spacer 154 may be removed during or prior to an etching process for forming the first lower mask pattern 112 and the second lower mask pattern 114.

參看圖5A以及圖5B,可藉由蝕刻製程蝕刻基板100的上部部分以形成界定第一初步主動圖案AP1a以及第二初步主動圖案AP2a的第一溝槽T1,在所述製程中第一硬式遮罩圖案127以及第二硬式遮罩圖案129用作蝕刻遮罩。第一初步主動圖案AP1a可形成於第一區R1中,且第二初步主動圖案AP2a可形成於第二區R2中。第一初步主動圖案AP1a以及第二初步主動圖案AP2a自基板表面100向上地凸起。可在已形成第一初步主動圖案AP1a以及第二初步主動圖案AP2a之後移除第一上部遮罩圖案122以及第二上部遮罩圖案124以及/或第一下部遮罩圖案112以及第二下部遮罩圖案114的任何剩餘部分。Referring to FIGS. 5A and 5B, an upper portion of the substrate 100 may be etched by an etching process to form a first trench T1 defining a first preliminary active pattern AP1a and a second preliminary active pattern AP2a, the first hard mask in the process. The cover pattern 127 and the second hard mask pattern 129 are used as an etch mask. The first preliminary active pattern AP1a may be formed in the first region R1, and the second preliminary active pattern AP2a may be formed in the second region R2. The first preliminary active pattern AP1a and the second preliminary active pattern AP2a are convex upward from the substrate surface 100. The first upper mask pattern 122 and the second upper mask pattern 124 and/or the first lower mask pattern 112 and the second lower portion may be removed after the first preliminary active pattern AP1a and the second preliminary active pattern AP2a have been formed. Any remaining portion of the mask pattern 114.

當在平面圖中檢視時,第一初步主動圖案AP1a可具有實質上相同於第一上部遮罩圖案122以及第一下部遮罩圖案112的形狀。舉例而言,第一初步主動圖案AP1a中的每一者可包含平行於第一方向D1延伸的一對第一線圖案L1以及將第一線圖案L1的末端彼此連接的第一連接圖案C1。第一線圖案L1以及第一連接圖案C1的一部分可位於第三區R3中。所述對第一線圖案L1之間的距離可實質上等於第一犧牲圖案132的第一寬度W1。此外,在第二方向D2上彼此鄰近的第一初步主動圖案AP1a之間的距離可實質上等於第五寬度W5。在代表性實施例的實例中,第一寬度W1實質上等於第五寬度W5。The first preliminary active pattern AP1a may have a shape substantially the same as the first upper mask pattern 122 and the first lower mask pattern 112 when viewed in a plan view. For example, each of the first preliminary active patterns AP1a may include a pair of first line patterns L1 extending parallel to the first direction D1 and a first connection pattern C1 connecting the ends of the first line patterns L1 to each other. The first line pattern L1 and a portion of the first connection pattern C1 may be located in the third region R3. The distance between the pair of first line patterns L1 may be substantially equal to the first width W1 of the first sacrificial patterns 132. Further, the distance between the first preliminary active patterns AP1a adjacent to each other in the second direction D2 may be substantially equal to the fifth width W5. In an example of a representative embodiment, the first width W1 is substantially equal to the fifth width W5.

類似地,當在平面圖中檢視時,第二初步主動圖案AP2a可具有實質上相同於第二上部遮罩圖案124以及第二下部遮罩圖案114的形狀。舉例而言,第二初步主動圖案AP2a中的每一者可包含平行於第一方向D1延伸的一對第二線圖案L2以及將第二線圖案L2的末端彼此連接的第二連接圖案C2。第二線圖案L2以及第二連接圖案C2的一部分可位於第三區R3中。所述對第二線圖案L2之間的距離可實質上等於第二犧牲圖案132的第三寬度W3。此外,在第二方向D2上彼此鄰近的第二初步主動圖案AP2a之間的距離可實質上等於第六寬度W6。在代表性實施例的實例中,第三寬度W3實質上等於第六寬度W6。Similarly, the second preliminary active pattern AP2a may have a shape substantially the same as the second upper mask pattern 124 and the second lower mask pattern 114 when viewed in a plan view. For example, each of the second preliminary active patterns AP2a may include a pair of second line patterns L2 extending parallel to the first direction D1 and a second connection pattern C2 connecting the ends of the second line patterns L2 to each other. A portion of the second line pattern L2 and the second connection pattern C2 may be located in the third region R3. The distance between the pair of second line patterns L2 may be substantially equal to the third width W3 of the second sacrificial patterns 132. Further, the distance between the second preliminary active patterns AP2a adjacent to each other in the second direction D2 may be substantially equal to the sixth width W6. In an example of a representative embodiment, the third width W3 is substantially equal to the sixth width W6.

參看圖6A以及圖6B,第一遮罩圖案160可形成於基板100上。第一遮罩圖案160可形成為暴露第三區R3的整個面積。換言之,當在平面圖中檢視時,第一遮罩圖案160並不與第三區R3重疊。因此,在此實例中,第一遮罩圖案160可形成為暴露第一初步主動圖案AP1a的一部分(例如,第一線圖案L1的部分以及第一連接圖案C1)以及第二初步主動圖案AP2a的一部分(例如,第二線圖案L2的部分以及第二連接圖案C2)。第一遮罩圖案160可由(例如)SOH材料形成或包含SOH材料。第一遮罩圖案160亦可暴露圖中未繪示的第一初步主動圖案AP1a以及第二初步主動圖案AP2a的對向末端部分。Referring to FIGS. 6A and 6B, a first mask pattern 160 may be formed on the substrate 100. The first mask pattern 160 may be formed to expose the entire area of the third region R3. In other words, the first mask pattern 160 does not overlap the third region R3 when viewed in a plan view. Therefore, in this example, the first mask pattern 160 may be formed to expose a portion of the first preliminary active pattern AP1a (eg, a portion of the first line pattern L1 and the first connection pattern C1) and a second preliminary active pattern AP2a A portion (for example, a portion of the second line pattern L2 and the second connection pattern C2). The first mask pattern 160 may be formed of, for example, an SOH material or comprise an SOH material. The first mask pattern 160 may also expose the opposite end portions of the first preliminary active pattern AP1a and the second preliminary active pattern AP2a (not shown).

參看圖7A以及圖7B,可執行將第一遮罩圖案160用作蝕刻遮罩的蝕刻製程以形成第二溝槽T2。第二溝槽T2可形成為具有大於第一溝槽T1的深度的深度。換言之,第二溝槽T2可具有低於第一溝槽T1的底表面的底表面。在某些實施例中,可執行蝕刻製程以移除在第三區R3中的第一初步主動圖案AP1a的部分(例如,第一線圖案L1的部分以及第一連接圖案C1)以及第二初步主動圖案AP2a的部分(例如,第二線圖案L2的部分以及第二連接圖案C2)。結果,第一主動圖案AP1b以及第二主動圖案AP2b分別由第一初步主動圖案AP1a以及第二初步主動圖案AP2a形成。在下文中,用於移除第一初步主動圖案AP1a以及第二初步主動圖案AP2a的部分的步驟系列將被稱作「鰭切割製程」。Referring to FIGS. 7A and 7B, an etching process using the first mask pattern 160 as an etch mask may be performed to form the second trench T2. The second trench T2 may be formed to have a depth greater than a depth of the first trench T1. In other words, the second trench T2 may have a bottom surface lower than the bottom surface of the first trench T1. In some embodiments, an etching process may be performed to remove portions of the first preliminary active pattern AP1a in the third region R3 (eg, portions of the first line pattern L1 and the first connection pattern C1) and a second preliminary A portion of the active pattern AP2a (eg, a portion of the second line pattern L2 and a second connection pattern C2). As a result, the first active pattern AP1b and the second active pattern AP2b are formed by the first preliminary active pattern AP1a and the second preliminary active pattern AP2a, respectively. Hereinafter, a series of steps for removing portions of the first preliminary active pattern AP1a and the second preliminary active pattern AP2a will be referred to as a "fin cutting process."

第一主動圖案AP1b可各自具有在第一方向D1上縱向延伸的線性結構且可在第二方向D2上彼此間隔開。類似地,第二主動圖案AP2b可各自具有在第一方向D1上縱向延伸的線性結構且可在第二方向D2上彼此間隔開。當在第二方向D2上量測時,第一主動圖案AP1b之間的距離可對應於第一初步主動圖案AP1a的第一寬度W1以及第五寬度W5。在第一寬度W1以及第五寬度W5實質上彼此相等的狀況下,第一主動圖案AP1b之間在第二方向D2上的距離可為均勻的(例如,第一距離d1)。當在第二方向D2上量測時,第二主動圖案AP2b之間的距離可對應於第二初步主動圖案AP2a的第三寬度W3以及第六寬度W6。在第三寬度W3以及第六寬度W6實質上彼此相等的狀況下,第二主動圖案AP2b之間在第二方向D2上的距離可為均勻的(例如,第二距離d2)。在本實施例中,第一距離d1不同於第二距離d2。舉例而言,第二距離d2可大於第一距離d1。The first active patterns AP1b may each have a linear structure extending longitudinally in the first direction D1 and may be spaced apart from each other in the second direction D2. Similarly, the second active patterns AP2b may each have a linear structure extending longitudinally in the first direction D1 and may be spaced apart from each other in the second direction D2. When measured in the second direction D2, the distance between the first active patterns AP1b may correspond to the first width W1 and the fifth width W5 of the first preliminary active pattern AP1a. In a case where the first width W1 and the fifth width W5 are substantially equal to each other, the distance between the first active patterns AP1b in the second direction D2 may be uniform (for example, the first distance d1). When measured in the second direction D2, the distance between the second active patterns AP2b may correspond to the third width W3 and the sixth width W6 of the second preliminary active pattern AP2a. In a situation where the third width W3 and the sixth width W6 are substantially equal to each other, the distance between the second active patterns AP2b in the second direction D2 may be uniform (eg, the second distance d2). In the present embodiment, the first distance d1 is different from the second distance d2. For example, the second distance d2 may be greater than the first distance d1.

可在已形成第二溝槽T2之後移除第一遮罩圖案160。可藉由(例如)灰化以及/或剝離製程移除第一遮罩圖案160。在代表性實施例的一些實例中,移除第一主動圖案AP1b的不必要部分(在下文中為第一不必要部分AP1b')。舉例而言,第一不必要部分AP1b'的移除可包含形成暴露第一不必要部分AP1b'的遮罩圖案(未繪示)以及將遮罩圖案用作蝕刻遮罩來蝕刻第一不必要部分AP1b'。The first mask pattern 160 may be removed after the second trench T2 has been formed. The first mask pattern 160 can be removed by, for example, an ashing and/or stripping process. In some examples of representative embodiments, an unnecessary portion of the first active pattern AP1b (hereinafter the first unnecessary portion AP1b') is removed. For example, the removal of the first unnecessary portion AP1b' may include forming a mask pattern (not shown) exposing the first unnecessary portion AP1b' and using the mask pattern as an etch mask to etch the first unnecessary Part of AP1b'.

接下來,裝置隔離圖案ST可形成於第一溝槽T1以及第二溝槽T2中。舉例而言,裝置隔離圖案ST的形成可包含在基板100上形成裝置隔離層以填充第一溝槽T1以及第二溝槽T2並平坦化裝置隔離層以暴露基板100。可蝕刻裝置隔離圖案ST的上部部分以暴露第一主動圖案AP1b以及第二主動圖案AP2b的上部部分。在下文中,第一主動圖案AP1b以及第二主動圖案AP2b的所暴露上部部分(亦即,相對於裝置隔離圖案ST向上地凸起的第一主動圖案AP1b以及第二主動圖案AP2b的上部部分)將分別被稱作「第一主動鰭AF1以及第二主動鰭AF2」。Next, the device isolation pattern ST may be formed in the first trench T1 and the second trench T2. For example, the formation of the device isolation pattern ST may include forming a device isolation layer on the substrate 100 to fill the first trench T1 and the second trench T2 and planarizing the device isolation layer to expose the substrate 100. The upper portion of the device isolation pattern ST may be etched to expose the upper portions of the first active pattern AP1b and the second active pattern AP2b. Hereinafter, the exposed upper portion of the first active pattern AP1b and the second active pattern AP2b (that is, the upper portion of the first active pattern AP1b and the second active pattern AP2b that are convex upward with respect to the device isolation pattern ST) will They are called "first active fin AF1 and second active fin AF2", respectively.

由於上文所描述的製程,第一主動圖案AP1b可具有沿著第二方向D2在第一區R1以及第三區R3的邊界處對準的末端表面。又,基板100在第一區R1中的頂表面可相接在第一區R1以及第三區R3的邊界處界定第二溝槽T2的側面的基板的側壁表面。類似地,第二主動圖案AP2b可具有沿著第二方向D2在第二區R2以及第三區R3的邊界處對準的末端表面。又,基板100在第二區R2中的頂表面可相接在第二區R2以及第三區R3之間的邊界處界定第二溝槽T2的側面的基板的側壁表面。換言之,第三區R3的寬度(第三區R3在第一方向D1上的尺寸)可為第一主動圖案AP1b以及第二主動圖案AP2b的對置末端表面之間的距離‘dap’。此處,距離dap可實質上等於第二溝槽T2的寬度。換言之,第二溝槽T2的寬度可等於第三區R3的寬度。此外,儘管第二溝槽T2在圖式中繪示為具有垂直側面,但第二溝槽T2可具有朝下逐漸變小的輪廓。因此,第二溝槽T2的最大寬度可被視為第二溝槽T2的寬度。第三區R3可經設計以儘可能窄,同時仍能夠實質上防止第一區R1以及第二區R2的電晶體(亦即,FET)之間的電干擾或擾亂。Due to the process described above, the first active pattern AP1b may have an end surface aligned along the second direction D2 at the boundary of the first region R1 and the third region R3. Also, the top surface of the substrate 100 in the first region R1 may be in contact with the sidewall surface of the substrate defining the side of the second trench T2 at the boundary of the first region R1 and the third region R3. Similarly, the second active pattern AP2b may have an end surface aligned along the second direction D2 at the boundary of the second region R2 and the third region R3. Also, the top surface of the substrate 100 in the second region R2 may be in contact with the sidewall surface of the substrate defining the side of the second trench T2 at the boundary between the second region R2 and the third region R3. In other words, the width of the third region R3 (the size of the third region R3 in the first direction D1) may be the distance 'dap' between the opposite end surfaces of the first active pattern AP1b and the second active pattern AP2b. Here, the distance dap may be substantially equal to the width of the second trench T2. In other words, the width of the second trench T2 may be equal to the width of the third region R3. Further, although the second trench T2 is illustrated as having a vertical side in the drawing, the second trench T2 may have a profile that gradually becomes downward. Therefore, the maximum width of the second trench T2 can be regarded as the width of the second trench T2. The third region R3 can be designed to be as narrow as possible while still substantially preventing electrical interference or disturbance between the transistors (i.e., FETs) of the first region R1 and the second region R2.

更具體而言,在半導體裝置的第一主動圖案以及第二主動圖案具有彼此不同的間距的狀況下,歸因於用於形成主動圖案的光微影製程的解析度限制,總體而言,第一主動圖案以及第二主動圖案將通常必須彼此間隔開大於提供可靠裝置所要求的距離。此情況帶來晶片面積開銷的額外消耗。相反地,在根據本發明概念的代表性實施例中,第一主動圖案AP1b以及第二主動圖案AP2b的群組可形成為彼此儘可能接近,而無需受限於用於形成圖案的方法中所使用的光微影製程中可達成的解析度。儘管第一以及第二光阻圖案中的圖案缺陷(例如,如參看圖9A以及圖9B所描述的連接圖案146的形成)可在第一初步主動圖案AP1a以及第二初步主動圖案AP2a中帶來類似或部分缺陷,但可通過圖7A以及圖7B的鰭切割製程移除第一初步主動圖案AP1a以及第二初步主動圖案AP2a的此等缺陷。結果,有可能在第一區R1以及第二區R2中形成電晶體,這些電晶體將在其間並不發生電干擾情況下操作同時最小化分離形成於第一區R1中的電晶體與形成於第二區R2中的電晶體的第三區R3的面積。換言之,有可能在晶片的最小面積量中提供處於不同間距的電晶體。More specifically, in the case where the first active pattern and the second active pattern of the semiconductor device have different pitches from each other, due to the resolution limitation of the photolithography process for forming the active pattern, in general, An active pattern and a second active pattern will typically have to be spaced apart from each other by a distance greater than that required to provide a reliable device. This situation leads to additional consumption of wafer area overhead. In contrast, in a representative embodiment according to the inventive concept, groups of the first active pattern AP1b and the second active pattern AP2b may be formed as close as possible to each other without being limited by the method for forming a pattern. The resolution that can be achieved in the photolithography process used. Although pattern defects in the first and second photoresist patterns (for example, formation of the connection patterns 146 as described with reference to FIGS. 9A and 9B) may be brought in the first preliminary active pattern AP1a and the second preliminary active pattern AP2a Similar or partial defects, but such defects of the first preliminary active pattern AP1a and the second preliminary active pattern AP2a may be removed by the fin cutting process of FIGS. 7A and 7B. As a result, it is possible to form transistors in the first region R1 and the second region R2, which will operate without electrical interference therebetween while minimizing separation of the transistors formed in the first region R1 and formation The area of the third region R3 of the transistor in the second region R2. In other words, it is possible to provide transistors at different pitches in a minimum amount of area of the wafer.

圖8A以及圖8B說明在第一區R1以及第二區R2中完成形成電晶體的步驟。8A and 8B illustrate the steps of completing the formation of a transistor in the first region R1 and the second region R2.

參看此等圖,第一閘極結構GS1以及第二閘極結構GS2可形成於基板100上以分別交叉第一主動圖案AP1b以及第二主動圖案AP2b。第一閘極結構GS1中的每一者可包含依序堆疊於基板100上的第一閘極介電圖案GD1以及第一閘極電極GE1。第二閘極結構GS2中的每一者可包含依序堆疊於基板100上的第二閘極介電圖案GD2以及第二閘極電極GE2。在代表性實施例的實例中,第一閘極結構GS1以及第二閘極結構GS2的形成包含形成具有開口的第一間層絕緣層170以及在開口中依序形成閘極介電層以及閘極電極層。在代表性實施例的另一實例中,第一閘極結構GS1以及第二閘極結構GS2的形成包含在基板100上依序形成閘極介電層以及閘極電極層且接著圖案化閘極介電層以及閘極電極層。在此實例中,可在已形成第一閘極結構GS1以及第二閘極結構GS2之後形成第一間層絕緣層170。第一閘極介電圖案GD1以及第二閘極介電圖案GD2可由氧化矽層、氮氧化矽層以及其介電常數高於氧化矽層的介電常數的高k介電材料中的至少一者形成或包含所述材料。第一閘極電極GE1以及第二閘極電極GE2可包含選自由以下各者組成的群組的至少一個材料:經摻雜半導體材料、金屬以及導電金屬氮化物。第一間層絕緣層170可包含(例如)氧化矽層、氮化矽層以及氮氧化矽層中的至少一者。儘管未繪示,但閘極間隔物可形成於第一閘極結構GS1以及第二閘極結構GS2中的每一者的兩側上。又,應注意,在第一閘極結構GS1以及第二閘極結構GS2的形成期間,虛擬圖案(亦即,虛擬閘極結構)不必形成於第三區R3中。Referring to the figures, the first gate structure GS1 and the second gate structure GS2 may be formed on the substrate 100 to intersect the first active pattern AP1b and the second active pattern AP2b, respectively. Each of the first gate structures GS1 may include a first gate dielectric pattern GD1 and a first gate electrode GE1 sequentially stacked on the substrate 100. Each of the second gate structures GS2 may include a second gate dielectric pattern GD2 and a second gate electrode GE2 sequentially stacked on the substrate 100. In an example of a representative embodiment, the forming of the first gate structure GS1 and the second gate structure GS2 includes forming a first interlayer insulating layer 170 having an opening and sequentially forming a gate dielectric layer and a gate in the opening Electrode layer. In another example of the representative embodiment, the forming of the first gate structure GS1 and the second gate structure GS2 includes sequentially forming a gate dielectric layer and a gate electrode layer on the substrate 100 and then patterning the gate Dielectric layer and gate electrode layer. In this example, the first interlayer insulating layer 170 may be formed after the first gate structure GS1 and the second gate structure GS2 have been formed. The first gate dielectric pattern GD1 and the second gate dielectric pattern GD2 may be at least one of a hafnium oxide layer, a hafnium oxynitride layer, and a high-k dielectric material having a dielectric constant higher than a dielectric constant of the hafnium oxide layer. The material is formed or contained. The first gate electrode GE1 and the second gate electrode GE2 may include at least one material selected from the group consisting of: a doped semiconductor material, a metal, and a conductive metal nitride. The first interlayer insulating layer 170 may include, for example, at least one of a hafnium oxide layer, a tantalum nitride layer, and a hafnium oxynitride layer. Although not shown, a gate spacer may be formed on both sides of each of the first gate structure GS1 and the second gate structure GS2. Also, it should be noted that during the formation of the first gate structure GS1 and the second gate structure GS2, the dummy pattern (that is, the dummy gate structure) does not have to be formed in the third region R3.

第一源極/汲極區可形成於第一主動圖案AP1b中以及每一第一閘極結構GS1的兩側處,且第二源極/汲極區可形成於第二主動圖案AP2b中以及每一第二閘極結構GS2的兩側處。第一閘極結構GS1以及第一源極/汲極區可構成參看圖1A以及圖1B所描述的單元陣列的記憶體單元電晶體。安置於第一閘極結構GS1下方的第一有效鰭AF1的區可充當記憶體單元電晶體的通道區。類似地,第二閘極結構GS2以及第二源極/汲極區可構成參看圖1A以及圖1B所描述的周邊電路的周邊電路電晶體。安置於第二閘極結構GS2下方的第二有效鰭AF2的區可充當周邊電路電晶體的通道區。a first source/drain region may be formed in the first active pattern AP1b and at both sides of each of the first gate structures GS1, and a second source/drain region may be formed in the second active pattern AP2b and At both sides of each second gate structure GS2. The first gate structure GS1 and the first source/drain regions may constitute a memory cell transistor of the cell array described with reference to FIGS. 1A and 1B. The region of the first effective fin AF1 disposed under the first gate structure GS1 may serve as a channel region of the memory cell transistor. Similarly, the second gate structure GS2 and the second source/drain region may constitute a peripheral circuit transistor of the peripheral circuit described with reference to FIGS. 1A and 1B. The region of the second effective fin AF2 disposed under the second gate structure GS2 may serve as a channel region of the peripheral circuit transistor.

隨後,可形成第一觸點CT1以及第二觸點CT2以將電壓分別施加至第一以及第二源極/汲極區。第一觸點CT1以及第二觸點CT2可形成為穿透可提供以覆蓋第一閘極結構GS1以及第二閘極結構GS2的頂表面的第二間層絕緣層180。第二間層絕緣層180可包含(例如)氧化矽層、氮化矽層以及氮氧化矽層中的至少一者。Subsequently, a first contact CT1 and a second contact CT2 may be formed to apply voltages to the first and second source/drain regions, respectively. The first contact CT1 and the second contact CT2 may be formed to penetrate the second interlayer insulating layer 180 that may be provided to cover the top surfaces of the first gate structure GS1 and the second gate structure GS2. The second interlayer insulating layer 180 may include, for example, at least one of a hafnium oxide layer, a tantalum nitride layer, and a hafnium oxynitride layer.

在由代表性實施例形成的圖8A以及圖8B的裝置中,如上文所提及,第一區R1可為其中安置用於儲存資料的多個記憶體單元電晶體的單元陣列區。舉例而言,SRAM單元(其中的每一者包含六個或八個電晶體)安置於第一區R1中。第二區R2可為安置周邊電路的周邊電路區的一部分。舉例而言,行解碼器或感測放大器安置於第二區R2中。電連接至第一區R1的記憶體單元電晶體的周邊電路電晶體安置於第二區R2中。第三區R3充當將第一區R1以及第二區R2的電晶體彼此分離的緩衝區,且當操作第一區R1以及第二區R2的電晶體時,第三區R3防止第一區R1以及第二區R2的電晶體彼此擾亂或干擾。然而,第三區R3可相對較窄。此外,虛擬圖案並不在第三區R3中插入於基板100與第一間層絕緣層170之間。In the apparatus of FIGS. 8A and 8B formed by a representative embodiment, as mentioned above, the first region R1 may be a cell array region in which a plurality of memory cell transistors for storing data are disposed. For example, SRAM cells, each of which contains six or eight transistors, are disposed in the first zone R1. The second zone R2 can be part of a peripheral circuit zone in which the peripheral circuitry is placed. For example, a row decoder or sense amplifier is disposed in the second region R2. A peripheral circuit transistor electrically connected to the memory cell transistor of the first region R1 is disposed in the second region R2. The third region R3 serves as a buffer for separating the transistors of the first region R1 and the second region R2 from each other, and when operating the transistors of the first region R1 and the second region R2, the third region R3 prevents the first region R1 And the transistors of the second region R2 are disturbed or interfere with each other. However, the third zone R3 can be relatively narrow. Further, the dummy pattern is not interposed between the substrate 100 and the first interlayer insulating layer 170 in the third region R3.

圖10說明包含根據本發明概念所製造的半導體裝置的電子系統的實例。Figure 10 illustrates an example of an electronic system incorporating a semiconductor device fabricated in accordance with the concepts of the present invention.

參看圖10,電子系統1100可包含控制器1110、輸入輸出(I/O)單元1120、記憶體裝置1130、介面1140以及充當資料通信路徑的匯流排1150。控制器1110、輸入輸出單元1120、記憶體裝置1130以及/或介面1140可經由匯流排1150彼此連接或耦接。Referring to FIG. 10, electronic system 1100 can include a controller 1110, an input/output (I/O) unit 1120, a memory device 1130, an interface 1140, and a busbar 1150 that acts as a data communication path. The controller 1110, the input and output unit 1120, the memory device 1130, and/or the interface 1140 may be connected or coupled to each other via the bus bar 1150.

控制器1110可包含(例如)微處理器、數位信號處理器、微控制器或具有類似於微處理器、數位信號處理器或微控制器的功能的物質的任何其他邏輯裝置。輸入輸出單元1120可包含小鍵盤、鍵盤以及顯示裝置中的至少一者。記憶體裝置1130可經組態以儲存資料以及/或命令。介面單元1140可將電資料傳輸至通信網路或可自通信網路接收電資料。介面單元1140可以有線或無線方式操作。舉例而言,介面單元1140可包含用於無線通信的天線或用於有線通信的收發器。儘管圖式中未繪示,但電子系統1100可更包含充當用於改良控制器1110的操作的快取記憶體的快速DRAM裝置以及/或快速SRAM裝置。根據本發明概念所製造的半導體裝置可提供於記憶體裝置1130中或提供為控制器1110以及/或I/O單元1120的部分。Controller 1110 can comprise, for example, a microprocessor, a digital signal processor, a microcontroller, or any other logic device having a substance similar to that of a microprocessor, digital signal processor, or microcontroller. The input output unit 1120 can include at least one of a keypad, a keyboard, and a display device. The memory device 1130 can be configured to store data and/or commands. The interface unit 1140 can transmit electrical data to or receive electrical data from the communication network. The interface unit 1140 can operate in a wired or wireless manner. For example, interface unit 1140 can include an antenna for wireless communication or a transceiver for wired communication. Although not shown in the drawings, electronic system 1100 can further include a fast DRAM device and/or a fast SRAM device that acts as a cache for improving the operation of controller 1110. Semiconductor devices fabricated in accordance with the teachings of the present invention may be provided in or provided as part of controller 1110 and/or I/O unit 1120.

電子系統1100可由諸如個人數位助理(PDA)、攜帶型電腦、網路平板電腦、無線電話、行動電話、數位音樂播放器或記憶卡或可以無線方式傳輸/接收資料的任何其他類型的產品的電子產品利用。可應用圖10的電子系統1100的產品的其他實例包含MP3播放器、導航器(GPS)、固態磁碟機(SSD)、汽車以及家庭電氣設備。The electronic system 1100 can be electronically owned by a personal digital assistant (PDA), a portable computer, a network tablet, a wireless telephone, a mobile phone, a digital music player or a memory card, or any other type of product that can wirelessly transmit/receive data. Product utilization. Other examples of products to which the electronic system 1100 of FIG. 10 may be applied include MP3 players, navigators (GPS), solid state drives (SSDs), automobiles, and home electrical equipment.

圖11說明可利用圖10的電子系統1100的電子產品的一個此實例。亦即,如圖11中所繪示,圖10的電子系統1100可為行動電話1200。FIG. 11 illustrates one such example of an electronic product in which the electronic system 1100 of FIG. 10 can be utilized. That is, as depicted in FIG. 11, the electronic system 1100 of FIG. 10 can be a mobile phone 1200.

最後,上文已詳細描述本發明概念的實施例以及實例。然而,本發明概念可以許多不同形式體現且不應被理解為限於上文所描述的實施例。實情為,描述此等實施例使得本揭露內容透徹且完整,且向熟習此項技術者充分傳達本發明概念。因此,本發明概念的真正精神以及範疇並不限於上文所描述的實施例以及實例而是限於以下申請專利範圍。Finally, embodiments and examples of the inventive concept have been described in detail above. However, the inventive concept may be embodied in many different forms and should not be construed as limited to the embodiments described above. Rather, the embodiments are described so that this disclosure will be thorough and complete, and the invention may be fully conveyed by those skilled in the art. Therefore, the true spirit and scope of the inventive concept is not limited to the embodiments and examples described above but is limited to the scope of the following claims.

100‧‧‧基板/基板表面
110‧‧‧下部遮罩層
112‧‧‧第一下部遮罩圖案
114‧‧‧第二下部遮罩圖案
120‧‧‧上部遮罩層
122‧‧‧第一上部遮罩圖案
124‧‧‧第二上部遮罩圖案
125‧‧‧硬式遮罩
127‧‧‧第一硬式遮罩圖案
129‧‧‧第二硬式遮罩圖案
130‧‧‧犧牲層
132‧‧‧第一犧牲圖案
134‧‧‧第二犧牲圖案
142‧‧‧第一光阻圖案
144‧‧‧第二光阻圖案
146‧‧‧連接光阻圖案
152‧‧‧第一間隔物
154‧‧‧第二間隔物
160‧‧‧第一遮罩圖案
170‧‧‧第一間層絕緣層
180‧‧‧第二間層絕緣層
1100‧‧‧電子系統
1110‧‧‧控制器
1120‧‧‧輸入輸出(I/O)單元
1130‧‧‧記憶體裝置
1140‧‧‧介面
1150‧‧‧匯流排
1200‧‧‧行動電話
AF1‧‧‧第一有效鰭
AF2‧‧‧第二有效鰭
AP1a‧‧‧第一初步主動圖案
AP1b‧‧‧第一主動圖案
AP1b'‧‧‧第一不必要部分
AP2a‧‧‧第二初步主動圖案
AP2b‧‧‧第二主動圖案
C1‧‧‧第一連接圖案
C2‧‧‧第二連接圖案
CT1‧‧‧第一觸點
CT2‧‧‧第二觸點
d1‧‧‧第一距離
d2‧‧‧第二距離
dp、dap‧‧‧距離
D1‧‧‧第一方向
D2‧‧‧第二方向
GD1‧‧‧第一閘極介電圖案
GD2‧‧‧第二閘極介電圖案
GE1‧‧‧第一閘極電極
GE2‧‧‧第二閘極電極
GS1‧‧‧第一閘極結構
GS2‧‧‧第二閘極結構
I-I’、II-II'、III-III’、IV-IV’、V-V’、VI-VI’‧‧‧線
L1‧‧‧第一線圖案
L2‧‧‧第二線圖案
R1‧‧‧第一區
R2‧‧‧第二區
R3‧‧‧第三區
ST‧‧‧裝置隔離圖案
T1‧‧‧第一溝槽
T2‧‧‧第二溝槽
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
W4‧‧‧第四寬度
W5‧‧‧第五寬度
W6‧‧‧第六寬度
100‧‧‧Substrate/substrate surface
110‧‧‧Lower mask layer
112‧‧‧First lower mask pattern
114‧‧‧Second lower mask pattern
120‧‧‧Upper mask layer
122‧‧‧First upper mask pattern
124‧‧‧Second upper mask pattern
125‧‧‧hard mask
127‧‧‧First hard mask pattern
129‧‧‧Second hard mask pattern
130‧‧‧ Sacrifice layer
132‧‧‧First Sacrifice Pattern
134‧‧‧Second sacrificial pattern
142‧‧‧First photoresist pattern
144‧‧‧second photoresist pattern
146‧‧‧Connected photoresist pattern
152‧‧‧First spacer
154‧‧‧Second spacer
160‧‧‧First mask pattern
170‧‧‧First interlayer insulation
180‧‧‧Second interlayer insulation
1100‧‧‧Electronic system
1110‧‧‧ Controller
1120‧‧‧Input/Output (I/O) unit
1130‧‧‧ memory device
1140‧‧ interface
1150‧‧ ‧ busbar
1200‧‧‧Mobile Phone
AF1‧‧‧ first effective fin
AF2‧‧‧ second effective fin
AP1a‧‧‧ first preliminary active pattern
AP1b‧‧‧first active pattern
AP1b'‧‧‧ first unnecessary part
AP2a‧‧‧Second preliminary active pattern
AP2b‧‧‧second active pattern
C1‧‧‧ first connection pattern
C2‧‧‧Second connection pattern
CT1‧‧‧ first contact
CT2‧‧‧second contact
D1‧‧‧first distance
D2‧‧‧Second distance
Dp, dap‧‧ distance
D1‧‧‧ first direction
D2‧‧‧ second direction
GD1‧‧‧first gate dielectric pattern
GD2‧‧‧Second gate dielectric pattern
GE1‧‧‧first gate electrode
GE2‧‧‧second gate electrode
GS1‧‧‧first gate structure
GS2‧‧‧second gate structure
Lines I-I', II-II', III-III', IV-IV', V-V', VI-VI'‧‧
L1‧‧‧ first line pattern
L2‧‧‧ second line pattern
R1‧‧‧ first district
R2‧‧‧Second District
R3‧‧‧ Third District
ST‧‧‧ device isolation pattern
T1‧‧‧ first trench
T2‧‧‧ second trench
W1‧‧‧ first width
W2‧‧‧ second width
W3‧‧‧ third width
W4‧‧‧ fourth width
W5‧‧‧ fifth width
W6‧‧‧ sixth width

自結合隨附圖式的以下詳細描述將較清晰地理解本發明概念以及其目標、特徵以及優勢。隨附圖式說明非限制性(亦即,代表性)實施例。 圖1A、圖2A、圖3A、圖4A、圖5A、圖6A、圖7A以及圖8A說明根據本發明概念的代表性實施例的半導體裝置的製造方法,且每一圖為其製造過程期間裝置的平面圖。 圖1B、圖2B、圖3B、圖4B、圖5B、圖6B、圖7B以及圖8B各自為分別沿著圖1A至圖8A的線I-I'、II-II'以及III-III'截得的複合剖視圖。 圖9A為可形成於根據本發明概念的半導體裝置的製造方法的代表性實施例中的第一以及第二光阻圖案的平面圖。 圖9B為沿著圖9A的線IV-IV'、V-V'以及VI-VI'截得的複合剖視圖。 圖10為包含根據本發明概念製造的半導體裝置的電子系統的實例的示意性方塊圖。 圖11為電子系統可應用於的行動電話的透視圖。 應注意,此等圖意欲說明某些代表性實施例中利用的方法、結構以及/或材料的一般特性並補充下文所提供的書面描述。然而,此等圖式並未按比例繪製且可並不精確地反映任何給定實施例的精確結構或效能特性,且不應解釋為界定或限制由代表性實施例所涵蓋的值或性質的範圍。舉例而言,為清楚起見,可減少或放大分子、層、區以及/或結構元件的相對厚度以及定位。各種圖式中的類似或相同參考數字的使用意欲指示類似或相同元件或特徵的存在。The concept of the invention, as well as its objects, features and advantages, will be more clearly understood from the following detailed description. Non-limiting (i.e., representative) embodiments are illustrated with the accompanying drawings. 1A, 2A, 3A, 4A, 5A, 6A, 7A, and 8A illustrate a method of fabricating a semiconductor device in accordance with a representative embodiment of the inventive concept, and each of the figures is a device during its manufacturing process Floor plan. 1B, 2B, 3B, 4B, 5B, 6B, 7B, and 8B are each taken along lines I-I', II-II', and III-III' of Figs. 1A through 8A, respectively. A composite cross-sectional view. 9A is a plan view of first and second photoresist patterns that may be formed in a representative embodiment of a method of fabricating a semiconductor device in accordance with the concepts of the present invention. Fig. 9B is a cross-sectional view taken along line IV-IV', V-V', and VI-VI' of Fig. 9A. 10 is a schematic block diagram of an example of an electronic system including a semiconductor device fabricated in accordance with the concepts of the present invention. Figure 11 is a perspective view of a mobile phone to which an electronic system can be applied. It should be noted that the figures are intended to illustrate the general characteristics of the methods, structures, and/or materials utilized in certain representative embodiments and in addition to the written description provided below. However, the drawings are not to scale and may not accurately reflect the precise structure or performance characteristics of any given embodiments, and should not be construed as limiting or limiting the values or properties covered by the representative embodiments. range. For example, the relative thickness and positioning of molecules, layers, regions, and/or structural elements may be reduced or exaggerated for clarity. The use of similar or identical reference numerals in the various figures is intended to indicate the presence of similar or identical elements or features.

180‧‧‧第二間層絕緣層 180‧‧‧Second interlayer insulation

AP1b‧‧‧第一主動圖案 AP1b‧‧‧first active pattern

AP2b‧‧‧第二主動圖案 AP2b‧‧‧second active pattern

CT1‧‧‧第一觸點 CT1‧‧‧ first contact

CT2‧‧‧第二觸點 CT2‧‧‧second contact

d1‧‧‧第一距離 D1‧‧‧first distance

d2‧‧‧第二距離 D2‧‧‧Second distance

D1‧‧‧第一方向 D1‧‧‧ first direction

D2‧‧‧第二方向 D2‧‧‧ second direction

GS1‧‧‧第一閘極結構 GS1‧‧‧first gate structure

GS2‧‧‧第二閘極結構 GS2‧‧‧second gate structure

I-I’、II-II'、III-III’‧‧‧線 I-I’, II-II', III-III’‧‧‧ lines

R1‧‧‧第一區 R1‧‧‧ first district

R2‧‧‧第二區 R2‧‧‧Second District

R3‧‧‧第三區 R3‧‧‧ Third District

Claims (25)

一種製造半導體裝置的方法,所述半導體裝置具有第一區、第二區以及所述第一區與所述第二區之間的第三區,所述方法包括: 提供跨越所述第一區、第二區以及第三區的基板; 在所述基板上形成第一初步主動圖案以及第二初步主動圖案,使得所述第一初步主動圖案以及所述第二初步主動圖案自所述基板凸起,所述第一初步主動圖案自所述第一區延伸至所述第三區以便在所述第三區中與所述基板重疊,且所述第二初步主動圖案自所述第二區延伸至所述第三區以便亦在所述第三區中與所述基板重疊; 在所述基板上於所述第一區以及所述第二區而非所述第三區中形成遮罩圖案,藉此使所述基板暴露於所述第三區中; 執行第一蝕刻製程,所述第一蝕刻製程包括將所述遮罩圖案用作蝕刻遮罩來蝕刻所述第一初步主動圖案以及所述第二初步主動圖案,以分別自所述第一初步主動圖案以及所述第二初步主動圖案形成第一主動圖案以及第二主動圖案;以及 在所述基板上形成閘極結構,所述閘極結構包括與所述第一主動圖案交叉的第一閘極結構以及與所述第二主動圖案交叉的第二閘極結構, 其中所述第一主動圖案在第一方向上縱向延伸且在與所述第一方向交叉的第二方向上彼此間隔開, 所述第二主動圖案在所述第一方向上延伸且在所述第二方向上彼此間隔開, 所述第一方向橫跨所述第一區、第二區以及第三區延伸,且 在所述第二方向上,所述第一主動圖案中的鄰近者之間的距離不同於所述第二主動圖案中的鄰近者之間的距離。A method of fabricating a semiconductor device having a first region, a second region, and a third region between the first region and the second region, the method comprising: providing across the first region a substrate of the second region and the third region; forming a first preliminary active pattern and a second preliminary active pattern on the substrate such that the first preliminary active pattern and the second preliminary active pattern are convex from the substrate The first preliminary active pattern extends from the first region to the third region to overlap the substrate in the third region, and the second preliminary active pattern is from the second region Extending to the third region to also overlap the substrate in the third region; forming a mask on the substrate in the first region and the second region instead of the third region a pattern whereby the substrate is exposed to the third region; performing a first etching process, the first etching process including using the mask pattern as an etch mask to etch the first preliminary active pattern And the second preliminary active pattern to divide Forming a first active pattern and a second active pattern from the first preliminary active pattern and the second preliminary active pattern; and forming a gate structure on the substrate, the gate structure including the first a first gate structure in which the active patterns intersect and a second gate structure crossing the second active pattern, wherein the first active pattern extends longitudinally in the first direction and intersects with the first direction Separating from each other in two directions, the second active patterns extending in the first direction and spaced apart from each other in the second direction, the first direction spanning the first region, the second region, and The third zone extends, and in the second direction, a distance between neighbors in the first active pattern is different from a distance between neighbors in the second active pattern. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第一初步主動圖案中的每一者的形成包括形成各自在所述第一方向上縱向延伸的一對第一線圖案,以及形成在所述第三區中將所述第一線圖案的鄰近末端彼此連接的第一連接圖案,且 所述第二初步主動圖案中的每一者的形成包括形成各自在所述第一方向上縱向延伸的一對第二線圖案,以及第二連接圖案,形成在所述第三區中將所述第二線圖案的鄰近末端彼此連接的第二連接圖案。The method of manufacturing a semiconductor device according to claim 1, wherein the forming of each of the first preliminary active patterns includes forming a pair of first line patterns each extending longitudinally in the first direction And forming a first connection pattern connecting the adjacent ends of the first line pattern to each other in the third region, and forming each of the second preliminary active patterns includes forming each in the A pair of second line patterns extending longitudinally in one direction, and a second connection pattern forming a second connection pattern connecting the adjacent ends of the second line pattern to each other in the third region. 如申請專利範圍第2項所述的製造半導體裝置的方法,其中所述第一蝕刻製程移除所述第一連接圖案以及所述第二連接圖案。The method of fabricating a semiconductor device according to claim 2, wherein the first etching process removes the first connection pattern and the second connection pattern. 如申請專利範圍第2項所述的製造半導體裝置的方法,其中所述對第一線圖案之間在所述第二方向上的距離不同於所述對第二線圖案之間的距離。The method of manufacturing a semiconductor device according to claim 2, wherein a distance between the pair of first line patterns in the second direction is different from a distance between the pair of second line patterns. 如申請專利範圍第4項所述的製造半導體裝置的方法,其中形成所述第一初步主動圖案以及所述第二初步主動圖案,使得所述第一初步主動圖案中的鄰近者之間在所述第二方向上的距離實質上等於所述第一線圖案之間的所述距離,且 所述第二初步主動圖案中的鄰近者之間在所述第二方向上的距離實質上等於所述第二線圖案之間的所述距離。The method of manufacturing a semiconductor device according to claim 4, wherein the first preliminary active pattern and the second preliminary active pattern are formed such that adjacent ones of the first preliminary active patterns are in between The distance in the second direction is substantially equal to the distance between the first line patterns, and the distance between the neighbors in the second preliminary active pattern in the second direction is substantially equal to Said distance between the second line patterns. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第一初步主動圖案以及所述第二初步主動圖案的形成包括: 在包含於所述第一區、第二區中的所述基板上形成硬式遮罩; 在所述第一區中的所述硬式遮罩上形成第一犧牲圖案並在所述第二區中的所述硬式遮罩上形成第二犧牲圖案; 分別在所述第一犧牲圖案以及所述第二犧牲圖案的側壁表面上形成第一間隔物以及第二間隔物; 移除所述第一犧牲圖案以及所述第二犧牲圖案; 蝕刻由所述第一間隔物以及所述第二間隔物所暴露的所述硬式遮罩以分別在所述第一區以及所述第二區中形成第一硬式遮罩圖案以及第二硬式遮罩圖案;以及 將所述第一硬式遮罩圖案以及所述第二硬式遮罩圖案用作蝕刻遮罩來蝕刻所述基板的上部部分以形成界定所述第一初步主動圖案以及所述第二初步主動圖案的第一溝槽。The method of manufacturing a semiconductor device according to claim 1, wherein the forming of the first preliminary active pattern and the second preliminary active pattern comprises: being included in the first region and the second region Forming a hard mask on the substrate; forming a first sacrificial pattern on the hard mask in the first region and forming a second sacrificial pattern on the hard mask in the second region; Forming a first spacer and a second spacer on sidewall surfaces of the first sacrificial pattern and the second sacrificial pattern; removing the first sacrificial pattern and the second sacrificial pattern; etching by the a spacer and the hard mask exposed by the second spacer to form a first hard mask pattern and a second hard mask pattern in the first region and the second region, respectively; The first hard mask pattern and the second hard mask pattern are used as an etch mask to etch an upper portion of the substrate to form a first surface defining the first preliminary active pattern and the second preliminary active pattern One Groove. 如申請專利範圍第6項所述的製造半導體裝置的方法,其中所述第一犧牲圖案以及所述第二犧牲圖案的形成包括: 在所述硬式遮罩上形成犧牲層; 分別在所述第一區以及所述第二區中於所述犧牲層上形成第一光阻圖案以及第二光阻圖案;以及 將所述第一光阻圖案以及所述第二光阻圖案用作蝕刻遮罩來蝕刻所述犧牲層。The method of manufacturing a semiconductor device according to claim 6, wherein the forming of the first sacrificial pattern and the second sacrificial pattern comprises: forming a sacrificial layer on the hard mask; Forming a first photoresist pattern and a second photoresist pattern on the sacrificial layer in a region and the second region; and using the first photoresist pattern and the second photoresist pattern as an etch mask The sacrificial layer is etched. 如申請專利範圍第7項所述的製造半導體裝置的方法,其中所述第一光阻圖案以及所述第二光阻圖案的形成包括將所述犧牲層上的光阻層暴露於具有給定波長的光,並使所暴露的所述光阻層顯影, 所述第一光阻圖案包括處於第一間距的多個第一線性光阻圖案,且所述第二光阻圖案包括處於第二間距且集體地在所述第一方向上與所述第一線性光阻圖案間隔開第一距離的多個第二線性光阻圖案, 所述第二間距不同於所述第一間距,且 所述第一距離小於用於形成所述光阻圖案的所述光的所述給定波長。The method of fabricating a semiconductor device according to claim 7, wherein the forming of the first photoresist pattern and the second photoresist pattern comprises exposing a photoresist layer on the sacrificial layer to a given a wavelength of light that develops the exposed photoresist layer, the first photoresist pattern includes a plurality of first linear photoresist patterns at a first pitch, and the second photoresist pattern includes a plurality of second linear resist patterns spaced apart from each other by the first linear resist pattern in the first direction by a first distance, the second pitch being different from the first pitch And the first distance is less than the given wavelength of the light used to form the photoresist pattern. 如申請專利範圍第6項所述的製造半導體裝置的方法,其中所述第一蝕刻製程在所述第三區中形成第二溝槽,所述第三區中的所述第二溝槽的底部安置於低於所述第一溝槽的底部的層面處,且所述第二溝槽的最寬部分具有分別在所述第三區與所述第一區之間以及所述第三區與所述第二區之間的邊界處的側面。The method of fabricating a semiconductor device according to claim 6, wherein the first etching process forms a second trench in the third region, and the second trench in the third region a bottom portion disposed at a lower level than a bottom portion of the first trench, and a widest portion of the second trench having a third region and the first region and the third region, respectively a side at a boundary with the second zone. 一種製造半導體裝置的方法,其包括: 圖案化基板以形成界定第一初步主動圖案以及第二初步主動圖案的第一溝槽,所述第一初步主動圖案在第一方向上縱向延伸且在與所述第一方向交叉的第二方向上彼此間隔開,所述第二初步主動圖案在所述第一方向上縱向延伸且在所述第一方向上與所述第一初步主動圖案間隔開並在所述第二方向上彼此間隔開,所述第一初步主動圖案中的鄰近者之間在所述第二方向上的距離不同於所述第二初步主動圖案中的鄰近者之間在所述第二方向上的距離; 在所述基板上形成暴露所述第一初步主動圖案以及所述第二初步主動圖案的末端部分的遮罩圖案,所述第一初步主動圖案的所述末端部分集體地在所述第一上與所述第二初步主動圖案的所述末端部分間隔開; 執行蝕刻製程,其中所述遮罩圖案用作蝕刻遮罩,所述蝕刻製程移除所述第一初步主動圖案以及所述第二初步主動圖案的所述末端部分且分別自其形成第一主動圖案以及第二主動圖案;以及 在所述基板上形成與所述第一主動圖案交叉的第一閘極結構以及與所述第二主動圖案交叉的第二閘極結構, 其中所述蝕刻製程形成第二溝槽,所述第二溝槽具有安置於低於所述第一溝槽的底部的層面處的底部,且使得所述第二溝槽在所述第一方向上的寬度等於所有所述第一主動圖案的集合與所有所述第二主動圖案的集合之間在所述第一方向上的距離。A method of fabricating a semiconductor device, comprising: patterning a substrate to form a first trench defining a first preliminary active pattern and a second preliminary active pattern, the first preliminary active pattern extending longitudinally in a first direction and in The first direction intersects with each other in a second direction, the second preliminary active pattern extending longitudinally in the first direction and spaced apart from the first preliminary active pattern in the first direction and Separating from each other in the second direction, a distance between the neighbors in the first preliminary active pattern in the second direction is different from a distance between neighbors in the second preliminary active pattern a distance in the second direction; forming a mask pattern exposing the first preliminary active pattern and the end portion of the second preliminary active pattern on the substrate, the end portion of the first preliminary active pattern Collectively spaced apart from the end portion of the second preliminary active pattern on the first surface; performing an etching process, wherein the mask pattern is used as an etch mask, the etching system Removing the first preliminary active pattern and the end portions of the second preliminary active pattern and forming a first active pattern and a second active pattern therefrom, respectively; and forming the first active on the substrate a first gate structure crossing the pattern and a second gate structure crossing the second active pattern, wherein the etching process forms a second trench, the second trench having a lower than the first a bottom at a level of the bottom of the trench, and such that a width of the second trench in the first direction is equal to a set between all of the first active patterns and a set of all of the second active patterns The distance in the first direction. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述第一初步主動圖案中的每一者的形成包括形成一對第一線圖案以及第一連接圖案,所述第一線性圖案在所述第一方向上縱向延伸,所述第一連接圖案連接所述第一線圖案的鄰近末端部分, 所述第二初步主動圖案中的每一者的形成包括形成一對第二線圖案以及第二連接圖案,所述第二線圖案在所述第一方向上縱向延伸,所述第二連接圖案連接所述第二線圖案的鄰近末端部分,且 所述第一連接圖案以及所述第二連接圖案分別構成所述第一初步主動圖案以及所述第二初步主動圖案的所述末端部分。The method of manufacturing a semiconductor device according to claim 10, wherein the forming of each of the first preliminary active patterns includes forming a pair of first line patterns and a first connection pattern, the first line a pattern extending longitudinally in the first direction, the first connection pattern connecting adjacent end portions of the first line pattern, and forming each of the second preliminary active patterns includes forming a pair of second a line pattern and a second connection pattern, the second line pattern extending longitudinally in the first direction, the second connection pattern connecting adjacent end portions of the second line pattern, and the first connection pattern and The second connection patterns respectively constitute the end portions of the first preliminary active pattern and the second preliminary active pattern. 如申請專利範圍第11項所述的製造半導體裝置的方法,其中形成所述第一初步主動圖案以及所述第二初步主動圖案,使得所述第一初步主動圖案中的鄰近者之間在所述第二方向上的距離實質上等於所述第一線圖案之間的距離,且 所述第二初步主動圖案中的鄰近者之間在所述第二方向上的距離實質上等於所述第二線圖案之間的距離。The method of manufacturing a semiconductor device according to claim 11, wherein the first preliminary active pattern and the second preliminary active pattern are formed such that adjacent ones of the first preliminary active patterns are in between The distance in the second direction is substantially equal to the distance between the first line patterns, and the distance between the neighbors in the second preliminary active pattern in the second direction is substantially equal to the number The distance between the two line patterns. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述第一溝槽的形成包括: 在所述基板上依序形成下部遮罩層以及上部遮罩層; 在第一區上形成第一犧牲圖案並在第二區上形成第二犧牲圖案; 分別在所述第一犧牲圖案以及所述第二犧牲圖案的側壁表面上形成第一間隔物以及第二間隔物; 將所述第一間隔物以及所述第二間隔物用作蝕刻遮罩來蝕刻所述上部遮罩層以分別在所述第一區以及所述第二區上形成第一上部遮罩圖案以及第二上部遮罩圖案; 將所述第一上部遮罩圖案以及所述第二上部遮罩圖案用作蝕刻遮罩來蝕刻所述下部遮罩層以分別在所述第一區以及所述第二區上形成第一下部遮罩圖案以及第二下部遮罩圖案;以及 將所述第一下部遮罩圖案以及所述第二下部遮罩圖案用作蝕刻遮罩來蝕刻所述基板的上部部分。The method of manufacturing a semiconductor device according to claim 10, wherein the forming of the first trench comprises: sequentially forming a lower mask layer and an upper mask layer on the substrate; Forming a first sacrificial pattern and forming a second sacrificial pattern on the second region; forming a first spacer and a second spacer on sidewall surfaces of the first sacrificial pattern and the second sacrificial pattern, respectively; The first spacer and the second spacer are used as an etch mask to etch the upper mask layer to form a first upper mask pattern and a second upper portion on the first region and the second region, respectively a mask pattern; the first upper mask pattern and the second upper mask pattern are used as an etch mask to etch the lower mask layer to be on the first region and the second region, respectively Forming a first lower mask pattern and a second lower mask pattern; and etching the first lower mask pattern and the second lower mask pattern as an etch mask to etch an upper portion of the substrate. 如申請專利範圍第13項所述的製造半導體裝置的方法,其中所述第一犧牲圖案以及所述第二犧牲圖案的形成包括: 在所述上部遮罩層上形成犧牲層; 對所述犧牲層執行光微影製程以在所述第一區上形成第一光阻圖案並在所述第二區上形成第二光阻圖案,所述光微影製程包括將光阻層暴露於具有給定波長的光的暴露製程;以及 將所述第一光阻圖案以及所述第二光阻圖案用作蝕刻遮罩來蝕刻所述犧牲層。The method of manufacturing a semiconductor device according to claim 13, wherein the forming of the first sacrificial pattern and the second sacrificial pattern comprises: forming a sacrificial layer on the upper mask layer; The layer performs a photolithography process to form a first photoresist pattern on the first region and a second photoresist pattern on the second region, the photolithography process including exposing the photoresist layer to having An exposure process of a predetermined wavelength of light; and etching the sacrificial layer by using the first photoresist pattern and the second photoresist pattern as an etch mask. 如申請專利範圍第14項所述的製造半導體裝置的方法,其中所述第一光阻圖案的形成包括形成在所述第一方向上縱向延伸且在所述第二方向上彼此間隔開第一距離的第一線性光阻圖案, 所述第二光阻圖案的形成包括形成在所述第一方向上縱向延伸且在所述第二方向上彼此間隔開不同於所述第一距離的第二距離的第二線性光阻圖案,且 所有所述第二線性光阻圖案的集合與所有所述第一光阻圖案的集合在所述第一方向上間隔開一距離,所述距離小於用於所述暴露製程中的光的所述波長。The method of manufacturing a semiconductor device according to claim 14, wherein the forming of the first photoresist pattern comprises forming longitudinally extending in the first direction and spaced apart from each other in the second direction. a first linear resist pattern of the distance, the forming of the second photoresist pattern including forming a length extending longitudinally in the first direction and spaced apart from each other by the first distance in the second direction a second linear resist pattern of two distances, and a set of all of the second linear resist patterns is spaced apart from the set of all of the first photoresist patterns by a distance in the first direction, the distance being less than The wavelength of light in the exposure process. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述第一閘極結構用作記憶體單元電晶體的部分,且 所述第二閘極結構用作周邊電路電晶體的部分。The method of fabricating a semiconductor device according to claim 10, wherein the first gate structure is used as a portion of a memory cell transistor, and the second gate structure is used as a portion of a peripheral circuit transistor. . 一種製造半導體裝置的方法,其包括: 在基板上形成犧牲層; 執行光微影製程以在所述犧牲層上形成經圖案化光阻層,所述經圖案化光阻層包括第一光阻圖案以及第二光阻圖案, 所述第一光阻圖案中的至少一者自待形成記憶單元的記憶體單元區延伸至毗鄰所述記憶體單元區以及待形成周邊電路的周邊電路區的第三區,且 所述第二光阻圖案中的至少一者自所述周邊電路區延伸至所述第三區; 將所述經圖案化光阻層用作蝕刻遮罩來蝕刻所述犧牲層以在所述記憶體單元區、所述周邊電路區以及所述第三區中形成經圖案化犧牲材料層; 沿著所述經圖案化犧牲材料層的側表面形成側壁表面間隔物; 移除所述經圖案化犧牲材料層並將所述間隔物用作蝕刻遮罩來蝕刻所述基板以在所述記憶體單元區、所述周邊電路區以及所述第三區中圖案化所述基板; 在所述基板上形成遮罩,所述遮罩覆蓋所述基板在所述記憶體單元區以及所述周邊電路區兩者中所圖案化的部分,同時暴露所述基板的在所述第三區中所圖案化的部分; 將所述遮罩用作蝕刻遮罩來蝕刻所述第三區中的所述基板以移除所述基板的在所述第三區中所圖案化的部分,且藉此在所述記憶體單元區中形成所述基板的多個第一主動區並在所述周邊電路區中形成所述基板的多個第二主動區; 形成橫跨所述第一主動區延伸的第一閘極;以及 形成橫跨所述第二主動區延伸的第二閘極。A method of fabricating a semiconductor device, comprising: forming a sacrificial layer on a substrate; performing a photolithography process to form a patterned photoresist layer on the sacrificial layer, the patterned photoresist layer comprising a first photoresist a pattern and a second photoresist pattern, wherein at least one of the first photoresist patterns extends from a memory cell region to be formed into a memory cell to a portion adjacent to the memory cell region and a peripheral circuit region where a peripheral circuit is to be formed a third region, and at least one of the second photoresist patterns extending from the peripheral circuit region to the third region; using the patterned photoresist layer as an etch mask to etch the sacrificial layer Forming a patterned sacrificial material layer in the memory cell region, the peripheral circuit region, and the third region; forming a sidewall spacer along a side surface of the patterned sacrificial material layer; removing The patterned sacrificial material layer and the spacer is used as an etch mask to etch the substrate to pattern the substrate in the memory cell region, the peripheral circuit region, and the third region In the office Forming a mask on the substrate, the mask covering a portion of the substrate that is patterned in both the memory cell region and the peripheral circuit region while exposing the substrate in the third region a patterned portion; the mask is used as an etch mask to etch the substrate in the third region to remove portions of the substrate that are patterned in the third region, and thereby Forming a plurality of first active regions of the substrate in the memory cell region and forming a plurality of second active regions of the substrate in the peripheral circuit region; forming an extension across the first active region a first gate; and a second gate extending across the second active region. 如申請專利範圍第17項所述的製造半導體裝置的方法,其中將所述間隔物用作蝕刻遮罩以對所述基板的蝕刻在所述記憶體單元區以及所述周邊電路區於所述基板中形成第一溝槽,且 在所述第三區中對所述基板的所述蝕刻在所述第三區於所述基板中形成第二溝槽, 所述第二溝槽具有大於所述第一溝槽的深度的深度。The method of fabricating a semiconductor device according to claim 17, wherein the spacer is used as an etch mask to etch the substrate in the memory cell region and the peripheral circuit region Forming a first trench in the substrate, and the etching of the substrate in the third region forms a second trench in the substrate in the third region, the second trench having a larger than The depth of the depth of the first trench. 如申請專利範圍第18項所述的製造半導體裝置的方法,更包括在所述第一溝槽以及所述第二溝槽中形成填充所述第二溝槽且僅部分填充所述第一溝槽的絕緣材料的隔離層,使得所述基板的主動圖案在所述記憶體單元區以及所述周邊電路區中的每一者中自所述隔離層向上凸起,且 其中所述第一閘極以及所述第二閘極形成於所述隔離層上。The method of fabricating a semiconductor device according to claim 18, further comprising forming a filling of the second trench in the first trench and the second trench and only partially filling the first trench An isolation layer of the insulating material of the trench such that an active pattern of the substrate protrudes upward from the isolation layer in each of the memory cell region and the peripheral circuit region, and wherein the first gate A pole and the second gate are formed on the isolation layer. 如申請專利範圍第17項所述的製造半導體裝置的方法,更包括在所述基板上形成下部硬式遮罩層並在形成所述犧牲層之前在所述下部硬式遮罩層上形成上部硬式遮罩層,且 將所述間隔物用作蝕刻遮罩以對所述基板的蝕刻包括將所述間隔物用作蝕刻遮罩來蝕刻所述上部硬式遮罩層以形成上部遮罩圖案,將所述上部遮罩圖案用作蝕刻遮罩來蝕刻所述下部硬式遮罩層以形成下部遮罩圖案,以及將所述上部遮罩圖案以及所述下部遮罩圖案用作蝕刻遮罩來蝕刻所述基板。The method of fabricating a semiconductor device according to claim 17, further comprising forming a lower hard mask layer on the substrate and forming an upper hard mask on the lower hard mask layer before forming the sacrificial layer a cap layer, and using the spacer as an etch mask to etch the substrate includes using the spacer as an etch mask to etch the upper hard mask layer to form an upper mask pattern, The upper mask pattern is used as an etch mask to etch the lower hard mask layer to form a lower mask pattern, and the upper mask pattern and the lower mask pattern are used as an etch mask to etch the Substrate. 如申請專利範圍第17項所述的製造半導體裝置的方法,其中所述光微影製程包括將光阻層暴露於具有給定波長的光的暴露製程, 在第一方向上,延伸至所述第三區的所有所述第一光阻圖案的集合與延伸至所述第三區的所有所述第二光阻圖案的集合之間的距離小於所述給定波長,所述第一方向為在所述記憶體單元區與所述周邊電路區之間延伸而直接橫跨所述第三區的一個方向。The method of fabricating a semiconductor device according to claim 17, wherein the photolithography process comprises an exposure process of exposing the photoresist layer to light having a given wavelength, in the first direction, extending to the a distance between a set of all of the first photoresist patterns of the third region and a set of all of the second photoresist patterns extending to the third region is less than the given wavelength, the first direction being Extending between the memory cell region and the peripheral circuit region directly across one direction of the third region. 一種半導體裝置,其包括: 基板,其具有第一區、第二區以及所述第一區與所述第二區之間的第三區; 第一主動圖案,自所述基板的所述第一區朝上凸起,在與所述第一區至所述第三區交叉的第一方向上延伸且在與所述第一方向交叉的第二方向上彼此間隔開; 第二主動圖案,自所述基板的所述第二區朝上凸起,在所述第一方向上延伸且在所述第二方向上彼此間隔開,當在所述第二方向上量測時,所述第一主動圖案中的鄰近者之間的距離不同於所述第二主動圖案中的鄰近者之間的彼等距離; 第一閘極結構,其與所述第一主動圖案交叉;以及 第二閘極結構,其與所述第二主動圖案交叉, 其中所述第三區由溝槽界定,所述溝槽提供於所述基板上且在所述第一區與所述第二區之間, 所述第一主動圖案的側壁表面沿著所述第二方向在所述第一區與所述第三區之間的邊界處對準,且 所述第二主動圖案的側壁表面沿著所述第二方向在所述第二區與所述第三區之間的邊界處對準。A semiconductor device comprising: a substrate having a first region, a second region, and a third region between the first region and the second region; a first active pattern from the first of the substrate a region protruding upward, extending in a first direction crossing the first region to the third region and spaced apart from each other in a second direction crossing the first direction; a second active pattern, Projecting upward from the second region of the substrate, extending in the first direction and spaced apart from each other in the second direction, when measured in the second direction, the first a distance between neighbors in an active pattern is different from a distance between neighbors in the second active pattern; a first gate structure crossing the first active pattern; and a second gate a pole structure that intersects the second active pattern, wherein the third region is defined by a trench, the trench being provided on the substrate and between the first region and the second region, a sidewall surface of the first active pattern along the second direction in the first region and the first The boundaries between the three regions are aligned, and the sidewall surfaces of the second active pattern are aligned along the second direction at the boundary between the second region and the third region. 如申請專利範圍第22項所述的半導體裝置,其中當在所述第一方向上量測時,所述溝槽的最大寬度實質上等於所述第一主動圖案以及所述第二主動圖案的所述側壁表面之間的距離。The semiconductor device of claim 22, wherein a maximum width of the trench is substantially equal to the first active pattern and the second active pattern when measured in the first direction The distance between the side wall surfaces. 如申請專利範圍第22項所述的半導體裝置,其中當以剖視圖檢視時,所述基板的所述第一區的頂表面相接在所述第一區與所述第三區的所述邊界處的所述溝槽的側壁,且所述基板的所述第二區的頂表面相接在所述第二區與所述第三區的所述邊界處的所述溝槽的相對側壁。The semiconductor device of claim 22, wherein a top surface of the first region of the substrate meets the boundary of the first region and the third region when viewed in a cross-sectional view a sidewall of the trench at the location, and a top surface of the second region of the substrate meets an opposite sidewall of the trench at the boundary of the second region and the third region. 如申請專利範圍第22項所述的半導體裝置,其中所述第一閘極結構作為記憶體單元電晶體的部分,且 所述第二閘極結構作為周邊電路電晶體的部分。The semiconductor device of claim 22, wherein the first gate structure is part of a memory cell transistor and the second gate structure is part of a peripheral circuit transistor.
TW104125320A 2014-08-25 2015-08-05 Semiconductor device and method of fabricating the same TWI661557B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462041473P 2014-08-25 2014-08-25
US62/041,473 2014-08-25
??10-2015-0014806 2015-01-30
KR1020150014806A KR102290460B1 (en) 2014-08-25 2015-01-30 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TW201611273A true TW201611273A (en) 2016-03-16
TWI661557B TWI661557B (en) 2019-06-01

Family

ID=55534432

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104125320A TWI661557B (en) 2014-08-25 2015-08-05 Semiconductor device and method of fabricating the same

Country Status (3)

Country Link
JP (1) JP6677464B2 (en)
KR (1) KR102290460B1 (en)
TW (1) TWI661557B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785429A (en) * 2016-08-30 2018-03-09 台湾积体电路制造股份有限公司 The method that fin is formed on substrate
TWI767844B (en) * 2021-09-29 2022-06-11 華邦電子股份有限公司 Semiconductor structure and method of forming the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102637621B1 (en) * 2016-05-25 2024-02-20 삼성전자주식회사 Method for manufacturing semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4704015B2 (en) * 2004-11-29 2011-06-15 ルネサスエレクトロニクス株式会社 Semiconductor device and method for manufacturing semiconductor memory device
US7750416B2 (en) * 2006-05-03 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Modifying work function in PMOS devices by counter-doping
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8198655B1 (en) * 2009-04-27 2012-06-12 Carnegie Mellon University Regular pattern arrays for memory and logic on a semiconductor substrate
JP2010153899A (en) * 2010-02-22 2010-07-08 Toshiba Corp Semiconductor memory
KR20120041558A (en) * 2010-10-21 2012-05-02 삼성전자주식회사 Method of fabricating semiconductor device
JP2013197533A (en) * 2012-03-22 2013-09-30 Toshiba Corp Memory device and manufacturing method of the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785429A (en) * 2016-08-30 2018-03-09 台湾积体电路制造股份有限公司 The method that fin is formed on substrate
CN107785429B (en) * 2016-08-30 2022-03-25 台湾积体电路制造股份有限公司 Method for forming fin on substrate
TWI767844B (en) * 2021-09-29 2022-06-11 華邦電子股份有限公司 Semiconductor structure and method of forming the same

Also Published As

Publication number Publication date
JP2016046535A (en) 2016-04-04
TWI661557B (en) 2019-06-01
KR102290460B1 (en) 2021-08-19
KR20160025436A (en) 2016-03-08
JP6677464B2 (en) 2020-04-08

Similar Documents

Publication Publication Date Title
CN105390399B (en) Semiconductor device and method for manufacturing the same
US9761593B2 (en) Semiconductor device
US9419008B2 (en) Method of fabricating semiconductor devices having vertical cells
KR100731334B1 (en) Method for manufacturing semiconductor device
US9590034B2 (en) Methods of forming semiconductor devices to include single body interconnection patterns using fine patterning techniques, and semiconductor device so formed
CN105336584B (en) Fine patterning method and method of manufacturing semiconductor device using the same
US20060216922A1 (en) Integrated circuit fabrication
US20110256723A1 (en) Method for forming semiconductor device
US20150333059A1 (en) Semiconductor devices including isolation gate lines between active patterns and methods of manufacturing the same
KR102366804B1 (en) Method of fabricating semiconductor device
JP2007165413A (en) Ferroelectric memory device and electronic device
KR101096907B1 (en) Semiconductor device and method of fabricating the same
TWI661557B (en) Semiconductor device and method of fabricating the same
KR20110101678A (en) Semiconductor device and method for forming the same
US9390214B2 (en) Methods of preparing layouts for semiconductor devices, photomasks formed using the layouts, and semiconductor devices fabricated using the photomasks
US20130228892A1 (en) Semiconductor device and method of manufacturing the same
KR20090077511A (en) Method of forming contact hole and method of manufacturing semiconductor device having the same
US8836074B2 (en) Semiconductor memory device
JP5524167B2 (en) Manufacturing method of semiconductor device
CN108281423A (en) The method for making semiconductor element
JP2010225993A (en) Method of manufacturing semiconductor device, and the semiconductor device
KR20060135241A (en) Method of fabricating alignment key in semiconductor device
KR20070000156A (en) Method of manufacturing a nand type flash memory device
KR20060136126A (en) Method of manufacturing a NAND type flash memory device