TW201601199A - 形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置 - Google Patents

形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置 Download PDF

Info

Publication number
TW201601199A
TW201601199A TW104115517A TW104115517A TW201601199A TW 201601199 A TW201601199 A TW 201601199A TW 104115517 A TW104115517 A TW 104115517A TW 104115517 A TW104115517 A TW 104115517A TW 201601199 A TW201601199 A TW 201601199A
Authority
TW
Taiwan
Prior art keywords
layer
material layer
epitaxial semiconductor
patterned
epitaxial
Prior art date
Application number
TW104115517A
Other languages
English (en)
Other versions
TWI576898B (zh
Inventor
巴特羅梅 詹 帕拉克
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201601199A publication Critical patent/TW201601199A/zh
Application granted granted Critical
Publication of TWI576898B publication Critical patent/TWI576898B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明涉及形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置。一種示例方法包括圍繞鰭片形成至少一個磊晶半導體覆蓋材料層並圖案化該覆蓋材料以及該鰭片,從而導致該圖案化鰭片位於該圖案化覆蓋材料下,其中,該圖案化覆蓋材料具有上部以及自該上部向下延伸的多個基本垂直取向的支腿。該方法還包括相對該圖案化覆蓋材料選擇性移除該圖案化鰭片,環繞該覆蓋材料的至少部分形成犧牲閘極結構,在各該基本垂直取向的支腿上形成磊晶半導體源極/汲極區,以及圍繞該覆蓋材料的至少部分形成最終閘極結構。

Description

形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置
本發明通常涉及FET(場效應電晶體)半導體裝置的製造,尤其涉及形成具有閘極環繞通道(gate-all-around-channel)組構的奈米線裝置的各種方法以及由此形成的半導體裝置。
製造例如CPU(中央處理單元)、儲存裝置、ASIC(專用積體電路;application specific integrated circuit)等先進積體電路需要依據特定的電路佈局在給定的晶片面積上形成大量電路元件,其中,金屬氧化物場效應電晶體(MOSFET或FET)代表一種重要類型的電路元件,其基本確定積體電路的性能。傳統場效應電晶體是一種平面裝置,其通常包括源極區、汲極區、位於該源極區與該汲極區之間的通道區,以及位於該通道區上方的閘極電極。通過設置施加於該閘極電極的電壓來控制流過該場效應電晶體的電流。例如,對於NMOS裝置,如果沒有電壓施加於閘極 電極,則沒有電流流過該NMOS裝置(忽略不想要的漏電流,該漏電流較小)。但是,當在閘極電極上施加適當的正電壓時,該NMOS裝置的通道區變為導電,從而允許電流經該導電通道區在源極區與汲極區之間流動。為提升傳統場效應電晶體的操作速度,裝置設計人員已大幅降低了此類裝置的通道尺寸,從而提升開關速度並降低場效應電晶體的操作電流及電壓。不過,降低場效應電晶體的通道長度也使控制該裝置的通道區變得困難。這有時被稱作短通道效應,其中,作為主動開關的場效應電晶體的特性劣化。
與平面場效應電晶體相比,3D裝置例如示 例FinFET裝置為三維結構。第1圖顯示形成於半導體基板12上方的示例現有技術FinFET半導體裝置10的立體圖,參考該圖以在很高層面解釋FinFET裝置的一些基本特徵。在這個例子中,FinFET裝置10包括定義三個示例鰭片16的多個溝槽14、閘極結構18、側壁間隔物20以及閘極覆蓋層22。鰭片16具有三維組構:高度H、寬度W以及軸向長度L。鰭片16的軸向長度L與裝置10操作時在裝置10中的電流行進的方向對應。由閘極結構18覆蓋的鰭片16的部分是FinFET裝置10的通道區。閘極結構18通常由例如高k絕緣材料(k值為10或更大)或二氧化矽層的閘極絕緣材料層(未單獨顯示)以及充當裝置10的閘極電極(未單獨顯示)的一個或多個導電材料層(例如金屬和/或多晶矽)組成。
另一種已知的電晶體裝置通常被稱為奈米 線裝置。在奈米線裝置中,至少該裝置的通道區由一個或多個直徑極小、類似線的半導體結構組成。與上述其他類型的電晶體裝置一樣,通過設置施加於閘極電極的電壓來控制流過奈米線裝置的電流。當在閘極電極上施加適當的電壓時,奈米線裝置的通道區變為導電,從而允許電流經該導電通道區在源極區與汲極區之間流動,也就是電流流過奈米線結構。本領域的技術人員將意識到,可採用各種已知的技術來製造此類奈米線裝置。因此,形成基本奈米線裝置結構的製程細節將不在這裡作詳細說明。
隨著裝置尺寸縮小,在操作期間對電晶體 裝置的通道區保持足夠的控制正變得更具挑戰性。裝置設計人員已使用各種技術來確保操作期間在裝置的閘極電極與裝置的通道區之間具有足夠的電容耦合。如缺少適當的電容耦合,則難以控制通道區,且可能導致裝置具有不太理想的電性性能。就奈米線裝置而言,裝置設計人員具有此類裝置,其中,閘極電極及閘極絕緣層圍繞奈米結構以試圖實現對通道區的較好控制。
本揭露涉及形成具有閘極環繞通道組構的 奈米線裝置或鰭式裝置的各種方法以及由此形成的半導體裝置,從而可減少或消除上述的一個或多個問題。
下面提供本發明的簡要總結,以提供本發 明的一些態樣的基本理解。本發明內容並非詳盡概述本發明。其並非意圖識別本發明的關鍵或重要元件或劃定本發 明的範圍。其唯一目的在於提供一些簡化的概念,作為後面所討論的更詳細說明的前序。
一般來說,本揭露涉及形成具有閘極圍繞 通道組構的奈米線裝置的各種方法以及由此形成的半導體裝置。這裡所揭露的一種示例方法包括但不限於:在半導體基板中形成鰭片;執行至少一個第一磊晶沉積製程,以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層;執行至少一個蝕刻製程,以圖案化該至少一個覆蓋材料層以及該鰭片,從而導致該鰭片的圖案化部分位於該圖案化的至少一個覆蓋材料層下,該圖案化的至少一個覆蓋材料層具有上部以及自該上部向下延伸的多個基本垂直取向的支腿;執行至少一個蝕刻製程,以相對該圖案化的至少一個覆蓋材料層選擇性移除該圖案化鰭片,從而在該圖案化的至少一個覆蓋材料層下形成開口;環繞該至少一個覆蓋材料層的該上部的至少部分形成犧牲閘極結構;伴隨該犧牲閘極結構就位,執行第二磊晶沉積製程,以在各該基本垂直取向的支腿上形成磊晶半導體源極/汲極區;移除該犧牲閘極結構;以及環繞該至少一個覆蓋材料層的該上部的至少部分形成最終閘極結構。
這裡所揭露的另一種示例方法包括但不限 於:在半導體基板中形成鰭片;執行至少一個第一磊晶沉積製程,以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層;執行至少一個蝕刻製程,以圖案化該至少一個覆蓋材料層以及該鰭片,從而導致該鰭片的圖案化部 分位於該圖案化的至少一個覆蓋材料層下,該圖案化的至少一個覆蓋材料層具有上部以及自該上部向下延伸的多個基本垂直取向的支腿;執行至少一個蝕刻製程,以相對該圖案化的至少一個覆蓋材料層選擇性移除該圖案化鰭片,從而在該圖案化的至少一個覆蓋材料層下形成開口;環繞該至少一個覆蓋材料層的該上部以及與該上部相鄰的各該基本垂直取向的支腿的第一部分形成犧牲閘極結構;伴隨該犧牲閘極結構就位,執行第二磊晶沉積製程,以在各該基本垂直取向的支腿的第二部分上形成磊晶半導體源極/汲極區;移除該犧牲閘極結構;形成絕緣材料層,該絕緣材料層位於各該源極/汲極區的上表面上;以及在該絕緣材料層上並環繞該至少一個覆蓋材料層的該上部的至少部分形成最終閘極結構。
這裡所揭露的一種示例裝置包括但不限 於:絕緣材料層;由位於該絕緣材料層上方的至少一個磊晶半導體材料層組成的奈米線;環繞該奈米線的至少部分並位於該絕緣材料層上方的閘極結構;以及位於該絕緣材料層的垂直下方的多個磊晶半導體源極/汲極區,其中,各該源極/汲極區與該閘極結構垂直隔開,以及其中,該奈米線結構與該閘極結構以及各該源極/汲極區耦接。
10‧‧‧FinFET半導體裝置
12‧‧‧半導體基板
14、106X‧‧‧溝槽
16‧‧‧鰭片
18‧‧‧閘極結構
20、138‧‧‧側壁間隔物
22‧‧‧閘極覆蓋層
100‧‧‧奈米線裝置
102‧‧‧半導體基板
104‧‧‧絕緣埋層
106‧‧‧鰭片
106E、108E‧‧‧蝕刻邊
106S、108S‧‧‧上表面
108‧‧‧半導體覆蓋材料、覆蓋層
108L‧‧‧支腿
108U‧‧‧上部
109、116、132、140‧‧‧絕緣材料層
110‧‧‧圖案化遮罩層
114、115‧‧‧開口
120‧‧‧偽閘極或犧牲閘極結構
120A‧‧‧犧牲閘極絕緣層
120B‧‧‧犧牲閘極電極
122、136‧‧‧閘極覆蓋層
130‧‧‧源極/汲極區
134‧‧‧最終閘極結構
144、150‧‧‧半導體覆蓋材料層、覆蓋層
GL‧‧‧閘極長度
GW‧‧‧閘極寬度
H‧‧‧高度
L‧‧‧軸向長度
W‧‧‧寬度
結合附圖參照下面的說明可理解本揭露,這些附圖中相同的元件符號代表類似的元件,以及其中:第1圖顯示現有技術FinFET裝置的一個示例實施例的 立體圖;以及第2A圖至第2P圖顯示形成具有閘極環繞通道組構的奈米線裝置的各種示例方法以及由此形成的半導體裝置。
儘管這裡所揭露的發明主題容許各種修改及替代形式,但附圖中以示例形式顯示本發明主題的特定實施例,並在此進行詳細說明。不過,應當理解,這裡對特定實施例的說明並非意圖將本發明限於所揭露的特定形式,相反,意圖涵蓋落入由所附申請專利範圍定義的本發明的精神及範圍內的所有修改、等同及替代。
下面說明本發明的各種示例實施例。出於清楚目的,不是實際實施中的全部特徵都在本說明書中進行說明。當然,應當瞭解,在任意此類實際實施例的開發中,必須作大量的特定實施決定以滿足開發者的特定目標,例如符合與系統相關及與商業相關的約束條件,該些約束條件因不同實施而異。而且,應當瞭解,此類開發努力可能複雜而耗時,但其仍然是本領域技術人員借助本說明書所執行的常規程式。
現在將參照附圖說明本發明主題。附圖中示意各種結構、系統及裝置僅是出於解釋目的以及避免使本揭露與本領域技術人員已知的細節混淆,但仍包括該些附圖以說明並解釋本揭露的示例。這裡所使用的詞語和片語的意思應當被理解並解釋為與相關領域技術人員對這些詞語及片語的理解一致。這裡的術語或片語的連貫使用並 不意圖暗含特別的定義,亦即與本領域技術人員所理解的通常慣用意思不同的定義。若術語或片語意圖具有特定意思,亦即不同于本領域技術人員所理解的意思,則此類特別定義會以直接明確地提供該術語或片語的特定定義的定義方式明確表示於說明書中。
本揭露涉及形成具有閘極環繞通道組構的奈米線裝置100的各種方法以及由此形成的半導體裝置。 在完整閱讀本申請以後,本領域的技術人員很容易瞭解,本方法可應用於各種裝置,包括但不限於邏輯裝置、記憶體裝置等,並可採用這裡所揭露的方法形成N型或P型半導體裝置。現在參照附圖詳細說明這裡所揭露的方法及裝置的各種示例實施例。
在一個實施例中,示例裝置100將形成於半導體基板102中及上方。半導體基板102具有示例SOI(絕緣體上矽)組構(塊體半導體層102,絕緣埋層(buried insulation layer;BOX)104以及由形成於絕緣埋層104上方的矽組成的主動層)。裝置100可為NMOS或PMOS電晶體。當然,該主動層可由矽以外的其他材料組成,例如InP、InAs、GaAs等。因此,術語“基板”或“半導體基板”應當被理解為涵蓋所有半導體材料以及此類材料的所有形式。裝置100的閘極結構可通過使用“先閘極”或“替代閘極”(“後閘極”)技術形成。另外,附圖中未顯示各種摻雜區,例如源極/汲極區、環狀注入區、阱區等。當然,不應當認為這裡所揭露的發明限於這裡所示及所述的例子。這裡所揭露 的裝置100的不同元件以及結構可通過使用各種不同的材料並通過執行各種已知技術例如化學氣相沉積(chemical vapor deposition;CVD)製程、原子層沉積(atomic layer deposition;ALD)製程、熱生長製程、磊晶生長製程、旋塗技術等形成。這些不同材料層的厚度也可依據特定的應用而變化。
如第2A圖的右上角中所示的簡單平面圖所示,視圖“X-X”是沿閘極長度(gate length;GL)方向(也就是沿裝置完工時的電流傳輸方向)穿過閘極結構所作的剖視圖,而視圖“Y-Y”是沿將會成為該完工奈米線裝置的閘極寬度(gate width;GW)方向的部分所作的剖視圖。
第2A圖顯示執行數個製程操作以後的裝置100。首先,通過圖案化蝕刻遮罩(未圖示)例如圖案化硬遮罩層來執行一個或多個蝕刻製程(例如非等向性蝕刻製程),以在SOI基板的主動層中定義多個鰭片形成溝槽106X。溝槽106X的形成導致形成多個初始半導體鰭片結構106。鰭片形成溝槽106X以及鰭片106的總體尺寸、形狀以及組構可依據特定的應用而變化。在附圖中所示的示例中,鰭片形成溝槽106X以及鰭片106都顯示為具有一致的尺寸及形狀。不過,實施這裡所揭露的本發明的至少一些態樣不要求鰭片形成溝槽106X及鰭片106的尺寸及形狀具有這樣的一致性。在附圖中,所示鰭片形成溝槽106X通過執行非等向性蝕刻製程形成,該製程導致鰭片形成溝槽106X具有示意的通常呈矩形的組構。在實際的真實裝 置中,鰭片形成溝槽106X的側壁可能稍微向內收窄,不過在附圖中未顯示該組構。在一些情況下,鰭片形成溝槽106X在接近鰭片形成溝槽106X的底部可具有凹入輪廓(未圖示)。與通過執行非等向蝕刻製程形成的通常呈矩形組構的鰭片形成溝槽106X相比,通過執行濕式蝕刻製程形成的鰭片形成溝槽106X往往具有更加圓角化的組構或非線性組構。因此,鰭片形成溝槽106X的尺寸及組構以及其製造方式以及鰭片106的常規組構不應被視為本發明的限制。出於揭露方便的目的,在後續附圖中僅顯示基本呈矩形的鰭片形成溝槽106X及鰭片106。而且,裝置100可形成有任意所需數目的鰭片106。鰭片結構106的寬度及高度以及溝槽106X的深度可依據特定的應用而變化。
第2B圖顯示通過執行任意的各種已知磊晶沉積製程圍繞鰭片106形成半導體覆蓋材料108的層以後的裝置100。覆蓋層108的厚度及構成材料可依據特定的應用而變化。例如,在一個實施例中,層108可由矽-鍺(Si(1-x)Ge(x))或矽層組成,且它可具有約2至4奈米的厚度。 在一個實施例中,層108可為矽-鍺(Si(1-x)Ge(x))層,其中,x的值落入約0.10至0.80的範圍內(例如SiGe(0.1)至SiGe(0.8))。 在一個示例實施例中,層108可由專門針對N型或P型裝置的材料製成。如需要,在形成層108時可在層108中納入各種材料,例如碳。在一個示例實施例中,覆蓋層108可為III-V族材料、InGaAs、GaAs、InAs、GaSb、InSbAs、SiGe等的其中一種。
第2C圖顯示執行數個製程操作後的裝置100。首先,在裝置100上形成絕緣材料層109,以過填充鰭片106/覆蓋層108之間的溝槽106X。絕緣材料層109可由各種不同的材料組成,例如二氧化矽、氮化矽、氮氧化矽或半導體製造工業中常用的任意其他介電材料等,或者多層這樣的材料等,且絕緣材料層109可通過執行各種技術形成,例如CVD、ALD等。絕緣材料層109可由與絕緣埋層(BOX)104的材料相同的材料組成,或者絕緣材料層109可由不同的材料組成。接著,執行一個或多個化學機械拋光(chemical mechanical polishing;CMP)製程,通過將覆蓋層108的上表面108S用作拋光停止層來平坦化絕緣材料層109的上表面。在此類CMP製程以後,暴露位於鰭片106的上表面106S上方的覆蓋層108的部分,以供進一步處理。請繼續參照第2C圖,通過使用傳統的光微影工具及技術在裝置100上方形成圖案化遮罩層110,例如圖案化光阻材料層。
接著,如第2D圖所示,通過圖案化遮罩層110執行一個或多個蝕刻製程,例如濕式或乾式蝕刻製程,以相對圍繞結構及層選擇性移除覆蓋層108及初始鰭片106的暴露部分。這些蝕刻製程導致形成具有蝕刻邊108E(見視圖Y-Y)的圖案化覆蓋材料層108以及位於圖案化覆蓋材料層108下的具有蝕刻邊106E(見視圖Y-Y)的鰭片106的圖案化部分。
第2E圖顯示移除上述圖案化遮罩層110以 後的裝置100。依據圖案化遮罩層110的組成,可通過執行一些已知製程操作來移除圖案化遮罩層110。例如若遮罩材料110由光阻材料組成,則可通過執行灰化製程(ashing process)來移除圖案化遮罩層110。
第2F圖顯示執行選擇性蝕刻製程以相對圍繞結構(也就是圖案化覆蓋層108、絕緣材料層109以及絕緣埋層104)移除暴露的圖案化鰭片部分106後的裝置。該蝕刻製程導致在圖案化覆蓋層108下形成開口114。第2F圖中添加視圖Z-Z以試圖進一步解釋處於流程的該製造點的裝置結構。視圖Z-Z是沿如第2F圖所示的平面視圖中的標示處所作的視圖。如圖所示,圖案化覆蓋層108通常由上部108U以及多個基本垂直取向的支腿108L組成。
第2G圖顯示執行數個製程操作以使用絕緣材料116基本重填充開口114以後的裝置100。在一個實施例中,絕緣材料層116可沉積於該裝置的表面上方,以過填充開口114。接著,可執行CMP製程,通過將圖案化覆蓋層108用作拋光停止層來移除絕緣材料層116的多餘部分。在流程的該製造點,暴露圖案化覆蓋層108的上部108U的上表面。絕緣材料層116可由各種不同的材料組成,例如二氧化矽、氮化矽、氮氧化矽或半導體製造工業中常用的任意其他介電材料等,或者多層這樣的材料等,且絕緣材料層116可通過執行各種技術形成,例如CVD、ALD等。絕緣材料層116可由與絕緣材料層109的材料相同的材料組成,或者絕緣材料層116可由不同的材料組成。
第2H圖顯示執行一個或多個凹入蝕刻製程以相對圍繞結構選擇性移除絕緣材料層109、116的部分以後的裝置100。該製程操作導致形成開口115。開口115的尺寸可依據特定的應用而變化。在流程的該製造點,暴露圖案化覆蓋層108的上部108U以及垂直取向的支腿108L的部分。
接著,如第2I圖所示,通過使用已知技術在裝置100上形成示例偽閘極或犧牲閘極結構120。圖中顯示奈米線裝置100的閘極長度(GL)以及閘極寬度(GW)方向。在一個示例實施例中,示意犧牲閘極結構120包括示例犧牲閘極絕緣層120A以及示例犧牲閘極電極120B。還可在犧牲閘極電極120B上方形成示例閘極覆蓋層122(例如氮化矽)。通常鄰近此類犧牲閘極結構形成的側壁間隔物對於實施本發明並非必要,但如果需要,也可形成側壁間隔物。犧牲閘極結構120以及閘極覆蓋層122都可通過使用傳統的製造技術形成。犧牲閘極絕緣層120A可由各種不同的材料組成,例如二氧化矽等。犧牲閘極絕緣層120A的厚度也可依據特定的應用而變化,例如,它可具有約0.5至3奈米的物理厚度。類似地,犧牲閘極電極120B也可由各種導電材料製成,例如氮化矽。重要的是,犧牲閘極絕緣層120A以及犧牲閘極電極120B的構造材料應當為在其上通過執行磊晶生長製程無法形成磊晶半導體材料的材料,後面將作詳細討論。如圖所示,犧牲閘極結構120包覆覆蓋材料108的上部108U以及該覆蓋材料的支腿108L 的部分。於操作中,至少由犧牲閘極結構120包圍的該覆蓋層的部分將充當裝置100的通道區。
第2J圖顯示執行一個或多個凹入蝕刻製程以移除絕緣材料層109、116的至少部分且在一些應用中,相對圍繞結構移除全部材料層109、116以後的裝置100。 該製程操作導致暴露位於犧牲閘極結構120下方的該覆蓋材料的支腿108L的部分。所移除的層109、116的量(也就是厚度)可取決於將要在裝置100上形成的源極/汲極區的尺寸或厚度。
第2K圖顯示執行磊晶生長製程以在犧牲閘極結構120下方的覆蓋材料108的支腿108L的暴露部分上形成源極/汲極區130以後的裝置100。如上所述,犧牲閘極結構120的構造材料經選擇以使用于形成源極/汲極區的磊晶材料不會在犧牲閘極結構120上生長。依據覆蓋層108的組成,源極/汲極區130可由各種不同的半導體材料組成。例如,該源極/汲極區可由矽、SiGe、III-V族材料、InGaAs、GaAs、InAs、GaSb、InSbAs等組成。源極/汲極區130的物理尺寸可依據特定的應用而變化。
第2L圖顯示執行一個或多個蝕刻製程以相對圍繞結構移除犧牲閘極結構120以及閘極覆蓋層122以後的裝置100。
第2M圖顯示在裝置100上沉積絕緣材料層132以後,在執行CMP製程以平坦化絕緣材料層132的上表面以後,以及在執行凹入蝕刻製程以使絕緣材料層132 凹入至想要的高度水準(也就是與源極/汲極區130的上表面大致齊平)以後的裝置100。如圖所示,凹入絕緣材料層132填充源極/汲極區130之間的區域。絕緣材料層132可由各種不同的材料組成,例如二氧化矽、氮化矽、氮氧化矽或半導體製造工業中常用的任意其他介電材料等,或者多層這樣的材料等,且絕緣材料層132可通過執行各種技術形成,例如CVD、ALD等。
第2N圖顯示在凹入絕緣材料層132及源極/汲極區130上沉積絕緣材料層117以後,在執行CMP製程以平坦化絕緣材料層117的上表面以後,以及執行凹入蝕刻製程以將絕緣材料層117凹入至想要的厚度(例如約5至10奈米)以後的裝置100。在完整閱讀本申請以後,本領域的技術人員很容易瞭解,絕緣材料層117將有效充當源極/汲極區130與尚未形成的裝置100的最終閘極結構之間的絕緣間隔材料。絕緣材料層132可由各種不同的材料組成,例如二氧化矽、氮化矽、氮氧化矽或半導體製造工業中常用的任意其他介電材料等,或者多層這樣的材料等,且它可通過執行各種技術形成,例如CVD、ALD等。
接著,如第2O圖所示,在裝置100上形成示例最終閘極結構134。圖中顯示奈米線裝置100的閘極長度(GL)及閘極寬度(GW)方向。在一個示例實施例中,示意性之最終閘極結構134包括示例閘極絕緣層(未單獨顯示)以及示例閘極電極(未單獨顯示)。在最終閘極結構134上方還可形成示例閘極覆蓋層136(例如氮化矽)。圖中還顯 示可鄰近最終閘極結構134形成的示例側壁間隔物138(例如氮化矽),不過此類間隔物138可能不是在所有應用中都必要。圖中還顯示絕緣材料層140,例如二氧化矽。最終閘極結構134、閘極覆蓋層136以及側壁間隔物138(如使用)都可通過使用傳統的製造技術形成。閘極絕緣層可由各種不同的材料組成,例如二氧化矽、高k(k值大於10)絕緣材料(其中k為相對介電常數)等。該閘極絕緣層的厚度也可依據特定的應用而變化,例如它可具有約0.5至3奈米的物理厚度。類似地,閘極電極也可由各種導電材料組成,例如高摻雜多晶矽或非晶矽,或者它可由充當閘極電極的一個金屬層或者金屬層堆疊組成。閘極電極也可由與裝置的所需功函數匹配的一個金屬層以及用以防止氧化並提供良好的接觸黏附力及低金屬電阻的金屬層蓋體組成。在完整閱讀本發明以後,本領域的技術人員將意識到,最終閘極結構(也就是閘極絕緣層以及閘極電極)意圖為代表性質。也就是說,最終閘極結構134可由各種不同的材料組成,且它可具有各種組構。如圖所示,最終閘極結構134包覆覆蓋材料108的上部108U以及覆蓋材料108的支腿108L的部分。於操作中,至少由最終閘極結構134包圍的該覆蓋層的部分將充當裝置100的通道區。
在一個實施例中,可在暴露的覆蓋材料108上及周圍沉積最終閘極結構134的材料,接著沉積閘極覆蓋層136的材料。隨後,可圖案化該些材料層以定義基本的最終閘極結構134以及位於閘極結構134的頂部的閘極 覆蓋層136。如需要,可接著通過使用傳統技術鄰近最終閘極結構134形成側壁間隔物138。不過,由於已形成磊晶源極/汲極區130,可能不需要間隔物138,或者,如使用的話,間隔物138可由任意需要的材料形成,例如低k材料,以降低源極/汲極區接觸與最終閘極結構134之間的電容。接著,通過將閘極覆蓋層136用作拋光停止層可沉積並平坦化絕緣材料層140。
在另一個流程中,可在絕緣材料層117以及覆蓋材料108的暴露部分上首先沉積絕緣材料層140。接著,可圖案化絕緣材料層140以在該覆蓋材料的暴露部分上方定義閘極開口(未圖示),其中,絕緣材料層117充當該閘極開口的“底部”。覆蓋材料108的暴露部分暴露於該閘極開口內。可使用傳統的光微影及蝕刻技術以在絕緣材料層140中形成該閘極開口。接著,通過使用與傳統替代閘極製造材料中所使用的技術類似的技術,可在絕緣材料層140的閘極開口中順序沉積最終閘極結構134的材料。 最後,執行一個或多個CMP製程以移除位於該閘極開口外部以及絕緣材料層140上方的最終閘極結構134的材料。 在這個製造點,可使最終閘極結構134的材料凹入該閘極開口內,從而為閘極覆蓋層136留出空間。過填充位於該凹入閘極材料上方的該閘極開口的剩餘部分,並接著通過將絕緣材料層140用作拋光停止層來執行CMP製程,以移除多餘的該閘極覆蓋材料,從而可形成閘極覆蓋層136。
在第2O圖中所示的製造點,可執行傳統的 製造技術來完成裝置100的製造。例如,通過使用傳統的技術可在裝置100上方形成接觸及金屬化層。
第2P圖顯示一個實施例,其中,可在鰭片106上形成三個覆蓋材料層而不是第2B圖中所示的單個覆蓋層108。除此以外,裝置的製程以及最終結構相同。因此,除通過執行已知的磊晶沉積製程圍繞鰭片106順序形成三個半導體覆蓋材料層108、144、150以外,第2P圖顯示的裝置100所處的製造點與第2B圖所示的製造點對應。覆蓋層108、144以及150的厚度及構造材料可依據特定的應用而變化。覆蓋層108、144以及150不需要都具有相同的厚度,不過此類情況可能發生。例如,在一個實施例中,所有的覆蓋層108、144以及150可具有約2至4奈米的相同厚度。一般來說,構成覆蓋層108、144以及150的材料應使得相對該些覆蓋層(通過蝕刻)可選擇性移除鰭片106的材料(也就是基板),下面將作詳細說明。在一個示例實施例中,層108及150可由相同的半導體材料製成,且該些層可由專門針對N型或P型裝置的材料製成。在另一個實施例中,三個覆蓋層108、144以及150可全部由相同的半導體材料製成,例如具有不同鍺濃度的矽鍺。例如,與層108及150所使用的矽鍺材料的鍺濃度相比,層144(中間層或核心)可具有較低鍺濃度。作為一個特定例子,對於PMOS裝置,層144可由SiGe製成,其中,典型的錯濃度在10至35%之間變化,而層108及150可由SiGe製成,其中,典型的錯濃度在40至75%之間變化。對於NMOS 裝置,層108、144以及150可類似地具有較低錯濃度或基於III-V族化合物半導體材料,例如InAs、InGaAs以及InGaSb等。如需要,當形成覆蓋層108、144以及150時可將各種材料(例如用於第IV族半導體的碳)納入覆蓋層108、144以及150中。在一個示例實施例中,覆蓋層108、144以及150可為III-V族材料、InGaAs、GaAs、InAs、GaSb、InSbAs、SiGe等。在完整閱讀本申請以後,本領域的技術人員將瞭解,在最終的裝置中,大部分電流將在較外半導體層108、150中流動,而覆蓋層144將充當兩層108、150之間的核心或阻障。也就是說,在這個實施例中,層108及150將定義該裝置的主要通道區(也被稱為表面通道),在裝置100操作期間基本上全部電流將在該主要通道區流動,而層144將充當核心區,在裝置100操作時,幾乎沒有電流在層144中流動。
由於本領域的技術人員借助這裡的教導可以很容易地以不同但等同的方式修改並實施本發明,因此上述特定的實施例僅為示例性質。例如,可以不同的順序執行上述製程步驟。而且,本發明不限於這裡所示架構或設計的細節,而是如下面的申請專利範圍所述。因此,顯然,可對上面揭露的特定實施例進行修改或變更,所有此類變更落入本發明的範圍及精神內。要注意的是,用於說明說明書以及所附申請專利範圍中的各種製程或結構的“第一”、“第二”、“第三”或者“第四”等術語的使用僅用作此類步驟/結構的快捷參考,並不一定意味著按排 列順序執行/形成此類步驟/結構。當然,依據準確的申請專利範圍語言,可能要求或者不要求此類製程的排列順序。因此,下面的申請專利範圍規定本發明的保護範圍。
100‧‧‧奈米線裝置
102‧‧‧半導體基板
104‧‧‧絕緣埋層
108E‧‧‧蝕刻邊
108‧‧‧半導體覆蓋材料、覆蓋層
108U‧‧‧上部
120B‧‧‧犧牲閘極電極
130‧‧‧源極/汲極區
132、140‧‧‧絕緣材料層
134‧‧‧最終閘極結構
136‧‧‧閘極覆蓋層
138‧‧‧側壁間隔物
GL‧‧‧閘極長度
GW‧‧‧閘極寬度

Claims (27)

  1. 一種方法,包括:在半導體基板中形成鰭片;執行至少一個第一磊晶沉積製程,以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層;執行至少一個蝕刻製程,以圖案化該至少一個覆蓋材料層以及該鰭片,從而導致該鰭片的圖案化部分位於該圖案化的至少一個覆蓋材料層下,該圖案化的至少一個覆蓋材料層具有上部以及自該上部向下延伸的多個基本垂直取向的支腿;執行至少一個蝕刻製程,以相對該圖案化的至少一個覆蓋材料層選擇性移除該圖案化鰭片,從而在該圖案化的至少一個覆蓋材料層下形成開口;環繞該至少一個覆蓋材料層的該上部的至少部分形成犧牲閘極結構;伴隨該犧牲閘極結構就位,執行第二磊晶沉積製程,以在各該基本垂直取向的支腿上形成磊晶半導體源極/汲極區;移除該犧牲閘極結構;以及環繞該至少一個覆蓋材料層的該上部的至少部分形成最終閘極結構。
  2. 如申請專利範圍第1項所述的方法,其中,該鰭片由矽組成,且該覆蓋材料由SiGe、III-V族材料、InGaAs、GaAs、InAs、GaSb或InSbAs的其中一種組成。
  3. 如申請專利範圍第1項所述的方法,其中,該最終閘極結構由二氧化矽閘極絕緣層以及多晶矽閘極電極組成。
  4. 如申請專利範圍第1項所述的方法,其中,該最終閘極結構由高k閘極絕緣層以及由至少一個金屬層組成的閘極電極組成。
  5. 如申請專利範圍第1項所述的方法,其中,該基板為矽基板。
  6. 如申請專利範圍第1項所述的方法,其中,在形成該最終閘極結構之前,該方法還包括:形成絕緣材料層,以過填充該圖案化覆蓋材料下的該開口;以及在至少該絕緣材料層上執行凹入蝕刻製程,以移除該絕緣材料層的部分,從而暴露該圖案化覆蓋材料的至少該上部。
  7. 如申請專利範圍第1項所述的方法,其中,在形成該最終閘極結構之前,該方法還包括形成位於各該源極/汲極區的上表面上的絕緣材料層,以及其中,形成該最終閘極結構包括在位於該源極/汲極區的該上表面上的該絕緣材料層上形成該最終閘極結構。
  8. 如申請專利範圍第1項所述的方法,其中,該至少一個覆蓋材料層由單個覆蓋材料層組成。
  9. 如申請專利範圍第1項所述的方法,其中,該至少一個覆蓋材料層由三個覆蓋材料層組成。
  10. 如申請專利範圍第1項所述的方法,其中,該至少一個 覆蓋材料層由三個覆蓋材料層組成,以及其中,執行至少一個第一磊晶沉積製程以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層的該步驟包括:執行第一磊晶沉積製程,以在該鰭片的暴露部分上形成第一磊晶半導體材料層;執行第二磊晶沉積製程,以在該第一磊晶半導體材料層上形成第二磊晶半導體材料層;以及執行第三磊晶沉積製程,以在該第二磊晶半導體材料層上形成第三磊晶半導體材料層。
  11. 如申請專利範圍第10項所述的方法,其中,該基板及該第二磊晶半導體材料層由矽組成,且該第一及第三磊晶半導體材料層由矽鍺組成。
  12. 如申請專利範圍第10項所述的方法,其中,該第一及第三磊晶半導體材料層由相同的半導體材料製成。
  13. 一種方法,包括:在半導體基板中形成鰭片;執行至少一個第一磊晶沉積製程,以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層;執行至少一個蝕刻製程,以圖案化該至少一個覆蓋材料層以及該鰭片,從而導致該鰭片的圖案化部分位於該圖案化的至少一個覆蓋材料層下,該圖案化的至少一個覆蓋材料層具有上部以及自該上部向下延伸的多個基本垂直取向的支腿;執行至少一個蝕刻製程,以相對該圖案化的至少一 個覆蓋材料層選擇性移除該圖案化鰭片,從而在該圖案化的至少一個覆蓋材料層下形成開口;環繞該至少一個覆蓋材料層的該上部以及與該上部相鄰的各該基本垂直取向的支腿的第一部分形成犧牲閘極結構;伴隨該犧牲閘極結構就位,執行第二磊晶沉積製程,以在各該基本垂直取向的支腿的第二部分上形成磊晶半導體源極/汲極區;移除該犧牲閘極結構;形成絕緣材料層,該絕緣材料層位於各該源極/汲極區的上表面上;以及在該絕緣材料層上並環繞該至少一個覆蓋材料層的該上部的至少部分形成最終閘極結構。
  14. 如申請專利範圍第13項所述的方法,其中,在形成該絕緣材料層之前,該方法還包括:形成另一絕緣材料層,以過填充位於該圖案化的至少一個覆蓋材料層下的該開口;以及在至少該另一絕緣材料層上執行凹入蝕刻製程,以移除該絕緣材料層的部分,從而暴露該圖案化的至少一個覆蓋材料層的該上部以及該基本垂直取向的支腿的該第一部分。
  15. 如申請專利範圍第13項所述的方法,其中,形成該絕緣材料層包括:沉積該絕緣材料層以使其具有位於該至少一個覆蓋材料層的該上部上方的上表面;以及在該絕 緣材料層上執行凹入蝕刻製程,以在完成該凹入蝕刻製程以後,使該至少一個覆蓋材料層的至少該上部暴露於該凹入絕緣材料層上方。
  16. 如申請專利範圍第13項所述的方法,其中,該至少一個覆蓋材料層由單個覆蓋材料層組成。
  17. 如申請專利範圍第13項所述的方法,其中,該至少一個覆蓋材料層由三個覆蓋材料層組成。
  18. 如申請專利範圍第13項所述的方法,其中,該至少一個覆蓋材料層由三個覆蓋材料層組成,以及其中,執行至少一個第一磊晶沉積製程以圍繞該鰭片的暴露部分形成至少一個磊晶半導體覆蓋材料層的該步驟包括:執行第一磊晶沉積製程,以在該鰭片的暴露部分上形成第一磊晶半導體材料層;執行第二磊晶沉積製程,以在該第一磊晶半導體材料層上形成第二磊晶半導體材料層;以及執行第三磊晶沉積製程,以在該第二磊晶半導體材料層上形成第三磊晶半導體材料層。
  19. 如申請專利範圍第18項所述的方法,其中,該基板及該第二磊晶半導體材料層由矽組成,且該第一及第三磊晶半導體材料層由矽鍺組成。
  20. 如申請專利範圍第18項所述的方法,其中,該第一及第三磊晶半導體材料層由相同的半導體材料製成。
  21. 一種裝置,包括:絕緣材料層; 奈米線,由位於該絕緣材料層上方的至少一個磊晶半導體材料層組成;閘極結構,環繞該奈米線的至少部分並位於該絕緣材料層上方;以及多個磊晶半導體源極/汲極區,位於該絕緣材料層的垂直下方,其中,各該源極/汲極區與該閘極結構垂直隔開,以及其中,該奈米線結構與該閘極結構以及各該源極/汲極區耦接。
  22. 如申請專利範圍第21項所述的裝置,其中,該至少一個磊晶半導體材料層由矽SiGe、III-V族材料、InGaAs、GaAs、InAs、GaSb或InSbAs的其中一種組成。
  23. 如申請專利範圍第21項所述的裝置,還包括位於該絕緣材料層下以及各該磊晶源極/汲極區之間的另一絕緣材料層。
  24. 如申請專利範圍第21項所述的裝置,其中,該至少一個磊晶半導體材料層為單個磊晶半導體材料層。
  25. 如申請專利範圍第21項所述的裝置,其中,該至少一個磊晶半導體材料層包括第一磊晶半導體材料層,位於該第一磊晶半導體材料層上的第二磊晶半導體材料層,以及位於該第二磊晶半導體材料層上的第三磊晶半導體材料層。
  26. 如申請專利範圍第25項所述的裝置,其中,該基板及該第二磊晶半導體材料層由矽組成,且該第一及第三磊晶半導體材料層由矽鍺組成。
  27. 如申請專利範圍第25項所述的裝置,其中,該第一及第三磊晶半導體材料層由相同的半導體材料製成。
TW104115517A 2014-06-13 2015-05-15 形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置 TWI576898B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/304,096 US9166025B1 (en) 2014-06-13 2014-06-13 Methods of forming a nanowire device with a gate-all-around-channel configuration and the resulting nanowire device

Publications (2)

Publication Number Publication Date
TW201601199A true TW201601199A (zh) 2016-01-01
TWI576898B TWI576898B (zh) 2017-04-01

Family

ID=54290444

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104115517A TWI576898B (zh) 2014-06-13 2015-05-15 形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置

Country Status (3)

Country Link
US (1) US9166025B1 (zh)
CN (1) CN105280709B (zh)
TW (1) TWI576898B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324617B1 (en) 2015-05-18 2016-04-26 Globalfoundries Inc. Methods of forming elastically relaxed SiGe virtual substrates on bulk silicon
US9362361B1 (en) * 2015-05-18 2016-06-07 Globalfoundries Inc. Methods of forming elastically relaxed SiGe virtual substrates on bulk silicon
KR102490696B1 (ko) * 2016-11-07 2023-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11289573B2 (en) 2019-03-01 2022-03-29 International Business Machines Corporation Contact resistance reduction in nanosheet device structure

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW502453B (en) * 2001-09-06 2002-09-11 Winbond Electronics Corp MOSFET and the manufacturing method thereof
US7868374B2 (en) * 2008-02-21 2011-01-11 International Business Machines Corporation Semitubular metal-oxide-semiconductor field effect transistor
US7863126B2 (en) 2008-05-15 2011-01-04 International Business Machines Corporation Fabrication of a CMOS structure with a high-k dielectric layer oxidizing an aluminum layer in PFET region
US8368125B2 (en) * 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8716072B2 (en) * 2011-07-25 2014-05-06 International Business Machines Corporation Hybrid CMOS technology with nanowire devices and double gated planar devices
CN103681329B (zh) * 2012-09-10 2017-07-11 中国科学院微电子研究所 半导体器件及其制造方法

Also Published As

Publication number Publication date
CN105280709A (zh) 2016-01-27
TWI576898B (zh) 2017-04-01
CN105280709B (zh) 2018-08-28
US9166025B1 (en) 2015-10-20

Similar Documents

Publication Publication Date Title
CN109427774B (zh) 半导体元件及其制造方法
US9614058B2 (en) Methods of forming low defect replacement fins for a FinFET semiconductor device and the resulting devices
US9231051B2 (en) Methods of forming spacers on FinFETs and other semiconductor devices
US8716156B1 (en) Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US8815739B2 (en) FinFET device with a graphene gate electrode and methods of forming same
US8753940B1 (en) Methods of forming isolation structures and fins on a FinFET semiconductor device
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
US8703557B1 (en) Methods of removing dummy fin structures when forming finFET devices
US10483369B2 (en) Methods of forming replacement gate structures on transistor devices
TWI578524B (zh) 藉由執行三重塗覆程序形成用於半導體裝置之通道區的方法
TWI511292B (zh) 形成具有替代通道材料之鰭式場效電晶體設備的方法
US9564367B2 (en) Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US9530869B2 (en) Methods of forming embedded source/drain regions on finFET devices
US9478634B2 (en) Methods of forming replacement gate structures on finFET devices and the resulting devices
TW201622159A (zh) 穿隧式場效電晶體及製造此種電晶體之方法
US8673723B1 (en) Methods of forming isolation regions for FinFET semiconductor devices
US9543441B2 (en) Methods, apparatus and system for fabricating high performance finFET device
TWI576898B (zh) 形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
TW201640570A (zh) 形成用於鰭式場效電晶體半導體裝置之鰭片的方法以及其半導體裝置
US9412839B2 (en) Methods of forming replacement gate structures on FinFET devices and the resulting devices

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees