TW201502827A - 適用於混合模組之平面規劃方法 - Google Patents

適用於混合模組之平面規劃方法 Download PDF

Info

Publication number
TW201502827A
TW201502827A TW102124247A TW102124247A TW201502827A TW 201502827 A TW201502827 A TW 201502827A TW 102124247 A TW102124247 A TW 102124247A TW 102124247 A TW102124247 A TW 102124247A TW 201502827 A TW201502827 A TW 201502827A
Authority
TW
Taiwan
Prior art keywords
module
curve
segmentation
circuit modules
circuit
Prior art date
Application number
TW102124247A
Other languages
English (en)
Other versions
TWI623844B (zh
Inventor
Chia-Min Lin
Kai-Chung Chan
Original Assignee
Univ Nat Cheng Kung
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Nat Cheng Kung filed Critical Univ Nat Cheng Kung
Priority to TW102124247A priority Critical patent/TWI623844B/zh
Priority to US14/069,713 priority patent/US8966428B2/en
Publication of TW201502827A publication Critical patent/TW201502827A/zh
Application granted granted Critical
Publication of TWI623844B publication Critical patent/TWI623844B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

  本發明有關於一種適用於混合模組且可考慮固定框架之平面規劃方法,係首先將所有混合電路模組均勻散布至整個晶片中;接著,在維持電路模組相對位置,以及符合固定框架限制下,以遞迴分割方法建構出一分割樹,並於一預設條件下停止分割;最後,使用曲線合併方法移除電路模組重疊的情況,以得到一個效能最佳之合理解;其中形成曲線的每一個點係平面規劃的結果,而曲線合併方法係產生該分割樹中所有葉節點之曲線後,由下往上進行曲線合併,再於複數組平面規劃的結果中,找出一效能最佳之合理解,以決定每一電路模組確切的位置和形狀。

Description

適用於混合模組之平面規劃方法
  本發明係有關於一種適用於混合模組且可考慮固定框架之平面規劃方法,尤其是指一種在全域擺置階段,針對不同的因素(例如繞線長度、溫度、可繞度以及效能等)需求,將電路模組均勻散布至整個晶片中,於此平面規劃結果下,在盡量不破壞全域擺置結果所決定的模組相對位置,以及符合固定框架之限制下,再利用合法化階段移除電路模組重疊的狀況,藉以大幅節省晶片的繞線長度,提升晶片的效能,縮短IC設計流程的時間者。
  按,在超大型積體電路實體設計中平面規劃為一重要的步驟,此步驟決定模組在晶片上的形狀與位置,而最後晶片的整體效能將深受其結果所影響;在系統單晶片(SOC)晶片盛行的年代,為了降低設計複雜度,通常使用階層式的設計方式,這使得平面規劃變得更為重要;因為平面規劃會決定模組在晶片中的位置和形狀,它的結果對於晶片最後擺置和繞線的結果,有很大的影響,好的平面規劃結果,通常可以導致較好的擺置和繞線的結果,因此如果有一個好的平面規劃器(floorplanner),不僅僅可以提升晶片的效能,還可以大幅縮短產品上市的時間。
  從佈局觀點而言,類比電路設計和數位電路設計有著極大的不同;一般而言,所謂軟模組(soft module),是指那些數位電路的模組,由於它是經由自動擺置繞線工具所產生,所以它允許在面積不改變的前提下,去改變此模組的長寬比例。至於硬模組,一般是泛指客製化的設計,像是類比電路模組,由於是由工程師用手從頭畫起,因此其模組的長寬比例固定不能改變;目前,以工業界最常使用的平面規劃工具(SoC encounter),工程師仍是必須使用半手動的方式才能完成,不僅需取決於佈局設計人員的經驗和智慧,亦無法完全做到完全地自動化。
  而在先前有關平面規劃的研究中,絕大部分是以模擬退火演算法(simulated annealing algorithm)為基礎,例如請參閱中華民國第I390707公告號之『半導體晶片、巨集擺置的方法、多向密集堆積巨集擺置器與多尺寸混合擺置設計方法』,因模擬退火演算法係屬非決定性(non-deterministic)演算法,不僅執行時需花費較久的時間,而且每次所獲得的結果都不一樣。
  此外,Yan和Chu兩人(期刊出處:“DeFer: Deferred decision making enabled fixed-outline floorplanner,”In Proc﹒ DAC,pp﹒161-166)於2008年提出一種平面規劃,係使用hMetis方法將電路做切割,以建立出一泛化分割樹(generalized slicing tree),之後再以曲線合併的方式獲得平面規劃的結果;然,上述DeFer所使用的方法有如下二缺失:其一在只考慮繞線長度的平面規劃,可以獲得不錯的結果,但是如果必須考慮其它的因素,例如溫度或可繞度,就可能沒有辦法處理,而難以延伸;其二因其使用隨機程序,亦導致每次平面規劃所獲得的結果都不一樣。
  因此,如何針對上述缺陷予以改良,並於符合固定框架之限制以及不允許任意兩個模組相互重疊的條件限制下,同時盡可能地達到整體晶片面積最小、繞線距離最短等其它最佳化設計目標,在很快的時間內獲得穩定的結果,進而縮短IC設計流程的時間,無疑仍是目前本相關領域之人員急需思考解決之重要課題。
  今,發明人即是鑑於現有之平面規劃方法在實際實施上仍具有多處之缺失,於是乃一本孜孜不倦之精神,並藉由其豐富之專業知識及多年之實務經驗所輔佐,而加以改善,並據此研創出本發明。
  本發明主要目的為提供一種適用於混合模組且可考慮固定框架之平面規劃方法,係使用兩階段的方式來處理固定框架的平面規劃,第一階段為針對不同的因素(例如繞線長度、溫度、可繞度以及效能等)需求,將電路模組均勻散布至整個晶片中之全域擺置階段,並在盡量不破壞全域擺置結果所決定的模組相對位置,以及符合固定框架之限制下,利用第二階段的合法化方法移除電路模組重疊的狀況,藉以大幅節省晶片的繞線長度,提升晶片的效能,縮短IC設計流程的時間者。
  為了達到上述實施目的,本發明人提出一種適用於混合模組且可考慮固定框架之平面規劃方法,係首先將所有包括有硬模組及軟模組之混合電路模組均勻散布至整個晶片中;接著,在盡量維持上述步驟所決定的電路模組相對位置,並符合固定框架限制下,利用可例如以二分割演算法為基礎的遞迴分割方法建構出一分割樹,並於一預設條件下停止分割;最後,使用曲線合併方法移除電路模組重疊的情況,以得到一個效能較佳之合理解;其中形成曲線的每一個點係平面規劃的結果,而曲線合併方法係產生該分割樹中所有葉節點之曲線後,由下往上進行曲線合併,於複數組平面規劃的結果中,以例如反追蹤方法由上往下找出一效能最佳之合理解,以決定每一電路模組確切的位置和形狀;藉此,由於上述步驟皆為使用確定型演算法,因此與目前之平面規劃方法使用隨機程序(例如模擬退火法)等非確定型演算法相較下,不僅可解決每次平面規劃所獲得的結果都不一樣的缺失,在很快的時間內獲得穩定的結果,且平均線長改善率亦具有比現有方法更好的結果。
  於本發明之一實施例中,將混合電路模組均勻散布至整個晶片中係可以數值分析法或力強度導向方法實施;再者,將所有的電路模組均勻散布至整個晶片中之目標函數可以最佳化繞線長度,亦可包含有溫度或可繞度等等因素其中之一或兩者以上之組合;藉此,可解決DeFer提出之方法,因為使用hMetis的方式,只能考慮繞線長度而難以延伸的問題,可進一步將溫度、可繞度及效能因素等因素放到目標函數中,規劃上更具有較佳的彈性。
  於本發明之一實施例中,預設條件可為當所有葉節點之電路模組數不大於一特定值時,即停止分割;該特定值較佳為8~10,更佳為9。
  於本發明之一實施例中,上述電路模組進一步可包括有直線輪廓模組。
(1)‧‧‧曲線
(11)‧‧‧點
(2)‧‧‧葉節點
(3)‧‧‧固定框架區域
(4)‧‧‧根節點
(5)‧‧‧子節點
(S1)‧‧‧步驟一
(S2)‧‧‧步驟二
(S3)‧‧‧步驟三
第一圖:本發明適用於混合模組之平面規劃方法其步驟流程圖
第二圖:本發明合法化階段之細部步驟流程圖
第三圖:本發明利用遞迴分割方法建構出之分割樹示意圖
第四圖:本發明具體實施例之將所有電路模組均勻散布至整個晶片中之佈局圖
第五圖:本發明具體實施例完成步驟二之晶片佈局圖
第六圖:本發明具體實施例完成步驟三之晶片佈局圖
  本發明之目的及其結構功能上的優點,將依據以下圖面所示之結構,配合具體實施例予以說明,俾使審查委員能對本發明有更深入且具體之瞭解。
  首先,請參照第一圖所示,為本發明 平面規劃方法之步驟流程圖,其方法係適用於具有固定框架(fixed-outline)限制,並可應用於包括軟模組(soft module)及硬模組(hard module)混合之電路模組,其中硬模組係指其長度與寬度皆固定的模組(例如一般的類比電路模組),而軟模組則為面積固定,但模組之長度與寬度比(aspect ratio)在某一範圍限制內可隨意變動(例如一般的數位電路模組);本發明之平面規劃方法係包括有下列步驟:
  步驟一(S1):將所有包括有硬模組的類比電路模組以及軟模組的數位電路模組之混合電路模組均勻散布至整個晶片中,此步驟可稱為全域擺置階段(global distribution stage);其中,可以數值分析法或力強度導向方法(force directed approach)將所有的電路模組均勻散布至整個晶片中,力強度導向方法的目標是最佳化每一電路模組進行擺置時,其在力場中消耗最少能量的曲線路徑;數值分析法可藉由如Kuwano和Takashima兩人(期刊出處:“Stable-LSE based analytical placement with overlap removable length﹒”In Proc﹒ SASIMI,pp﹒115-120)於2010年提出的S-LSE線長模型去估量線長,以及美國專利第6301693公告號『Non-linear optimization system and method for wire length and delay optimization for an automatic electric placer』之鐘型位能函數去量測每個子區域的模組佔有率,該等文獻在此全部以引用的方式併入本文中,而其目標函數可為最佳化繞線長度,亦或是可進一步包含溫度,可繞度(routability)等其它欲考慮因素其中之一或兩者以上之組合,而相較於目前DeFer使用hMetis的方式,其只能考慮繞線長度,本發明可以將欲考慮的因素,包含溫度、可繞度等其它欲因素,也放到目標函數中,因而具有更高的彈性;在此值得注意的,前述之數值分析法或力強度導向方法已經有大量地揭露於文獻或先前技術中,且非本發明之技術特徵所在,容不再贅述;此外,上述混合電路模組亦可包括有直線輪廓模組(rectilinear module),亦即電路模組的輪廓是由直線線段所構成的不規則形狀;而在第一步驟結束後,即可以獲得一個不錯之平面規劃結果,然而由於電路模組間,仍然存在些許的重疊,因此還需要下述步驟來移除此狀況;
  步驟二(S2):維持上述步驟一(S1)所決定的電路模組相對位置,在符合固定框架限制下,利用遞迴分割方法建構出一分割樹(slicing tree),並於一預設條件下停止分割;其中,遞迴分割方法可以習知之二分割演算法(bi-partition algorithm)為基礎進行分割,而當分割樹中所有葉節點(2)(leaf node)之電路模組數不大於一特定值時,即停止分割,其中上述特定值較佳係介於8~10之間,更佳為9;以及
  步驟三(S3):使用曲線合併方法移除電路模組重疊的情況,以得到一個效能最佳之合理解;請一併參閱第三圖所示,其中形成曲線(1)的每一個點(11)係平面規劃的結果,而曲線合併方法係於產生分割樹中所有葉節點(2)(leaf node)之曲線(1)後,由下往上進行曲線合併,於複數組平面規劃的結果中,可利用但不限定習知的反追蹤方法(back tracking approach)由上往下找出一效能最佳之合理解,以決定該等電路模組確切的位置和形狀;值得注意的,步驟二(S2)和步驟三(S3)係為解決全域擺置後,於電路模組間仍存在些許重疊的缺失,在此可將步驟二(S2)和步驟三(S3)的過程統稱合法化階段(legalization stage),並請一併參閱第二圖所示,為本發明合法化階段之細部步驟流程圖,其係分為建構分割樹(步驟二(S2))和曲線合併以找到最佳合理解(步驟三(S3))兩大區塊;於步驟二(S2)區塊中,首先將全域擺置的區域和所有電路模組視為切割樹之根節點(4)(root node),如果此切割樹之葉結點(2)之電路模組數量大於特定值,則以任何之切割演算法,將此結點所對應之區域切割成兩個子區域,而此兩子區域和擺置在其中之電路模組,則形成切割樹中之兩個子節點(5)(children nodes),並且連接至原本切割樹之結點,重複此步驟,直到葉節點(2)中的所有電路模組數量小於或等於特定值才進入步驟三(S3)區塊,而當步驟二(S2)完成後,即可建構出一分割樹,如第三圖所示;接續,在保持住全域擺置結果所決定的電路模組相對位置,以及符合固定框架之限制下,產生分割樹中每一葉節點(2)之曲線;然後,由下往上進行曲線合併,以得到根節點(4)之曲線(1),其中曲線(1)的每一個點(11)係平面規劃的結果,由第三圖中根節點(4)之放大示意圖可知,在固定框架區域(3)內的每一個點(11)即為合法之平面規劃結果(合理解),最後,再藉由反追蹤方法由上往下於複數組平面規劃的結果中找出一效能最佳之合理解,以決定電路模組確切的位置和形狀;藉此,本發明可自動化決定電路模組位置和形狀,不僅可解決傳統工程師決定晶片位置和形狀的半手動方式,亦能大幅增加晶片設計的品質,縮短整體晶片設計的時間。
  請再參閱第四圖所示,為本發明具體實施例將所有電路模組均勻散布至整個晶片中之佈局圖,由圖中可知全域擺置後,電路模組仍然存在些許的重疊;請一併參閱第五圖所示,為本發明具體實施例完成步驟二(S2)之晶片佈局圖,以二分切割演算法進行分割以建構出一分割樹,本發明具體實施例係以二分切割演算法分為兩個子節點(5),直到葉節點(2)中的所有電路模組小於或等於9才停止分割;第六圖所示為本發明具體實施例完成步驟三(S3)之晶片佈局圖,藉由曲線合併方法來移除電路模組重疊的情況,且達到所有電路模組皆擺放在固定的區域內(feasible solution);實驗結果顯示與PATOMA(期刊出處:“Fast floorplanning by look ahead enabled recursive bipartitioning﹒”IEEE TCAD,pp﹒1719-1732)和DeFer比較下,平均線長改善率分別為22﹒5%和4﹒7%,顯然具有比現有方法更好的結果。
  由上述適用於混合模組之平面規劃方法與實施說明可知,本發明具有以下優點:
1.本發明解決現有較佳之DeFer方法,其使用hMetis的方式,只能考慮繞線長度而難以延伸的問題,可進一步將溫度或可繞度等其它欲考慮之因素放到目標函數中,規劃上更具有較佳的彈性。
2.本發明藉由全域擺置的方法先建立出分割樹,再加上曲線合併的方式來處理平面規劃,由於這些方法都是確定型(deterministic)演算法,因此與目前之平面規劃方法使用隨機程序(例如模擬退火法)等非確定型(non-deterministic)演算法相較下,可解決每次平面規劃所獲得的結果都不一樣的缺失,在很快的時間內獲得穩定的結果,且平均線長改善率亦具有比現有方法更好的結果。
  綜上所述,本發明適用於混合模組之平面規劃方法,的確能藉由上述所揭露之實施例,達到所預期之使用功效,且本發明亦未曾公開於申請前,誠已完全符合專利法之規定與要求。爰依法提出發明專利之申請,懇請惠予審查,並賜准專利,則實感德便。
  惟,上述所揭之圖示及說明,僅為本發明之較佳實施例,非為限定本發明之保護範圍;大凡熟悉該項技藝之人士,其所依本發明之特徵範疇,所作之其它等效變化或修飾,皆應視為不脫離本發明之設計範疇。
 
(S1)‧‧‧步驟一
(S2)‧‧‧步驟二
(S3)‧‧‧步驟三

Claims (8)

  1. 一種適用於混合模組之平面規劃方法,係考慮固定框架限制,並應用於包括軟模組及硬模組混合之電路模組,其平面規劃方法包括有下列步驟:
      步驟一:將所有的電路模組均勻散布至整個晶片中;
      步驟二:維持該步驟一所決定的電路模組相對位置,並符合該固定框架限制下,利用遞迴分割方法建構出一分割樹,並於一預設條件下停止分割;以及
      步驟三:使用曲線合併方法移除電路模組重疊的情況,以得到一個效能最佳之合理解;其中形成曲線的每一個點係平面規劃的結果,而該曲線合併方法係產生該分割樹中所有葉節點之曲線後,由下往上進行曲線合併,於複數組平面規劃的結果中,接著由上往下利用反追蹤方法(back tracking approach),找出一效能最佳之合理解,以決定該等電路模組確切的位置和形狀。
  2. 依據申請專利範圍第1項所述之平面規劃方法,其中該電路模組進一步包括有直線輪廓模組。
  3. 依據申請專利範圍第1項所述之平面規劃方法,其中該步驟一係以數值分析法或力強度導向方法將所有的電路模組均勻散布至整個晶片中。
  4. 依據申請專利範圍第3項所述之平面規劃方法,其中將所有的電路模組均勻散布至整個晶片中之目標函數係最佳化繞線長度。
  5. 依據申請專利範圍第4項所述之平面規劃方法,其中該目標函數進一步包含溫度、可繞度及效能因素其中之一或兩者以上之組合。
  6. 依據申請專利範圍第1項所述之平面規劃方法,其中該遞迴分割方法係以二分割演算法為基礎。
  7. 依據申請專利範圍第1項所述之平面規劃方法,其中該步驟二之預設條件係當所有葉節點之電路模組數不大於一特定值時,即停止分割。
  8. 依據申請專利範圍第7項所述之平面規劃方法,其中該特定值係為9。
TW102124247A 2013-07-05 2013-07-05 適用於混合模組之平面規劃方法 TWI623844B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW102124247A TWI623844B (zh) 2013-07-05 2013-07-05 適用於混合模組之平面規劃方法
US14/069,713 US8966428B2 (en) 2013-07-05 2013-11-01 Fixed-outline floorplanning approach for mixed-size modules

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102124247A TWI623844B (zh) 2013-07-05 2013-07-05 適用於混合模組之平面規劃方法

Publications (2)

Publication Number Publication Date
TW201502827A true TW201502827A (zh) 2015-01-16
TWI623844B TWI623844B (zh) 2018-05-11

Family

ID=52133692

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102124247A TWI623844B (zh) 2013-07-05 2013-07-05 適用於混合模組之平面規劃方法

Country Status (2)

Country Link
US (1) US8966428B2 (zh)
TW (1) TWI623844B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102403735B1 (ko) 2017-09-07 2022-05-30 삼성전자주식회사 비대칭적인 엔딩 셀들을 포함하는 집적 회로 및 시스템 온 칩
CN112364599A (zh) * 2020-11-27 2021-02-12 西南科技大学 一种固定边框电路布图规划方法
CN116324787A (zh) * 2021-10-12 2023-06-23 联发科技股份有限公司 利用人工智能方案的宏布置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5956737A (en) * 1996-09-09 1999-09-21 Design Intelligence, Inc. Design engine for fitting content to a medium
US5903902A (en) * 1996-09-09 1999-05-11 Design Intelligence, Inc. Design engine with tree and component structure
US6408428B1 (en) * 1999-08-20 2002-06-18 Hewlett-Packard Company Automated design of processor systems using feedback from internal measurements of candidate systems
US6385757B1 (en) * 1999-08-20 2002-05-07 Hewlett-Packard Company Auto design of VLIW processors
US20020178432A1 (en) * 2000-08-17 2002-11-28 Hyungwon Kim Method and system for synthesizing a circuit representation into a new circuit representation having greater unateness
JP2003031664A (ja) * 2001-07-19 2003-01-31 Fujitsu Ltd レイアウト設計方法、レイアウト検証方法、レイアウト設計装置、プログラム及び記録媒体
US7080063B2 (en) * 2002-05-10 2006-07-18 Oracle International Corporation Probabilistic model generation
US7174344B2 (en) * 2002-05-10 2007-02-06 Oracle International Corporation Orthogonal partitioning clustering
US7174336B2 (en) * 2002-05-10 2007-02-06 Oracle International Corporation Rule generation model building
US7174343B2 (en) * 2002-05-10 2007-02-06 Oracle International Corporation In-database clustering
US7590642B2 (en) * 2002-05-10 2009-09-15 Oracle International Corp. Enhanced K-means clustering
US7747624B2 (en) * 2002-05-10 2010-06-29 Oracle International Corporation Data summarization
US6931413B2 (en) * 2002-06-25 2005-08-16 Microsoft Corporation System and method providing automated margin tree analysis and processing of sampled data
US7664806B1 (en) * 2003-04-22 2010-02-16 At&T Corp. Routing XML queries
JP4284235B2 (ja) * 2004-06-07 2009-06-24 富士通株式会社 配線選択方法及び装置、配線選択プログラム及び配線選択プログラムを記録したコンピュータ読取可能な記録媒体、並びに、遅延改善方法
US7146583B1 (en) * 2004-08-06 2006-12-05 Xilinx, Inc. Method and system for implementing a circuit design in a tree representation
US7804504B1 (en) * 2004-12-13 2010-09-28 Massachusetts Institute Of Technology Managing yield for a parallel processing integrated circuit
US20060190889A1 (en) * 2005-01-14 2006-08-24 Cong Jingsheng J Circuit floorplanning and placement by look-ahead enabled recursive partitioning
US20070157146A1 (en) * 2006-01-03 2007-07-05 Mediatek Inc. Method of packing-based macro placement and semiconductor chip using the same
US20070245281A1 (en) * 2006-04-14 2007-10-18 Riepe Michael A Placement-Driven Physical-Hierarchy Generation
US7603640B2 (en) * 2006-05-26 2009-10-13 Springsoft, Inc. Multilevel IC floorplanner
US7685540B1 (en) * 2007-05-03 2010-03-23 The Research Foundation Of The State University Of New York Standard block design: an effective approach for large scale floorplanning
CN101339571B (zh) * 2007-11-01 2011-04-06 复旦大学 一种vlsi布局规划中集中约束的实现方法
US8701058B2 (en) * 2009-06-18 2014-04-15 Semiconductor Insights Inc. Integrated circuit analysis systems and methods
JP5526883B2 (ja) * 2010-03-12 2014-06-18 富士通株式会社 設計支援プログラム、設計支援装置、および設計支援方法
TWI410819B (zh) * 2010-05-10 2013-10-01 Univ Nat Chiao Tung 考量元件與佈線對稱之類比佈局方法
US8566360B2 (en) * 2010-05-28 2013-10-22 Drexel University System and method for automatically generating systematic reviews of a scientific field
US8810437B2 (en) * 2011-02-02 2014-08-19 Mapquest, Inc. Systems and methods for generating electronic map displays with points-of-interest information based on reference locations
US8713501B1 (en) * 2012-12-07 2014-04-29 Synopsys, Inc. Dual-box location aware and dual-bitmap voltage domain aware on-chip variation techniques

Also Published As

Publication number Publication date
TWI623844B (zh) 2018-05-11
US20150012901A1 (en) 2015-01-08
US8966428B2 (en) 2015-02-24

Similar Documents

Publication Publication Date Title
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
US9230052B2 (en) Method of generating a simulation model of a predefined fabrication process
KR101442335B1 (ko) FinFET 설계를 위한 LVS 구현
US8881084B2 (en) FinFET boundary optimization
US6832360B2 (en) Pure fill via area extraction in a multi-wide object class design layout
US10521538B2 (en) Method and system for integrated circuit design with on-chip variation and spatial correlation
US6871332B2 (en) Structure and method for separating geometries in a design layout into multi-wide object classes
US20040064795A1 (en) Via enclosure rule check in a multi-wide object class design layout
US9424384B2 (en) Method of density-controlled floorplan design for integrated circuits and integrated circuits
US6895568B2 (en) Correction of spacing violations between pure fill via areas in a multi-wide object class design layout
KR20170073513A (ko) 회로 구성요소를 규정하는 표준 셀의 레이아웃을 수정하기 위한 컴퓨터 구현 시스템 및 방법
CN104063559A (zh) 大规模集成电路分布计算的布局合法化方法及其系统
US20210173999A1 (en) Electrical circuit design using cells with metal lines
TW201502827A (zh) 適用於混合模組之平面規劃方法
Tian et al. Triple patterning aware detailed placement with constrained pattern assignment
CN107153724B (zh) 基于迭代算法的芯片温度分析方法
CN113627120B (zh) 超导集成电路布局优化方法和装置、存储介质和终端
US9928331B2 (en) Method and control device for circuit layout migration
US8910092B1 (en) Model based simulation method with fast bias contour for lithography process check
TW201030546A (en) System and method of connecting a macro cell to a system power supply
US20140181772A1 (en) Determining high quality initial candidate sink locations for robust clock network design
US9293450B2 (en) Synthesis of complex cells
US9613176B2 (en) Buffer chain management for alleviating routing congestion
US9747403B2 (en) Power-and-ground (PG) network characterization and distributed PG network creation for hierarchical circuit designs
Liu et al. Reliability-aware design automation flow for analog circuits

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees