CN104063559A - 大规模集成电路分布计算的布局合法化方法及其系统 - Google Patents

大规模集成电路分布计算的布局合法化方法及其系统 Download PDF

Info

Publication number
CN104063559A
CN104063559A CN201410321730.1A CN201410321730A CN104063559A CN 104063559 A CN104063559 A CN 104063559A CN 201410321730 A CN201410321730 A CN 201410321730A CN 104063559 A CN104063559 A CN 104063559A
Authority
CN
China
Prior art keywords
layout
module
unit
design rule
subtask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410321730.1A
Other languages
English (en)
Inventor
陈刚
王似飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Original Assignee
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD filed Critical NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority to CN201410321730.1A priority Critical patent/CN104063559A/zh
Publication of CN104063559A publication Critical patent/CN104063559A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种大规模集成电路分布计算的布局合法化方法及其系统,首先按照单元模块的父亲(master)枚举出所有的master对,并分别作为一个Master对子任务,计算出不同旋转方向上且不产生违反设计规则区域的最小间距,将其存储到基于位存储的哈希查找表中;再次将整个布局区域划分为若干布局行,并分别作为一个布局子任务,计算每个布局子任务中相邻单元模块间的间距是否满足哈希查找表中存储的值,如果不满足对其进行旋转或者移动,消除所有因为布局的不合理产生违反设计规则的区域;本发明能够快速有效的处理超大规模的集成电路布局合法化问题,同时能够帮助互连线线宽在65nm及以下的工艺节点的布线器更好的布线。

Description

大规模集成电路分布计算的布局合法化方法及其系统
技术领域
本发明属于集成电路设计领域,尤其是属于互连线线宽在65nm及以下的集成电路制造工艺下的集成电路设计优化的技术范畴,具体涉及一种大规模集成电路分布计算的布局合法化方法及其系统。
背景技术
集成电路是由设计者借助于电子设计自动化(EDA) 工具设计出集成电路版图,交付集成电路制造商,通过电路掩膜制备(Mask)以及对晶片(Wafer)进行氧化、掺杂、光刻等一系列的制作工艺将电路掩膜转移到晶片上,从而实现其电路功能。对于数字电路设计来说,版图设计流程包括行为级综合,逻辑综合,物理设计和版图优化等步骤。其中,物理设计是最为耗时,对芯片性能影响最大的步骤,它又分为布图规划,布局,时钟树综合,布线等步骤。在物理设计过程中,布局决定单元的位置,决定整个芯片互联线网的线长,从而其在物理设计中起着举足轻重的作用。布局优化的目标很多,包括减少芯片的总线长、芯片面积、最大时延,以及减小芯片的拥挤度以利于布线等,而有预先布局好的模块和预先布线的线网的布局模式中,电路元素的布局还要躲开这些障碍,所以布局设计是一个十分重要的步骤。
在芯片制造中,目前主流的紫外线光刻线宽度是65nm/45nm,并正在朝着28nm/22nm 的节点发展。由于特征尺寸的减小,芯片上可容纳晶体管数量相应增加,电路设计的问题规模和复杂度也随之不断提高,这使得传统的布局工具必须具备处理更大规模复杂问题的能力。另一方面,由于布局的不合理,可能存在单元与单元之间或者单元与线网(不可动)之间违法设计规则的区域,而这些违反设计规则的区域很难在布线阶段消除掉,由于一般的布线器为了保持原有的布局结果不被改变,不会主动的修复一些不可动(preroute)线网或者引脚(pin)之间违反设计规则的区域。所以布局的过程中除了要优化一些布局目标,还必须满足设计规则的约束,比如金属线之间的间距要满足最小的线间距要求等。
因此,传统的布局工具在处理这些制造工艺的电路设计时,必须考虑单元模块的线间距要满足特定的约束,否则布线过程中是无法解决这些不可移动的单元之间的线网的间距约束。
发明内容
针对上述现有技术的不足,本发明的目的在于提供一种基于设计规则检查及修复的大规模集成电路分布计算的布局合法化方法,能够将不满足设计规则要求的单元模块进行合理的移动及翻转,使整个布局区域上的所有单元模块的引脚间距都满足设计要求。
本发明的另一个目的在于提供了一种基于设计规则检查及修复的大规模集成电路分布计算的布局合法化系统。
为解决上述技术问题,本发明采用如下技术方案:
一种大规模集成电路分布计算的布局合法化方法,该方法提出了一种分布式计算的框架,首先枚举出所有可能相邻摆放的单元模块的组合方式,并将每组单元模块的组合方式作为一个Master对子任务,放入任务池中;根据集成电路的特点,很多单元模块是从同一个父亲(master)实例化出来的,由于这些单元模块的内部结构是一样,只是外部连接不一样,所以本发明中只需枚举所有可以相邻摆放的master对,这样可以大大减少计算量,并减少物理存储的开销和加速合法化的速度;其次获取任务池中的Master对子任务,计算出不同旋转方向上且不产生违反设计规则区域的最小间距,将其存储到基于位存储的哈希查找表中;再次将整个布局区域划分为若干布局行,每个布局行作为一个布局子任务,放入任务池中;最后计算每个布局子任务中相邻单元模块间的间距是否满足哈希查找表中存储的值,如果不满足对其进行旋转或者移动,消除所有因为布局的不合理产生违反设计规则的区域。
一种大规模集成电路分布计算的布局合法化系统,包括以下模块:输入输出模块、多线程并行模块、单元模块间最小间距计算模块、布局设计规则检查模块和布局设计规则修复模块。
所述输入输出模块包括文件读取模块和文件写出模块;所述输入输出模块基于OpenAcess数据平台,使用内置的lef2oa和def2oa命令将输入的LEF/DEF文件转换为OpenAcess内部数据结构,转换为OpenAcess内部数据结构之后,对于标准单元、单元实例、布线层及布线资源等静态数据,直接使用OpenAcess的数据接口;对于包括单元模块位置、互连线和通孔等需要修改更新的动态数据,进一步存放于所述的构造好的OpenAcess内部数据结构中,以便进行后续的操作;布局合法化结束使用内置的oa2def命令将修改了的OpenAcess数据库反标到DEF文件中;所述LEF/DEF是工业界标准的版图信息和工艺信息描述格式。
所述多线程并行模块和所述单元模块间最小间距计算模块中采用一种并行单元模块间最小间距的计算方法,根据LEF工艺文件给定的单元模块的种类(master的种类),任意组合的方式计算出所有组合的数目,分配到不同的线程上,配对的两个master作为一个基本数据处理单元,计算过程中枚举单元的各种旋转方式,每种旋转方式对应pin的位置不同,计算两单元模块之间是否存在违反设计规则的区域,如果存在,则逐步增加两个单元之间的间距,直到两个单元间不再存在违反设计规则的区域,记录下相应的距离,此距离即为对应两个单元对应的旋转方向上的最小间距。
所述单元模块间最小距离生成模块中由于任意组合的master对子任务的数目很多,所以要考虑存存储空间不能消耗太大,即物理内存,又浪费后续对查找表的查找时间;故本发明的单元模块最小间距的存储结构采用位存储的哈希查找表,这样既节省物理空间,又减少查表的查找时间。由于为了减少算法的复杂度,会将所有可能的违反设计规则的模块对都存储到哈希查找表中,这样利用位组合的方式可以大大减少物理空间的消耗,且加速表的查找速度。
所述布局设计规则检查模块中采用一种并行的布局设计规则检测方法,将整个布局区域按照布局的单元行(ROW)划分,简称布局行,将所有布局行平分到不同的线程处理模块上,针对每一布局行,从左到右,分别获取两个相邻单元的位置间距,并将这一间距与上一步创建的最小间距查找表中对应的最小间距对比,如果其小于最小间距,则存在违反设计规则的区域,存储到对应布局行的临时数组中。这样每一个工作线程所访问的数据量都是均衡的,工作负载相对平衡,能够最大效率的利用并行处理器的工作能力。
所述布局设计规则修复模块中采用一种并行的布局设计规则修复方法,与上一步划分方法类似,每一个线程处理一个布局行,如果当前行存在违反设计规则的布局区域,则要逐一处理,通过翻转或者移动单元模块消除违反设计规则的区域,修复的过程是一个递归迭代的过程。
本发明具有如下有益效果:
本发明提出了一种大规模集成电路分布计算的布局合法化方法及其系统,在上述通道布局框架中,提出了单元模块之间最小间距的计算、检查及修复违反设计规则的方法。本发明能够快速有效的处理超大规模的集成电路布局合法化问题,同时能够帮助互连线线宽在65nm及以下的工艺节点的布线器更好的布线。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,并可依照说明书的内容予以实施,以下以本发明的较佳实施例并配合附图对本专利进行详细说明。
附图说明
图1为布局合法化问题示意图;
图2为多线程框架示意图;
图3为本发明的输入输出框架示意图;
图4为本发明的模块框架示意图;
图5为单元实例化示意图;
图6为本发明的单元间最小间距计算示意图;
图7为本发明的单元间最小间距存储示意图;
图8为本发明的单元间检测及修复示意图;
图9为本发明的程序流程图。
具体实施方式
下面结合附图对本发明的优选实施例进行详细介绍。
本实施例设计了一种大规模集成电路分布计算的布局合法化方法,首先创建一个基于位存储的哈希查找表,用于存储各种旋转方向上两个单元模块之间所需的最小间距,其次将整个布局区域按照布局行划分成独立的子问题,然后对每一行分别检测相邻两个单元模块之间是否满足距离约束,对于不满足距离约束的单元对要做相应的移动或者翻转,使其之间的距离满足距离约束。该问题就是将整个芯片上的单元模块摆放到布局行内指定的site上,除了保证单元之间不能重叠之外,还要保证相邻两单元之间要满足一定的距离约束,参见图1所示,芯片上包含7行可布局的区域,即布局行,每个布局行是由一系列规格相等的Site组成,其单元模块只能摆放在site上,单元模块的起始位置必须与site对齐,但单元模块的右边界可能不完全与site的右边界对齐,如果一个单元模块的长度超过一个site的大小,则顺序的占用相邻的下一个site,如图1中的Cell3。该方法基于分布式计算框架,对于待处理的布局行内的子问题,通过多线程并行处理,参见图2所示,每一个布局行会分别分配到不同的线程中处理,这样可以加快布局合法化的速度。
首先,参见图3所示,结合图3对本发明得到输入输出进行说明。输入文件包含描述版图信息的DEF文件和描述工艺信息的LEF文件,输出只有包含布局结果的版图信息DEF文件。LEF/DEF是工业界标准的版图信息和工艺信息描述格式。通过调用OpenAcess(OA)数据平台提供的接口,进行数据/程序的交互以及输入输出的转换。
然后,参见图4所示,结合图4对本发明进行详细阐述。
(1)输入输出模块1
基于OA数据平台,使用内置的OA命令将输入的LEF/DEF文件转换为OA内部的数据结构。转换为OA的数据结构之后,即可以通过OA内置的借口函数直接存取单元模块的旋转方向和内部引脚的位置等属性信息,这样可以进行后续的模块的操作,该发明直接使用OA的数据接口,输入输出又可以细分为输入和输出两部分。
a.输入使用内置的lef2oa和def2oa命令将输入的LEF/DEF文件转换为OpenAcess内部数据结构,转换为OpenAcess内部数据结构之后,即可以通过一些接口函数获取工艺文件中的所有设计规则及单元模块的位置等属性信息;
b.输出使用内置的def2oa命令将OpenAccess数据库中更改过的单元模块的位置信息从新导出到DEF文件中,方便后续布线器等优化处理;
(2)多线程并行模块2
本发明根据数据处理的模块不同,多线程处理的方式也不相同。
a.单元模块间最小间距求解模块,先计算出所有单元模块的组合方式,其并不是计算所有单元模块的所有组合方式,而是计算所有单元模块的父亲(master)的组合方式,这样可以减少一些重复冗余数据的计算,也能减少模块间最小间距查找表的大小,参见图5所示,LEF文件中定义了三个单元模块类型,而DEF文件中通过这三个master实例化出8个单元,从一个master实力化处理出来的不同单元的内部结构是完全一样的,从而本发明中求解查找表示根据LEF文件中的master计算所有组合的方式,即共三种组合方式,每一种组合即为一个子任务,然后将其分配到不同的线程中分别处理。
b.设计规则检查和修复模块,将布图区域划分成若干布局行,每一布局行分别交给不同的线程处理,如图2 所示。每一个布局行即为一个子任务,分配到不同的线程中处理。这样每一个工作线程所访问的数据量都是均衡的,工作负载相对平衡,能够最大效率的利用并行处理器的工作能力。
(3)单元模块间最小间距求解模块3
本发明将对LEF文件中定义的master的每种组合作为一个子任务分配给一个线程处理,由于master的数目很多,再两两组合,子任务的数目很多,所以要考虑存存储空间不能消耗太大,否则即浪费物理内存,又浪费后续对查找表的查找时间,本发明中采用基于位存储方式的哈希查找表对最小间距大于0的单元对进行存储,这样可以大大加速算法的运算速度,下面分别对计算方式及存储结构进行阐述。
a.单元模块间的最小距离的计算,首先从任务池中取出一对单元模块,根据LEF文件中对芯片的工艺要求,给每个单元模块的Pin创建pin access(通孔),用于高层的走线连接,因为很多Pin很难从pin所在的金属层连接出去。由于pin access的大小可能超出pin fig的大小,所以除了检测pin fig与pin fig之间的距离是否满足设计约束,还要检测pin fig与pin access及pin access 与pin access之间的距离是否满足距离约束,如不满足距离约束,将两个单元模块的间距增加一个Site的大小,进一步检测,直至其完全满足距离约束停止,如果满足设计规则时的距离大于0,要将其存储到查找表中,参见图6所示。
b.单元模块间的最小距离的存储,本发明单元模块最小间距的存储结构采用基于位存储的哈希查找表,这样既节省物理空间,又加速查表的时间,哈希查找表的每一个键值对用96位宽存储,其中Key值用64位的长整形进行存储,其用6位存储两个master的旋转方向,两位存储是否是Pin access,其余位用于存储两个master的id,哈希查找表的Value值用32位的整形存储,其中两位用于存储这个距离是否与pin access相关,参见图7所示。
(4)布局设计规则检查模块4
此模块中每一个布局行作为一个线程的子任务,将单元行内单元按坐标排序,两个相邻的单元模块为一组,从左到右逐个的检测其是否满足设计规则要求,参见图8所示,一个布局行有四个单元模块,通过查找哈希查找表,分别检测cell1与cell2,cell2与cell3及cell3与cell4之间的距离是否大于等于最小距离,如果两个之间不满足,则标记为违反设计规则的区域(marker),如cell1与cell2之间有个marker。
(5)布局设计规则修复模块5
此模块中也将每一个布局行作为一个线程的子任务,根据上一步检测出来的marker进行修复,参见图8所示,布局行内的cell1与cell2之间的距离不满足设计规则约束,从而需要移动或者翻转单元模块进行修复,首先沿Y轴翻转cell2,这样Cell2与cell3之间的距离也太近了,也会产生违反设计规则的区域,这种解决方式不可行,同理翻转Cell1仍然会存在违反设计规则区域,从而进一步通过移动单元模块来扩大Cell1与Cell2之间的距离,由于Cell2与Cell3接触,要想移动Cell2,首先要将Cell3移开,每次移动都要检测移动的单元是否与其余单元产生违法设计规则区域,如果存在,要进一步修复新产生的违反设计规则的区域,如果不存在,则此次修复成功。从而图8中Cell2往右移动一个Site的大小,与Cell3之间违反设计规则,继续移动Cell3,Cell3与Cell4之间又会违反设计规则,最后再移动Cell4,Cell4与别的单元不再产生新的违反设计规则的区域,从而修复成功,最后将整个布局行内所有的marker都消除掉,其最后的修复结果参见图8所示。
进一步的,参见图9所示,本实例结合图9对其进行详细阐述:
采用45nm 工艺的一个测试用例,其为总体布线后LEF/DEF版图输出作为实例,采用布线之后的结果可以很明显的看出那些由于布局不合理造成的preroute marker,用本发明的方法从新对其进一步布局合法化,版图文件test.def中包含十万个实例化单元,工艺文件中包含470个master:
步骤1)版图文件和工艺信息文件的读入
待处理的版图文件为test.def,工艺信息和标准单元库文件为tech45.lef,基于OpenAccess 数据平台,使用命令lef2oa和def2oa将DEF/LEF文件信息转化为OA的数据结构,生成了/test/test/layout的版图视图和/tech45的单元库。通过调用OA提供的数据接口函数可以从这些目录中读取所需要的版图数据和工艺信息。之后将这些数据和信息转换存储本发明自定义的数据结构中;
步骤2)生成计算单元模块间最小间距的master对子任务;
计算出所有master间的组合方式,每种组合方式作为一个子任务,放入任务池中,用于下一步计算两个master之间的最小间距;
步骤3)生成单元模块间最小间距哈希(Hash)查找表;
如果不存在新任务,则进入步骤4,否则根据新生成的子任务,计算这两个master之间的各个旋转方向上对应的最小间距,其计算方式即为从距离0开始逐步增加其间距检测其是否存在违反设计规则的区域,直至距离增加到不存在违反设计规则区域停止。如果最后计算出的距离大于0,则按照上面提到的存储方式,将对应master的id及旋转方向和最小间距存储到hash表中,每个任务计算完成,则从任务池中获取一个新的子任务,循环计算;
步骤4)生成单元模块间距离检测及修复的布局行子任务;
根据布局行(Row)划分布局区域,每一个布局行作为一个子任务,压入任务池中,用于布局区域上所有单元模块之间间距的检测及修复;
步骤5)单元模块间距离检测及修复;
根据上一步生成的子任务,读取每一个布局行,并将其单元模块按照坐标从小到大的顺序排列,然后分别计算两坐标相邻单元模块的间距并检测其是否满足工艺文件的规范要求,如果都满足,则从任务池中获取下一子任务,如果不满足,则根据查找表中的计算出的合法距离对这两个单元或者其相邻单元进行旋转或者移动修复这一布局行所有违反设计规则的区域;
步骤6)布局合法化的结果输出;
将合法化的布局结果首先存储到OA数据库中,然后利用OA的内部命令oa2def将单元模块的位置反标到版图文件DEF中。
以上对本发明实施例进行了详细介绍,对于本领域的一般技术人员,依据本发明实施例的思想,在具体实施方式及应用范围上均会有改变之处,综上所述,本说明书内容不应理解为对本发明的限制,凡依本发明设计思想所做的任何改变都在本发明的保护范围之内。

Claims (2)

1.大规模集成电路分布计算的布局合法化方法,其特征在于:首先枚举出所有可能相邻摆放的单元模块的组合方式,并将每组单元模块的组合方式作为一个Master对子任务,放入任务池中;其次获取任务池中的Master对子任务,计算出不同旋转方向上且不产生违反设计规则区域的最小间距,将其存储到基于位存储的哈希查找表中;再次将整个布局区域划分为若干布局行,每个布局行作为一个布局子任务,放入任务池中;最后计算每个布局子任务中相邻单元模块间的间距是否满足哈希查找表中存储的值,如果不满足对其进行旋转或者移动,消除所有因为布局的不合理产生违反设计规则的区域;该方法具体包括以下步骤:
步骤1)版图文件和工艺信息文件的读入;
步骤2)生成计算单元模块间最小间距的master对子任务;
步骤3)生成单元模块间最小间距哈希查找表;
步骤4)生成单元模块间距离检测及修复的布局子任务;
步骤5)单元模块间距离检测及修复;
步骤6)布局合法化的结果输出。
2.用于实现上述权利要求1所述的大规模集成电路分布计算的布局合法化方法的系统,其特征在于,包括以下模块:输入输出模块(1)、多线程并行模块(2)、单元模块间最小间距求解模块(3)、布局设计规则检查模块(4)和布局设计规则修复模块(5);
所述输入输出模块(1)包括文件读取模块和文件写出模块;所述输入输出模块(1)基于OpenAcess数据平台,使用内置的lef2oa和def2oa命令将输入的LEF/DEF 文件转换为OpenAcess 内部数据结构,转换为OpenAcess内部数据结构之后,对于包括标准单元、单元实例、布线层及布线资源的静态数据,直接使用OpenAcess的数据接口;对于包括单元模块位置、互连线和通孔的需要修改更新的动态数据,则存放于所述的构造好的OpenAcess内部数据结构中,以便进行后续的操作;布局合法化结束使用内置的oa2def命令将修改了的OpenAcess数据库反标到DEF文件中;所述LEF/DEF文件是工业界标准的版图信息和工艺信息描述格式;
在所述多线程并行模块(2)中按照不同的模块,划分子任务的方式不同;所述单元模块间最小间距求解模块(3)中先计算出所有可能相邻摆放的单元模块的master的组合方式,每种组合作为一个子任务;所述布局设计规则检查模块(4)和所述布局设计规则修复模块(5)将布局区域划分成若干布局行,每一布局行作为一个布局子任务分别交给不同的线程处理;
在所述单元模块间最小间距求解模块(3)中采用位存储方式的哈希查找表对最小间距大于0的单元模块对进行存储,其具体计算方式及存储结构分别如下;
a.单元模块间的最小距离的计算;首先从任务池中取出一对单元模块,根据LEF文件中对芯片的工艺要求,给每个单元模块的Pin创建pin access,即合法的通孔,用于相邻层的走线连接;检测pin fig与pin fig之间的距离是否满足设计约束,且,检测pin fig与pin access及pin access与pin access之间的距离是否满足距离约束,如不满足距离约束,将两个单元模块的间距增加一个Site的大小,进一步检测,直至其完全满足距离约束停止,如果满足设计规则时的距离大于0,要将其存储到哈希查找表中;
b.单元模块间的最小距离的存储;单元模块最小间距的存储结构是基于位存储的哈希查找表,哈希查找表的键值对用96位比特存储,哈希查找表的Key值用64位长的长整形进行存储,其中六位用于存储两个master的旋转方向值,两位用于存储是否为Pin access,其余位用于存储两个master的id,哈希查找表的Value值用32位的整形存储,其中两位用于存储这个距离是否与pin access相关;
在所述布局设计规则检查模块(4)中每一个所述布局行作为一个线程的布局子任务,将每个布局行所有单元模块的位置按照其坐标由小到大的顺序进行排序,将两个相邻单元模块为一组,从左到右的顺序逐步计算这两个单元模块之间的间距,并将这个距离与哈希查找表中存储的两单元模块对应旋转方向上的最小距离进行对比,如果其大于哈希查找表中存储的值,则将其标记为违反设计规则的区域,供下一步所述布局设计规则修复模块(5)进行处理;
在所述布局设计规则修复模块(5)中根据所述布局设计规则检查模块(4)检测出违反设计规则的区域,通过对检测出的这两个相邻单元模块进行旋转或者移动进行修复,消除掉所有违反设计规则的区域,修复的过程是个循环迭代的过程,当消除掉一个违反设计规则的区域,又在一个新的位置产生一个新的违反设计规则的区域,进一步修复新产生的违反设计规则的区域,直至所有的违反设计规则的区域都消除掉。
CN201410321730.1A 2014-07-08 2014-07-08 大规模集成电路分布计算的布局合法化方法及其系统 Pending CN104063559A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410321730.1A CN104063559A (zh) 2014-07-08 2014-07-08 大规模集成电路分布计算的布局合法化方法及其系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410321730.1A CN104063559A (zh) 2014-07-08 2014-07-08 大规模集成电路分布计算的布局合法化方法及其系统

Publications (1)

Publication Number Publication Date
CN104063559A true CN104063559A (zh) 2014-09-24

Family

ID=51551271

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410321730.1A Pending CN104063559A (zh) 2014-07-08 2014-07-08 大规模集成电路分布计算的布局合法化方法及其系统

Country Status (1)

Country Link
CN (1) CN104063559A (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106528919A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种基于集合划分的并行布线方法
CN106649897A (zh) * 2015-10-28 2017-05-10 北京华大九天软件有限公司 一种甚大规模集成电路版图层次比较工具的子单元阵列拼接预处理方法
CN106971042A (zh) * 2017-03-31 2017-07-21 福州大学 一种用于混合高度标准单元电路设计的合法化方法
CN107832571A (zh) * 2017-12-21 2018-03-23 北京华大九天软件有限公司 集成电路标准单元增量布局中减少单元移动量的方法
CN107958112A (zh) * 2017-11-23 2018-04-24 上海华力微电子有限公司 一种模拟内部版图图形的边缘冗余图形生成方法
CN108804793A (zh) * 2018-05-30 2018-11-13 福州大学 最小化平均和最大移动的混合高度单元合法化方法
CN110390122A (zh) * 2018-04-19 2019-10-29 三星电子株式会社 包括标准单元的集成电路以及设计和制造其的方法和系统
CN110489814A (zh) * 2019-07-26 2019-11-22 西安理工大学 一种通过在代码中提取数据流辅助芯片布局规划的方法
CN111027275A (zh) * 2019-12-19 2020-04-17 北京华大九天软件有限公司 一种满足最小凹槽约束的引脚连接预处理方法
CN112214964A (zh) * 2020-12-10 2021-01-12 南京集成电路设计服务产业创新中心有限公司 一种动态改变布局方法、电子设备及计算机可读存储介质
CN117057303A (zh) * 2023-10-07 2023-11-14 全芯智造技术有限公司 版图图形生成方法、设备和介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637217A (zh) * 2012-02-20 2012-08-15 苏州领佰思自动化科技有限公司 基于云计算平台的大规模集成电路布线的方法及其系统

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637217A (zh) * 2012-02-20 2012-08-15 苏州领佰思自动化科技有限公司 基于云计算平台的大规模集成电路布线的方法及其系统

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106649897A (zh) * 2015-10-28 2017-05-10 北京华大九天软件有限公司 一种甚大规模集成电路版图层次比较工具的子单元阵列拼接预处理方法
CN106649897B (zh) * 2015-10-28 2019-11-15 北京华大九天软件有限公司 一种子单元阵列拼接预处理方法
CN106528919A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种基于集合划分的并行布线方法
CN106971042B (zh) * 2017-03-31 2019-10-15 福州大学 一种用于混合高度标准单元电路设计的合法化方法
CN106971042A (zh) * 2017-03-31 2017-07-21 福州大学 一种用于混合高度标准单元电路设计的合法化方法
CN107958112A (zh) * 2017-11-23 2018-04-24 上海华力微电子有限公司 一种模拟内部版图图形的边缘冗余图形生成方法
CN107958112B (zh) * 2017-11-23 2021-05-21 上海华力微电子有限公司 一种模拟内部版图图形的边缘冗余图形生成方法
CN107832571A (zh) * 2017-12-21 2018-03-23 北京华大九天软件有限公司 集成电路标准单元增量布局中减少单元移动量的方法
CN110390122A (zh) * 2018-04-19 2019-10-29 三星电子株式会社 包括标准单元的集成电路以及设计和制造其的方法和系统
CN108804793A (zh) * 2018-05-30 2018-11-13 福州大学 最小化平均和最大移动的混合高度单元合法化方法
CN108804793B (zh) * 2018-05-30 2021-11-26 福州大学 最小化平均和最大移动的混合高度单元合法化方法
CN110489814A (zh) * 2019-07-26 2019-11-22 西安理工大学 一种通过在代码中提取数据流辅助芯片布局规划的方法
CN110489814B (zh) * 2019-07-26 2022-09-27 西安理工大学 一种通过在代码中提取数据流辅助芯片布局规划的方法
CN111027275A (zh) * 2019-12-19 2020-04-17 北京华大九天软件有限公司 一种满足最小凹槽约束的引脚连接预处理方法
CN112214964A (zh) * 2020-12-10 2021-01-12 南京集成电路设计服务产业创新中心有限公司 一种动态改变布局方法、电子设备及计算机可读存储介质
CN117057303A (zh) * 2023-10-07 2023-11-14 全芯智造技术有限公司 版图图形生成方法、设备和介质
CN117057303B (zh) * 2023-10-07 2024-01-26 全芯智造技术有限公司 版图图形生成方法、设备和介质

Similar Documents

Publication Publication Date Title
CN104063559A (zh) 大规模集成电路分布计算的布局合法化方法及其系统
JP4474404B2 (ja) パッキングベースのマクロ配置方法とそれを用いた半導体チップ
US8984465B1 (en) Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
CN102622468B (zh) 基于并行计算的大规模集成电路通道布线系统
US6532572B1 (en) Method for estimating porosity of hardmacs
US9817941B2 (en) Methods, systems, and articles of manufacture for implementing high current carrying interconnects in electronic designs
US11176306B2 (en) Methods and systems to perform automated Integrated Fan-Out wafer level package routing
JPH05108744A (ja) 階層的回路データベース最適化装置及び階層的回路データベース最適化方法
US10915685B1 (en) Circuit stage credit based approaches to static timing analysis of integrated circuits
US20120054707A1 (en) Cone-aware spare cell placement using hypergraph connectivity analysis
US11994979B2 (en) Smart regression test selection for software development
US11055463B1 (en) Systems and methods for gate array with partial common inputs
US20150248514A1 (en) Automatic layout modification tool with non-uniform grids
US9454634B1 (en) Methods, systems, and computer program product for an integrated circuit package design estimator
US10073942B1 (en) Methods, systems, and computer program product for implementing synchronous clones for an electronic design
CN102637217B (zh) 基于云计算平台的大规模集成电路布线系统
US9734272B2 (en) Techniques for generating physical layouts of in silico multi mode integrated circuits
US8281269B2 (en) Method of semiconductor integrated circuit device and program
CN104063558A (zh) 基于线性规划的大规模集成电路通道布线方法
US9047434B2 (en) Clustering for processing of circuit design data
US11694016B2 (en) Fast topology bus router for interconnect planning
CN112861466B (zh) 一种布线轨道分配方法、电子设备及计算机可读存储介质
CN105892223B (zh) 一种优化opc验证的方法
CN113672615A (zh) 一种基于树型表间关系自动生成sql的数据分析方法与系统
US10289784B1 (en) Determination of clock path delays and implementation of a circuit design

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140924

WD01 Invention patent application deemed withdrawn after publication