TW201403707A - 蝕刻殘留物的移除 - Google Patents

蝕刻殘留物的移除 Download PDF

Info

Publication number
TW201403707A
TW201403707A TW102115437A TW102115437A TW201403707A TW 201403707 A TW201403707 A TW 201403707A TW 102115437 A TW102115437 A TW 102115437A TW 102115437 A TW102115437 A TW 102115437A TW 201403707 A TW201403707 A TW 201403707A
Authority
TW
Taiwan
Prior art keywords
plasma
substrate processing
region
hydrogen
processing region
Prior art date
Application number
TW102115437A
Other languages
English (en)
Other versions
TWI598953B (zh
Inventor
He Ren
Nitin K Ingle
An-Chuan Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201403707A publication Critical patent/TW201403707A/zh
Application granted granted Critical
Publication of TWI598953B publication Critical patent/TWI598953B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

茲描述自經圖案化介電層的垂直壁移除殘留聚合物的方法。所述方法涉及使用氣相蝕刻來移除殘留聚合物而不會實質上干擾經圖案化介電層。可將氣相蝕刻用於經圖案化低-K介電層並可維持經圖案化介電層的低介電常數。氣相蝕刻可進一步藉由避免使用液體蝕刻劑(液體蝕刻劑的表面張力可能擾動精巧的低-K特徵結構),來避免對經圖案化低-K介電層施加應力。氣相蝕刻可進一步避免形成同樣會使精巧特徵結構變形的固體蝕刻副產物。

Description

蝕刻殘留物的移除 【交互參照之相關申請案】
此申請案主張美國臨時專利申請案第61/646,607號之權益,該臨時申請案是在2012年5月14日提出,發明名稱為「ETCH REMNANT REMOVAL」,該申請案為所有目的在此以參考形式併入。
本發明關於蝕刻殘留物的移除。
積體電路製造方法已達到將數億個電晶體例行性地形成於單一晶片上的程度。各新世代的製造技術及設備能以商業規模製造比以往更小且更快的電晶體。然而,各新世代也增加了製造新的電路元件的困難程度。電路元件的收縮尺寸(shrinking dimension),現已遠低於50nm閾值,已導致晶片設計者去尋找新的低電阻導電材料及新的低-介電常數(即,低-K)絕緣材料,以增進(或更簡單地維持)積體電路的電氣效能。
隨著電晶體的密度增加,寄生電容成為對電晶體切換速 率的顯著阻礙。電容存在於積體電路內之所有相鄰的電氣隔離導體之間,且無論導電部分是在製程流的「前端(front end)」或「後端(back end)」,電容皆可能限制切換速率。為了限制寄生電容,插入在相鄰的電氣隔離導體之間的介電材料可被製成具有低介電常數的介電材料。低-K介電材料的結構恢復力小於替代的介電質(如氧化矽)。
通常必須使用光微影術及蝕刻製程來圖案化這些低-K介電材料。一般而言,為了促使蝕刻往下進行而非等向地進行,蝕刻製程會於蝕刻期間將聚合物(CxFy)沉積於溝槽的側壁上。目前移除殘留聚合物的方法涉及液體蝕刻劑或乾式氧蝕刻。由於液體蝕刻劑會降低結構完整性,因此會損害窄的低-K介電質線路。另一方面,氧基乾式蝕刻可增加低-K材料的介電常數達可測量的程度。
有需要可自經圖案化低-K介電層移除殘留聚合物而不會使複雜的低-K特徵結構坍塌或損壞也不會實質上增加有效介電常數的方法。
茲描述自經圖案化介電層的垂直壁移除殘留聚合物的方法。所述方法涉及使用氣相蝕刻來移除殘留聚合物而不會實質上干擾經圖案化介電層。可將氣相蝕刻用於經圖案化低-K介電層並可維持經圖案化介電層的低介電常數。氣相蝕刻可進一步藉由避免使用液體蝕刻劑(液體蝕刻劑的表面張力可能擾動精巧的低-K特徵結 構),來避免對經圖案化低-K介電層施加應力。氣相蝕刻可進一步避免形成同樣會使精巧特徵結構變形的固體蝕刻副產物。
本發明的實施例包括自基板處理腔室的基板處理區域中之經圖案化基板移除聚合物殘留物的方法。該方法包括下列步驟:將含氫前驅物流入遠端電漿區域,同時在遠端電漿區域中形成遠端電漿以產生電漿流出物,遠端電漿區域流通地耦接基板處理區域。該方法進一步包括下列步驟:藉由將電漿流出物流入基板處理區域,來移除聚合物殘留物。
本發明的實施例也包括自基板處理腔室的基板處理區域中之經圖案化基板移除聚合物殘留物的方法。該方法包含下列步驟:將含氟前驅物流入遠端電漿區域,同時在遠端電漿區域中形成遠端電漿以產生電漿流出物,遠端電漿區域流通地耦接基板處理區域。該方法進一步包括下列步驟:將含氫前驅物流入基板處理腔室。含氫前驅物直接流入基板處理區域而不先通過遠端電漿區域。該方法進一步包括下列步驟:藉由在基板處理區域中結合電漿流出物與含氫前驅物,來移除聚合物殘留物。
部分額外實施例與特徵在隨後的說明書中提出,而對於此技術領域中具有通常知識者而言在詳閱此說明書後可易於瞭解部分額外實施例與特徵,或者此技術領域中熟習技藝者可透過操作本文揭露的實施例而瞭解部分額外實施例與特徵。透過在說明書中描述的設備、結合物與方法,可實現與獲得本文揭露的實施例之特徵與優點。
105~130、155~180‧‧‧操作步驟
1001‧‧‧基板處理腔室
1010‧‧‧遠端電漿系統
1011‧‧‧氣體入口組件
1012‧‧‧第一通道
1013‧‧‧第二通道
1020‧‧‧腔室電漿區域
1021‧‧‧蓋體/導電的頂部分
1024‧‧‧絕緣環
1026‧‧‧最小直徑的長度
1050‧‧‧通孔的最小直徑
1051‧‧‧中空容積
1053‧‧‧噴淋頭
1055‧‧‧小孔
1056‧‧‧通孔
1070‧‧‧基板處理區域
1101‧‧‧處理系統
1102‧‧‧前開式晶圓盒
1104‧‧‧機器人手臂
1106‧‧‧低壓保持區
1108a~1108f‧‧‧處理腔室
1110‧‧‧第二機器人手臂
1155‧‧‧氣體操縱系統
1157‧‧‧系統控制器
透過參考說明書的其餘部份及圖式,可進一步瞭解本文揭露之實施例的本質與優點。
第1A至1B圖為根據本文揭露的實施例之蝕刻殘留物移除製程的流程圖。
第2圖顯示根據本發明的實施例之移除製程的不同條件下之介電常數及殘留物移除效率。
第3A圖為伴隨有蝕刻殘留物存在的低-K介電質線路之頂部SEM概要視圖。
第3B圖為以根據本發明的實施例之移除製程移除蝕刻殘留物之後的低-K介電質線路之頂部SEM概要視圖。
第4A圖顯示根據本發明的實施例之基板處理腔室。
第4B圖顯示根據本發明的實施例之基板處理腔室的噴淋頭。
第5圖顯示根據本發明的實施例之基板處理系統。
在附圖中,相似的部件及/或特徵結構可具有相同的元件符號。進而,同類的多個部件可透過在元件符號後加上一破折號以及第二符號(該符號區別類似部件)加以區別。倘若在說明書中僅用第一元件符號,該敘述內容可應用至具有相同第一元件符號(無論第二元件符號為何)的類似部件之任一者。
茲描述自經圖案化介電層的垂直壁移除殘留聚合物的方法。所述方法涉及使用氣相蝕刻來移除殘留聚合物而不會實質上干擾經圖案化介電層。可將氣相蝕刻用於經圖案化低-K介電層並可維持經圖案化介電層的低介電常數。氣相蝕刻可進一步藉由避免使用液體蝕刻劑(液體蝕刻劑的表面張力可能擾動精巧的低-K特徵結構),來避免對經圖案化低-K介電層施加應力。氣相蝕刻可進一步避免形成同樣會使精巧特徵結構變形的固體蝕刻副產物。
許多介電質蝕刻製程在溝槽的側壁上使用並行的聚合物沉積物,以確保形成大體上垂直的側壁。在低-K介電層中形成溝槽比在高-K介電質中形成溝槽更趨複雜。低-K介電層更為精巧且可能更容易產生變形。可能要更大程度地依賴聚合物沉積物,以在垂直方向上約束低-K介電質蝕刻製程。為了維持期望的低介電常數,應該在完成蝕刻製程後有效地移除聚合物。必需在實質上不改變經圖案化低-K介電層的介電常數的情況下完成側壁聚合物的移除。
現今移除殘留聚合物的方法涉及液體蝕刻劑或乾式氧蝕刻。由於液體蝕刻劑會降低結構完整性,因此會損害窄的低-K介電質線路。液體的表面張力所造成的力量會導致損害。乾式氧基蝕刻可避開這個陷阱。然而,氧的存在會造成低-K介電質線路的部份氧化,且這樣的氧化會升高線路的介電常數(K)。在本發明的實施例中,本文的方法使用基本上沒有氧含量之替代氣相蝕刻製程。 在本文中,具有基本上缺氧的基板處理區域意指基本上沒有故意將具有氧含量的前驅物導入基板處理區域(例如,理想中不存在NO2、O2、CO2等)。
為了較佳地瞭解與認識本發明,現在請參考第1A及1B圖,第1A及1B圖為根據本文揭露的實施例之殘留物移除製程的流程圖。於基板上沉積並圖案化低-K介電層(操作105),以於低-K層中形成溝槽。圖案化製程在表面上(例如,在溝槽的內壁上)留下部分聚合物殘留物。本文的基板可指處於這個階段並用於製程的剩餘部分的經圖案化基板。於操作110中,將經圖案化基板轉移至處理腔室,並將經圖案化基板置於「後處理(post-processing)」區域內(為了簡化目的可簡稱為基板處理區域)。如更詳細地在範例設備段落中所討論的,除了基板處理區域之外,處理腔室還具有遠端電漿區域。可於遠端電漿區域中激發前驅物,並使被激發的電漿流出物通過噴淋頭進入基板處理區域,以自經圖案化基板移除材料。在此特定實例中,可使分子氫(H2)流入遠端電漿區域(操作115)而被激發,且使電漿流出物通入基板處理區域(操作120)以與經圖案化基板交互作用。已發現藉此產生的電漿流出物可移除聚合物殘留物,而不會有增加介電常數及/或縮減介電特徵結構之寬度等先前技術的副作用。已發現蝕刻製程(操作125)可選擇性地移除聚合材料(CxFy)同時節省低-K介電材料。接著可於操作130中自基板處理區域移去經圖案化基板。
已發現當含氟前驅物與分子氫(H2)一起流入遠端電漿區 域時,可進一步增進蝕刻製程的聚合物選擇率。在本發明的實施例中,可將含氟前驅物加入分子氫。含氟前驅物可為原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、氟化碳氫化合物、六氟化硫及二氟化氙中之至少一者。儘管在此實例中給定分子氫,但可使用其它氫來源來擴增或取代範例氫來源。一般而言,可使用含氫前驅物,且含氫前驅物可包含氫(H2)、甲烷(CH4)、乙烷(C2H6)或丙烷(C3H8)中之至少一者。在本發明的實施例中,遠端電漿區域可基本上缺氧(O2或其它類型的氧)。於實施例中,為了避免氧化及升高經圖案化低-K介電層的介電常數,基板處理區域也可基本上缺氧。
第1B圖描繪蝕刻殘留物移除製程的第二個範例。再次於基板上沉積並圖案化低-K介電層(操作155),以於低-K層中形成溝槽。至少在溝槽的內壁表面上留下聚合物殘留物。於操作160中,將經圖案化基板轉移至處理腔室並置於基板處理區域內。在這個例子中,將三氟化氮(NF3)流入遠端電漿區域(操作165)而被激發,且將電漿流出物通入基板處理區域並與直接流至基板處理區域的分子氫(H2)結合(操作170)。電漿流出物與分子氫(H2)的結合物可從經圖案化基板移除蝕刻殘留物(操作175)。已發現電漿流出物與分子氫(不直接於電漿中激發)的結合物可移除聚合物殘留物,而不會有增加介電常數及/或縮減介電特徵結構之寬度等先前技術的副作用。已發現蝕刻製程(操作175)可選擇性地移除聚合材料(CxFy)同時節省低-K介電材料。接著可於操作180中自基板處理 區域移去經圖案化基板。
在本文中,分隔的電漿區域可被視為遠端電漿區域,且可位在與處理腔室不同的模組,或位在處理腔室內的隔間。在一個實施例中,含氫前驅物被流入遠端電漿區域,且流出物被通入基板處理區域。在另一個實施例中,含氟前驅物及含氫前驅物二者皆被流入電漿區域,且電漿流出物被通入基板處理區域。最後,含氟前驅物可被通入遠端電漿區域,且電漿流出物被通入基板處理區域,以與未被激發的含氫前驅物結合。
可選擇含氫前驅物及含氟前驅物的流速,使得原子流比例低度相關於氫的流速,以引致將可簡略量化的高原子流比例H:F。可由各前驅物氣體的氣體流速以及每分子的各種原子的總數來計算原子流比例。在一種前驅物為H2而另一種前驅物為NF3的實施例中,每個氫分子包括兩個氫原子而每個三氟化氮分子包括三個氟原子。使用質量流控制器將上述氣流比例(H2:NF3)維持在例如,高於30:1,將可造成高於20:1的原子流比例(H:F)。原子流比例包括來自進入遠端電漿區域且更直接地進入基板處理區域的所有前驅物的貢獻。在本發明的實施例中,前驅物的原子流比例(H:F)可大於或等於約20:1、大於或等於約25:1,或大於或等於約30:1。在所揭露的實施例中,蝕刻選擇率(聚合物殘留物:低-K介電質)可大於或等於約30:1、大於或等於約50:1,或大於或等於約80:1。
含氟前驅物及/或含氫前驅物可進一步包括一或多種相對 惰性的氣體,如He、N2、Ar等。可使用惰性氣體來增進電漿穩定性,及/或來攜帶液態前驅物至遠端電漿區域。可使用不同氣體的流速及比例來控制蝕刻速率及蝕刻選擇率。在一實施例中,含氟氣體包括:流速介於約1sccm(每分鐘標準立方公分)與30sccm之間的NF3、流速介於約500sccm與5,000sccm之間的H2、流速介於約0sccm與3000sccm之間的He,及流速介於約0sccm與3000sccm之間的Ar。本案所屬技術領域中之習知技藝人士可體認到可依據數個因子來使用其它氣體及/或流,該等因子包括處理腔室配置、基板尺寸、待蝕刻特徵結構的表面形貌及布局等等。在所揭露的實施例中,含氟氣體的流速可小於或等於約30sccm、小於或等於約20sccm、小於或等於約15sccm,或小於或等於約10sccm。較低的含氟氣體流速通常將可增加聚合物殘留物選擇率。在所揭露的實施例中,含氫氣體的流速可大於或等於約300sccm、大於或等於約500sccm、大於或等於約1000sccm,或大於或等於約2000sccm。增加含氫前驅物的流速通常會增加聚合物殘留物選擇率。應保持高的原子流比例H:F,以減少或消除在氧化矽或低-K介電層上形成固體殘留物。固體殘留物的形成會消耗部分氧化矽系介電質,因而降低蝕刻製程的聚合物殘留物選擇率。
本發明之方法也包括下列步驟:在含氟前驅物及/或含氫前驅物處在遠端電漿區域中的同時施加能量至含氟前驅物及/或含氫前驅物,以產生電漿流出物。如本案所屬技術領域中的習知技藝者可認知的,電漿可包括若干帶電荷物種及中性物種,包括自由基 及離子。可使用已知的技術(例如,RF技術、電容耦合技術、感應耦合技術等)產生電漿。在一實施例中,可使用電容耦合式電漿單元在介於約10瓦與約15,000瓦之間的源功率及介於約0.2Torr與約20Torr之間的壓力下施加電漿功率。電容耦合式電漿單元可經設置而遠離處理腔室的氣體反應區域。舉例而言,可藉由離子抑制器(ion suppressor)將電容耦合式電漿單元及電漿產生區域與氣體反應區域隔離。
在本發明的實施例中,在將電漿流出物從遠端電漿區域轉移至基板處理區域期間,可用離子抑制器過濾來自電漿流出物的離子。離子抑制器的功能在於減少或消除從電漿產生區域行進至基板的帶離子電荷物種。不帶電荷的中性物種和自由基物種可通過離子抑制器中的開口而在基板處反應。應注意的是,完全消滅圍繞基板的反應區域中之帶離子電荷物種並不總是期望的目標。在許多實例中,需要離子物種抵達基板,以蝕刻聚合物殘留物。在這些實例中,離子抑制器有助於將反應區域中之離子物種的濃度控制在能協助製程的水平。於蝕刻經圖案化基板的期間,基板處理區域可能是無電漿的。伴隨著使用離子抑制器將電漿侷限在遠端電漿區域可增加聚合物殘留物蝕刻的選擇率。這些預防措施可減少經圖案化基板上之低-K介電質特徵結構的寬度縮減。
根據本發明的某些實施例,可將範例設備段落所描述的離子抑制器用來提供自由基及/或中性物種供選擇性地蝕刻基板所用。在一個實施例中,例如,可使用離子抑制器來提供含氟及含氫 電漿流出物,以從形成於低-K介電層中之溝槽的側壁選擇性地蝕刻聚合物殘留物。可使用離子抑制器來提供自由基濃度比離子濃度高的反應性氣體。當電漿的大部分帶電荷顆粒被離子抑制器過濾或移除時,就不必要在蝕刻製程期間偏壓基板。相較於包括濺射和轟擊的習用電漿蝕刻製程,如此使用自由基和其它中性物種的製程可減少電漿損害。本發明的實施例也比習用濕式蝕刻製程(其中液體的表面張力可導致小型特徵結構的彎折與剝離)更為有利。
可使用氧化矽、矽及氮化矽的毯覆晶圓(blanket wafer)來定量範例製程的蝕刻速率。可由三氟化氮、氫(H2)、氦及氬形成遠端電漿,且在個別製程中以電漿流出物蝕刻各該三種膜的毯覆晶圓。就約400Å/分鐘的蝕刻速率而言,蝕刻製程移除矽的速率比移除氧化矽的速率快約兩百倍,且比移除氮化矽的速率快約兩百倍。在個別實驗中,就約200Å/分鐘的蝕刻速率而言,蝕刻製程移除矽的速率比移除氧化矽的速率快約五百倍,且比移除氮化矽的速率快約五百倍。在所揭露的實施例中,氧化矽的蝕刻速率可大於或等於約100Å/分鐘、大於或等於約200Å/分鐘,或大於或等於約300Å/分鐘。選擇率、非局部電漿、受控制的離子濃度及缺少固態副產物等各性質皆使這些蝕刻製程相當適於精確地移除或修整矽結構、僅移除少量或不移除氧化矽且僅移除少量或不移除氮化矽。
在聚合物殘留物移除製程期間,基板的溫度大於0℃且小於或等於約300℃。在此基板溫度範圍的上限端,聚合物殘留物 蝕刻速率可能降低。在此基板溫度範圍的下限端,低-K介電質、氧化矽及氮化矽開始被蝕刻,且因此使選擇率降低。在所揭露的實施例中,本文所述之移除製程期間的基板溫度可大於或等於約30℃同時小於或等於約200℃,或大於或等於約40℃同時小於或等於約150℃。在所揭露的實施例中,基板溫度可小於100℃、小於或等於約80℃、小於或等於約65℃,或小於或等於約50℃。
於本文所揭露的實施例中,基板處理區域內的壓力可低於或等於約10Torr、低於或等於約5Torr、低於或等於約3Torr、低於或等於約2Torr、低於或等於約1Torr,或低於或等於約750mTorr。在本發明的實施例中,為了確保足夠的蝕刻速率,壓力可大於或等於約0.01Torr、大於或等於約0.05Torr、大於或等於約0.1Torr、大於或等於約0.2Torr,或大於或等於約0.4Torr。壓力的任何上限可與任何下限結合以形成額外的實施例。施加至遠端電漿區域的電漿功率可為各種頻率或多個頻率之組合。在不同的實施例中,RF功率可介於約10瓦與約15,000瓦之間、介於約200瓦與約10,000瓦之間,或介於約750瓦與約7500瓦之間。在不同的實施例中,於範例處理系統中所施加的RF頻率可為小於約500kHz的低RF頻率、介於約10MHz與約15MHz之間的高RF頻率,或大於或等於約1GHz的微波頻率。
第2圖為顯示根據本發明的實施例之移除製程的不同條件下之介電常數及殘留物移除效率的作圖。在蝕刻之前,低-K介電層的介電常數約為2.24。接著使用聚合物側壁保護性材料蝕刻 低-K介電層。在蝕刻製程之後,使用各種聚合物殘留物移除製程來移除殘留聚合物。先前技術的方法通常依賴臭氧。使臭氧流經遠端電漿區域可產生臭氧通入基板處理區域並與經圖案化低-K介電層交互作用。實驗顯示,使用分子氧(O2)作為前驅物會顯著增加經圖案化低-K介電質的介電常數至介於2.4與2.6之間。僅使分子氫(H2)流入遠端電漿區域可造成類似的聚合物殘留物移除效率,但可更理想的保持低介電常數。初始介電常數介於2.2與2.3之間,且在以分子氫(H2)為基礎的移除製程之後,介電常數仍維持在此範圍中。進一步包括使三氟化氮(NF3)流入遠端電漿區域,以保持有益的介電常數效應,同時增進移除效率約十倍。
第3A圖為伴隨有蝕刻殘留物存在的低-K介電質線路之頂部SEM概要視圖,且第3B圖為以如本文所述之移除製程移除蝕刻殘留物之後的類似概要圖。就移除製程而言,分子氫(H2)及三氟化氮(NF3)二者皆被流入遠端電漿區域,且電漿流出物被形成並轉移入基板處理區域。聚合物殘留物實質上被電漿流出物移除,且低-K介電特徵結構的線寬度減少並未達可測量的程度。
額外的製程參數在描述範例處理腔室與系統期間揭示。
範例處理系統
可實施本發明的實施例之處理腔室可被納入諸如可購自美國加州聖大克勞拉市的Applied Materials,Inc.的CENTURA®及PRODUCER®系統等的處理平台內。可與本發明之範例方法一併使用的基板處理腔室的範例可包括顯示並描述於共同讓渡之 Lubomirsky等人的美國臨時專利申請案第60/803,499號中的那些腔室,該案於2006年5月30日提出申請,且標題為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」,該案全文在此併入作為參考。額外的範例系統可包括顯示並描述於美國專利第6,387,207號與第6,830,624號中的系統,該等專利之全文亦在此併入作為參考。
第4A圖為根據本文揭露的實施例之基板處理腔室1001。遠端電漿系統1010可處理含氟前驅物,含氟前驅物接著行進穿過氣體入口組件1011。在氣體入口組件1011內可見兩個個別的氣體供應通道。第一通道1012裝載穿過遠端電漿系統1010(RPS)的氣體,而第二通道1013繞過遠端電漿系統1010。在實施例中,任一通道皆可供含氟前驅物所用。另一方面,第一通道1012可供製程氣體所用,且第二通道1013可供處理氣體(treatment gas)所用。圖所示之蓋體(或導電的頂部分)1021及穿孔的隔件1053之間有絕緣環1024,絕緣環使得AC電位得以相對於穿孔的隔件1053施加到蓋體1021。AC電位在腔室電漿區域1020中點燃電漿。製程氣體可行進穿過第一通道1012進入腔室電漿區域1020,且可單獨受到腔室電漿區域1020中(或者與遠端電漿系統1010結合)之電漿的激發。若製程氣體(含氟前驅物)流經第二通道1013,則隨後僅有腔室電漿區域1020用於激發。腔室電漿區域1020及/或遠端電漿系統1010的結合可指本文中的遠端電漿系統。穿孔的隔件(亦指噴淋頭)1053可將腔室電漿區域1020 與噴淋頭1053下方的基板處理區域1070分隔。噴淋頭1053使電漿得以存在於腔室電漿區域1020中,以避免直接於基板處理區域1070中激發氣體,同時依然使受激發物種得以從腔室電漿區域1020行進至基板處理區域1070。
噴淋頭1053位於腔室電漿區域1020與基板處理區域1070之間,並容許在遠端電漿系統1010及/或腔室電漿區域1020內產生的電漿流出物(前驅物或其它氣體的受激發衍生物)通過複數個通孔1056,通孔1056橫切板的厚度。噴淋頭1053也具有一或多個中空容積1051,蒸氣或氣體形式的前驅物(如含矽前驅物)可填充中空容積1051,並通過小孔1055進入基板處理區域1070但不直接進入腔室電漿區域1020。此揭露之實施例中的噴淋頭1053比通孔1056的最小直徑1050的長度還厚。為了維持從腔室電漿區域1020穿透至基板處理區域1070的受激發物種之顯著濃度,可藉由形成通孔1056之較大的直徑部分使該較大的直徑部分穿過噴淋頭1053達某一程度(part way),而限制通孔的最小直徑1050的長度1026。在本文揭露的實施例中,通孔1056的最小直徑1050之長度可與通孔1056的最小直徑相同數量級,或者為較小的數量級。
如第4A圖所示,噴淋頭1053可經配置以符合離子抑制器的目的。或者,可包括隔離處理腔室元件(未繪示)來抑制進入基板處理區域1070的離子濃度。蓋體1021及噴淋頭1053可分別具有第一電極與第二電極的功能,使得蓋體1021及噴淋頭1053可 接收不同的電壓。在這些配置中,可將電功率(如,RF功率)施加至蓋體1021、噴淋頭1053或二者。舉例而言,可將電功率施加至蓋體1021,同時使噴淋頭1053(作為離子抑制器)接地。基板處理系統可包括RF產生器,RF產生器將電功率供應至蓋體及/或噴淋頭1053。施加至蓋體1021的電壓可促進腔室電漿區域1020內之電漿的均勻分布(即,減少局部化的電漿)。為了能在腔室電漿區域1020中形成電漿,絕緣環1024可將蓋體1021與噴淋頭1053電性絕緣。絕緣環1024可由陶瓷製作,且可具有高崩潰電壓以避免產生火花。接近剛才所述之電容耦合式電漿部件的基板處理腔室1001的部分可進一步包括冷卻單元(未繪示),冷卻單元可包括一或多個冷卻流體通道,以用循環冷卻劑(如,水)冷卻暴露於電漿的表面。
在所顯示的實施例中,一旦製程氣體受到腔室電漿區域1020中的電漿激發,噴淋頭1053可(透過通孔1056)分配製程氣體,製程氣體可含有氟及/或氫,及/或分配此類製程氣體的電漿流出物。於實施例中,導入遠端電漿系統1010及/或腔室電漿區域1020的製程氣體可含有氟(例如,F2、NF3或XeF2)。製程氣體也可包括諸如氦、氬、氮(N2)等等的載氣。電漿流出物可包括製程氣體的離子化或中性的衍生物,且在此亦可指是自由基氟,該前驅物即為所導入的製程氣體之原子的組分。
通孔1056經配置以在容許不帶電的中性或自由基物種通過噴淋頭1053進入基板處理區域1070的同時,阻止帶離子電 荷物種遷移離開腔室電漿區域1020。這些不帶電的物種可包括高度反應性物種,高度反應性物種可與較不具反應性之載氣一起藉由通孔1056輸送。如上文所提及,可減少離子物種藉由通孔1056遷移,且在某些實例中,可完全阻止離子物種藉由通孔1056遷移。控制離子物種通過噴淋頭1053的數量可增進對與下方晶圓基板接觸之氣體混合物的控制,從而增進對氣體混合物之沉積及/或蝕刻特性的控制。舉例而言,調整氣體混合物的離子濃度可顯著改變該氣體混合物的選擇率。
於實施例中,通孔1056的數目可介於約60個與約2000個之間。通孔1056可具有各種形狀,但最容易被製成圓形。在本文揭露的實施例中,通孔1056的最小直徑1050可介於約0.5mm與約20mm之間,或介於約1mm與約6mm之間。在選擇通孔的截面形狀上,亦有範圍,截面可做成錐狀、柱狀或該二種形狀的組合。在不同的實施例中,用來將未激發的前驅物導入基板處理區域1070的小孔1055之數目可介於約100與約5000之間,或介於約500與約2000之間。小孔1055的直徑可介於約0.1mm與約2mm之間。
通孔1056可經配置以控制經電漿活化氣體(即,離子、自由基及/或中性物種)通過噴淋頭1053。舉例而言,通孔的深寬比(即,通孔直徑對長度比)及/或通孔的表面形貌可授到控制,藉以減少通過噴淋頭1053的經活化氣體中的帶離子電荷物種流。噴淋頭1053中的通孔1056可包括面對腔室電漿區域1020的錐形部 分,以極面對基板處理區域1070的柱狀部分。可訂定柱狀部分的比例及尺寸以控制通過進入基板處理區域1070的離子物種流。可調整的電偏壓也可被施加至噴淋頭1053作為控制穿過噴淋頭1053的離子物種流之額外手段。
或者,通孔1056在朝向噴淋頭1053的頂表面處可具有較小的內徑(inner diameter;ID),且在朝向噴淋頭1053的底表面處可具有較大的ID。此外,可將通孔1056的底緣切角,以在電漿流出物離開噴淋頭時,促進將電漿流出物均勻地分布於基板處理區域1070中,並因而增進電漿流出物及前驅物氣體的均勻分布。較小的ID可設置在沿著通孔1056的多個位置處,並仍可容許噴淋頭1053降低基板處理區域1070內的離子密度。離子密度的降低起因於離子在進入基板處理區域1070之前與孔壁碰撞次數的增加。每次碰撞增加了藉由從孔壁獲得或失去電子而使離子中和的可能性。一般而言,通孔1056的較小ID可介於約0.2mm與約20mm之間。在其它實施例中,較小ID可介於約1mm與約6mm之間,或介於約0.2mm與約5mm之間。進而,通孔1056的深寬比(即,較小ID對通孔長度比)可為將近1至20。通孔的較小ID可為沿著通孔的長度可見之最小ID。通孔1056的剖面形狀一般可為柱狀、錐狀或該等形狀的任何組合。
第4B圖為根據本文揭露的實施例與處理腔室一起使用之噴淋頭1053的底視圖。噴淋頭1053對應第4A圖所示之噴淋頭。通孔1056被描繪成在噴淋頭1053底部具有較大內徑(ID), 且在頂部具有較小ID。小孔1055實質上平均分布在噴淋頭的表面上,甚至分佈在通孔1056之間,相較於本文所述的其它實施例,這種分佈方式有助於提供更均勻的混合。
當含氟電漿流出物及含氫電漿流出物穿過噴淋頭1053中的通孔1056抵達基板處理區域1070時,範例經圖案化基板可在基板處理區域1070內由基座(未繪示)支撐。儘管可將基板處理區域1070裝配成支援電漿以供諸如固化等其它製程所用,然而在本發明的實施例中,蝕刻經圖案化基板期間無電漿存在。
可在噴淋頭1053上方的腔室電漿區域1020中,或在噴淋頭1053下方的基板處理區域1070中點燃電漿。電漿存在腔室電漿區域1020中,以自流入的含氟前驅物及/或含氫前驅物製造含有自由基-氟及/或自由基-氫的電漿流出物。典型處在無線射頻(RF)範圍中的AC電壓可被施加在處理腔室的導電頂部分(蓋體1021)與噴淋頭1053之間,以於沉積期間在腔室電漿區域1020中點燃電漿。RF功率供應器可產生13.56MHz的高RF頻率,但也可單獨或結合13.56MHz頻率產生其它頻率。
當基板處理區域1070中的底部電漿啟動時,可使頂部電漿處在低功率或無功率下,以硬化膜或清潔形成基板處理區域1070邊界的內表面。可藉由在噴淋頭1053與基座之間或在噴淋頭1053與腔室的底部之間施加AC電壓,來點燃基板處理區域1070中的電漿。可在電漿存在的同時,引導清潔氣體進入基板處理區域1070。
基座可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度。此配置方式容許冷卻或加熱基板溫度,以維持相對低的溫度(從室溫直到約120℃)。熱交換流體可包含乙二醇與水。可使用埋入式單迴圈埋入式加熱器元件,來電阻式加熱基座的晶圓支撐淺盤(較佳為鋁、陶瓷或前述材料之組合)達到相對高的溫度(從約120℃直到約1100℃),該加熱器元件經配置以造成平行的同心圓形式的兩個完整迴轉。加熱器元件的外部分可繞於鄰接支撐淺盤的周邊處,同時加熱器元件的內部分繞於具有較小半徑的同心圓的路徑上。連接至加熱器元件的配線穿過基座的主幹。
腔室電漿區域或遠端電漿系統中的區域可稱為遠端電漿區域。於實施例中,自由基前驅物(例如,自由基-氟及自由基-氫)形成於遠端電漿區域中,並行進進入基板處理區域,該組合物在基板處理區域中優先蝕刻矽。於實施例中,電漿功率可基本上僅被施加至遠端電漿區域,以確保自由基-氟及自由基-氫(二者一起被稱為電漿流出物)不會在基板處理區域中進一步被激發。
在利用腔室電漿區域的實施例中,被激發的電漿流出物是在與沉積區域分隔的基板處理區域的區段中產生。沉積區域(在本文中亦稱作基板處理區域)是電漿流出物混合並反應以蝕刻經圖案化基板(例如,半導體晶圓)之處。被激發的電漿流出物也可伴隨著惰性氣體(在範例實例中,惰性氣體為氬氣)。在蝕刻經圖案化基板期間,本文中的基板處理區域可被描述為「無電漿(plasma-free)」。「無電漿」不必然意味著該區域缺乏電漿。因通孔1056 的形狀及尺寸之故,在電漿區域內所產生之相對低濃度的離子化物種及自由電子會行進穿過隔件(噴淋頭/離子抑制器)中的孔洞(口孔)。在某些實施例中,基板處理區域內基本上沒有離子化物種及自由電子的濃度。腔室電漿區域中之電漿的邊界是難以界定的,且可能透過噴淋頭中的口孔侵入基板處理區域上。在感應耦合電漿的實例中,可直接在基板處理區域內執行少量的離子化。再者,低強度的電漿可在基板處理區域中生成,而不至於去除形成的膜之期望特徵。激發的電漿流出物生成期間造成電漿的強度離子密度遠低於腔室電漿區域(就此而言,或者是遠低於遠端電漿區域)的所有原因不悖離本文所用的「無電漿」之範疇。
含氟前驅物及含氫前驅物進入腔室的結合流速可佔總氣體混合物的體積的0.05%至約20%;剩餘的部分是載氣。在一些實施例中,含氟前驅物及含氫前驅物流入遠端電漿區域,但電漿流出物具有相同的體積流量比率。在含氟前驅物的實例中,可在含氟氣體之前先啟動淨化氣體或載氣進入遠端電漿區域,以穩定遠端電漿區域內的壓力。
施加至遠端電漿區域的電漿功率可為多種頻率或為多重頻率的組合。在範例處理系統中,可藉由蓋體1021與噴淋頭1053之間所傳遞的RF功率來提供電漿。在不同的實施例中,RF功率可介於約10瓦與約15,000瓦之間、介於約10瓦與約5000瓦之間、介於約10瓦與約2000瓦之間、介於約200瓦與約1800瓦之間或介於約750瓦與約1500瓦之間。在不同的實施例中,於 範例處理系統中所施加的RF頻率可為小於約200kHz的低RF頻率、介於約10MHz與約15MHz之間的高RF頻率,或大於或等於約1GHz的微波頻率。在將載氣與電漿流出物流入基板處理區域1070期間,可將基板處理區域1070維持在各種壓力下。
在一或多個實施例中,基板處理腔室1001可整合至各種多處理平台,包括可購自美國加州聖大克勞拉市的Applied Materials,Inc.的ProducerTM GT、CenturaTM AP及EnduraTM平台。此類處理平台能夠進行數種處理操作而不破真空。可實施本發明實施例的處理腔室可包括介電質蝕刻腔室或各種化學氣相沉積腔室,還有其它類型的腔室。
沉積系統的實施例可併入用以生產積體電路晶片的較大型製造系統。第5圖顯示根據本文揭露的實施例的一個此類沉積、烘烤及硬化腔室的系統1101。於此圖中,一對前開式晶圓盒(front opening unified pod,FOUP)1102供應基板,基板(例如,300mm直徑之晶圓)由機器人手臂1104承接,並在置入晶圓處理腔室1108a至1108f中之一者以前先置入低壓保持區1106內。可使用第二機器人手臂1110自低壓保持區1106傳輸基板晶圓至晶圓處理腔室1108a至1108f並往回傳輸。各晶圓處理腔室1108a至1108f可被裝備成進行多個基板處理操作,所述操作包括本文所述的乾式蝕刻製程,還可包括循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、脫氣、定向及其它基板製程等額外操作。
晶圓處理腔室1108a至1108f可包括一或多個系統部件,以在基板晶圓上沉積、退火處理、硬化及/或蝕刻可流動介電膜。在一個配置中,兩對處理腔室(如,1108c至1108d及1108e至1108f)可用於沉積介電材料於基板上,而第三對處理腔室(如,1108a至1108b)可用於蝕刻沉積的介電質。在另一個配置中,所有三對腔室(如,1108a至1108f)可經配置以在基板上蝕刻介電膜。任一或多個所述的製程可在與不同實施例中所示的製造系統分開的腔室上執行。
基板處理系統可由系統控制器所控制。在一示範實施例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(SBC)、類比及數位輸入/輸出板、介面板及步進馬達控制板。CVD系統的各種部件符合Versa Modular European(VME)標準,該標準定義板、卡片機架(card cage)以及連接器尺寸及類型。VME標準亦定義具有16位元資料匯流排及24位元位址匯流排之匯流排結構。
系統控制器1157可用於控制馬達、閥、流量控制器、電源供應器以及其它執行本文所述製程配方所需要的功能。氣體操縱系統1155也可由系統控制器1157控制,以將氣體引導至晶圓處理腔室1108a至1108f的其中一個或全部。系統控制器1157可仰賴來自光學感測器的反饋,以確定並且調整氣體操縱系統1155及/或晶圓處理腔室1108a至1108f中之可移動的機構組件之位置。機構組件可包括機器人、節流閥及基座,前述部件在系統控制 器1157的控制下由馬達移動。
在一示範實施例中,系統控制器1157包括硬碟機(記憶體)、USB埠、軟碟機及處理器。系統控制器1157包括類比及數位輸入/輸出板、介面板及步進馬達控制板。含有基板處理腔室1001的多重腔室處理系統1101之各種部件受到系統控制器1157之控制。系統控制器執行系統控制軟體,系統控制軟體以電腦程式之形式儲存在諸如硬碟、軟碟或快閃記憶體隨身碟等電腦可讀媒體上。也可使用其它形式的記憶體。電腦程式包括指令集,該等指令集指示時序(timing)、氣體混合、腔室壓力、腔室溫度、RF功率位準、基座位置及特定製程的其它參數。
可使用由控制器執行的電腦程式產品來實施用於在基板上沉積或其它方式處理膜的製程,或者實施用於清潔腔室的製程。電腦程式編碼可以習知電腦可讀的程式語言撰寫,例如68000組語、C、C++、Pascal、Fortran或其它程式語言。使用習知的文字編輯器將適合的程式編碼輸入單一檔案或多重檔案,並且儲存於電腦可使用媒體(如電腦的記憶體系統)或由電腦可使用媒體實施。倘若輸入的編碼文字是高階語言,則編譯編碼,而所得的編譯程序編碼隨後與預先編譯的Microsoft Windows®函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼,系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以進行程式中辨識的任務。
使用者與控制器之間的介面可為透過接觸感應顯示器, 亦可包括滑鼠及鍵盤。在使用兩個顯示器的一個實施例中,一個顯示器安裝在清潔室壁以供操作者使用,且另一個顯示器在壁後以供維修技術人員使用。兩個顯示器可同步顯示相同資訊,在這樣的實例中,一次僅有一個顯示器被配置成接受輸入。為了選擇特定的螢幕或功能,操作者以手指或滑鼠接觸顯示螢幕上的指定區域。被接觸的區域改變該區域的強調色彩,或顯示新的選單或螢幕,確認操作者的選擇。
本文所使用的「基板(substrate)」可為具有或不具有形成在上面的多個層之支撐基板。經圖案化基板可為有各種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上之類型的半導體基板。經圖案化基板的暴露的「矽(silicon)」主要是Si,但也可包括少量濃度的其它基本組成分,如氮、氧、氫、碳等等。經圖案化基板的暴露的「氮化矽(silicon nitride)」主要是Si3N4,但也可包括少量濃度的其它基本組成分,如氧、氫、碳等等。經圖案化基板的暴露的「氧化矽(silicon oxide)」主要是SiO2,但也可包括少量濃度的其它基本組成分,如氮、氫、碳等等。在某些實施例中,使用本文所揭露之方法蝕刻的氧化矽膜基本上由矽與氧構成。術語「前驅物(precursor)」指的是參與反應從表面移除材料或沉積材料至表面上的任何製程氣體。「電漿流出物(plasma effluent)」描述自腔室電漿區域離開並且進入基板處理區域的氣體。電漿流出物處於「激發態(excited state)」,其中至少有一些氣體分子處於振動型式的激發、解離及/或離子化狀態。 「自由基前驅物(radical precursor)」是用於描述參與反應從表面移除材料或沉積材料在表面上的電漿流出物(離開電漿而處於激發態的氣體)。「自由基氟(自由基-氟)」(或「自由基氧(radical-oxygen)」)為含有氟(或氧)的自由基前驅物,但該自由基前驅物可能不含有其它基本組成分。「惰性氣體(inert gas)」一詞是指在蝕刻或被併入膜中時不形成化學鍵結的任何氣體。範例惰性氣體包括稀有氣體(noble gas),但可包括其他氣體,只要當(一般而言)在膜中補捉到痕量的該氣體時不形成化學鍵結即可。
全文中所用之術語「間隙(gap)」與「溝槽(trench)」毫無暗指意味地是指蝕刻過的幾何形狀具有大的水平深寬比。從表面上方觀之,溝槽可呈現圓形、卵形、多邊形、矩形或各種其它形狀。溝槽可以呈現材料島狀物周圍的壕溝形狀。術語「介層孔(via)」是指低深寬比溝槽(由上方觀之),介層孔可或可不被金屬填充而形成垂直的電連接。如本文所用,共形蝕刻製程指的是以與表面相同的形狀大體上均勻地移除表面上的材料,即蝕刻過的層的表面與蝕刻前的表面大體上平行。發明所屬技術領域中具有通常知識者將瞭解蝕刻過的介面可能不會100%共形,因此「大體上(generally)」之用語容許可接受的容忍度。
已在此揭示數個實施例,發明所屬技術領域中具有通常知識者應知可使用多種修飾例、替代架構與等效例而不背離本文揭露的實施例的精神。此外,說明書中不對多種習知製程與元件做說明,以避免不必要地混淆了本發明。因此,上述說明不應被視為對 本發明範疇之限制。
當提供一範圍的數值時,除非文本中另外清楚指明,應知亦具體揭示介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值以及與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。該等較小範圍的上限值與下限值可獨立包含或排除於該範圍中,且各範圍(其中,在該較小範圍內包含任一個極限值、包含兩個極限值,或不含極限值)皆被本發明內所陳述之範圍涵蓋,除非在該陳述的範圍中有特別排除之限制。在所陳述之範圍包括極限值的一者或兩者之處,該範圍也包括該些排除其中任一者或兩者被包括的極限值的範圍。
在本文與隨附申請專利範圍中所使用之單數形式「一(a、an)」與「該(the)」等用語也包括複數形式,除非文字中另外清楚指明。因此,舉例而言,「一種製程(a process)」所指的製程包括複數個此類製程,而「該介電材料(the介電材料)」所指的包括一或多種介電材料以及該領域技術人士所熟知的該等材料之等效例等。
同樣,申請人希望此說明書與以下申請專利範圍中所用的「包含(comprise)」與「包括(include)」等用語是指存在所陳述之特徵、整體、部件或步驟,但該等用語不排除存在或增加一或多種其他特徵、整體、部件、步驟、動作或群組。
105~130‧‧‧操作步驟

Claims (20)

  1. 一種自一基板處理腔室的一基板處理區域中之一經圖案化基板移除聚合物殘留物的方法,該方法包含下列步驟:將一含氫前驅物流入一遠端電漿區域,同時在該遠端電漿區域中形成一遠端電漿以產生電漿流出物,該遠端電漿區域流通地耦接該基板處理區域;以及藉由將該電漿流出物流入該基板處理區域,來移除該聚合物殘留物。
  2. 如請求項1所述之方法,進一步包含下列步驟:在流入該含氫前驅物的操作期間,將一含氟前驅物流入該基板處理區域。
  3. 如請求項1所述之方法,其中該聚合物殘留物包含一碳氫化合物。
  4. 如請求項1所述之方法,其中移除該聚合物殘留物的步驟包含下列步驟:自一經圖案化低-K介電層的實質上垂直側壁移除該聚合物殘留物。
  5. 如請求項1所述之方法,其中移除該聚合物殘留物的步驟包含下列步驟:自一低-K介電層移除該聚合物殘留物,該低-K介電層具有低於或等於約2.5的一介電常數。
  6. 如請求項1所述之方法,其中該經圖案化基板的溫度為大於 或等於約0℃且小於或等於約300℃。
  7. 如請求項1所述之方法,其中該電漿功率為介於約10瓦與約15,000瓦之間。
  8. 如請求項1所述之方法,其中該基板處理區域內之壓力為大於或約等於0.01Torr且小於或約等於10Torr。
  9. 如請求項2所述之方法,其中該等前驅物之一原子流比例矽大於或等於約20:1的H:F。
  10. 如請求項1所述之方法,其中於移除該殘留聚合物之該操作期間,該基板處理區域為無電漿。
  11. 如請求項1所述之方法,其中該含氟前驅物包含選自由原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、氟化碳氫化合物、六氟化硫及二氟化氙所組成之群組中之一前驅物。
  12. 如請求項1所述之方法,其中該含氫前驅物包含氫(H2)、甲烷(CH4)、乙烷(C2H6)或丙烷(C3H8)。
  13. 如請求項1所述之方法,其中於移除該聚合物殘留物之該操作期間,該基板處理區域基本上缺乏氧。
  14. 如請求項1所述之方法,其中於移除該聚合物殘留物之該操作期間,該基板處理區域內基本上無離子化物種或自由電子。
  15. 如請求項1所述之方法,其中該噴淋頭之該等通孔的最小ID為介於約0.2mm與約5mm之間。
  16. 一種自一基板處理腔室的一基板處理區域中之一經圖案化基板移除聚合物殘留物的方法,該方法包含下列步驟:將一含氟前驅物流入一遠端電漿區域,同時在該遠端電漿區域中形成一遠端電漿以產生電漿流出物,該遠端電漿區域流通地耦接該基板處理區域;將一含氫前驅物流入該基板處理腔室,其中該含氫前驅物直接流入該基板處理區域而不先通過該遠端電漿區域;以及藉由在該基板處理區域中結合該電漿流出物與該含氫前驅物,來移除該聚合物殘留物。
  17. 如請求項16所述之方法,其中該含氫前驅物包含氫(H2)、甲烷(CH4)、乙烷(C2H6)或丙烷(C3H8)中之一者。
  18. 如請求項16所述之方法,其中於移除該聚合物殘留物之該操作期間,該基板處理區域基本上缺乏氧。
  19. 如請求項16所述之方法,其中移除該聚合物殘留物的步驟包含下列步驟:自一低-K介電層移除該聚合物殘留物,該低-K介電 層具有低於或等於約2.5的一介電常數。
  20. 如請求項16所述之方法,其中該含氟前驅物包含選自由原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、氟化碳氫化合物、六氟化硫及二氟化氙所組成之群組中之一前驅物。
TW102115437A 2012-05-14 2013-04-30 蝕刻殘留物的移除 TWI598953B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261646607P 2012-05-14 2012-05-14
US13/791,372 US20130298942A1 (en) 2012-05-14 2013-03-08 Etch remnant removal

Publications (2)

Publication Number Publication Date
TW201403707A true TW201403707A (zh) 2014-01-16
TWI598953B TWI598953B (zh) 2017-09-11

Family

ID=49547666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102115437A TWI598953B (zh) 2012-05-14 2013-04-30 蝕刻殘留物的移除

Country Status (3)

Country Link
US (1) US20130298942A1 (zh)
TW (1) TWI598953B (zh)
WO (1) WO2013173021A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106373851A (zh) * 2016-10-24 2017-02-01 上海华力微电子有限公司 一种优化晶圆环状缺陷的方法
TWI782742B (zh) * 2014-06-16 2022-11-01 日商東京威力科創股份有限公司 處理裝置及處理系統
TWI790265B (zh) * 2017-08-04 2023-01-21 美商微材料有限責任公司 改良之金屬接觸定位結構
TWI792085B (zh) * 2019-10-31 2023-02-11 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
DE102013223490B4 (de) 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018055724A1 (ja) * 2016-09-23 2018-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH04142738A (ja) * 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782742B (zh) * 2014-06-16 2022-11-01 日商東京威力科創股份有限公司 處理裝置及處理系統
CN106373851A (zh) * 2016-10-24 2017-02-01 上海华力微电子有限公司 一种优化晶圆环状缺陷的方法
CN106373851B (zh) * 2016-10-24 2018-06-26 上海华力微电子有限公司 一种优化晶圆环状缺陷的方法
TWI790265B (zh) * 2017-08-04 2023-01-21 美商微材料有限責任公司 改良之金屬接觸定位結構
TWI792085B (zh) * 2019-10-31 2023-02-11 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Also Published As

Publication number Publication date
WO2013173021A1 (en) 2013-11-21
US20130298942A1 (en) 2013-11-14
TWI598953B (zh) 2017-09-11

Similar Documents

Publication Publication Date Title
TWI598953B (zh) 蝕刻殘留物的移除
TWI625824B (zh) 銅線間的氣隙
TWI471932B (zh) 用於含矽與氮之薄膜的乾式蝕刻
TWI631614B (zh) 氮化矽的選擇性蝕刻
TWI541890B (zh) 選擇性抑制含有矽及氧兩者之材料的乾式蝕刻速率
TWI556305B (zh) 透過介穩氫終止之矽的選擇性蝕刻
US9384997B2 (en) Dry-etch selectivity
TWI459464B (zh) 選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法
TWI618139B (zh) 矽-碳-氮化物之選擇性蝕刻
US8765574B2 (en) Dry etch process
TWI597775B (zh) 差別氧化矽蝕刻
TWI687549B (zh) 用於高深寬比溝槽的均等鎢蝕刻
US9385028B2 (en) Air gap process
TWI601204B (zh) 自由基成分的氧化物蝕刻
TW201306125A (zh) 灰化後側壁修復