TW201244006A - Process for damascene structure with reduced low-k damage - Google Patents

Process for damascene structure with reduced low-k damage Download PDF

Info

Publication number
TW201244006A
TW201244006A TW101110408A TW101110408A TW201244006A TW 201244006 A TW201244006 A TW 201244006A TW 101110408 A TW101110408 A TW 101110408A TW 101110408 A TW101110408 A TW 101110408A TW 201244006 A TW201244006 A TW 201244006A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
dielectric material
sacrificial
copper
Prior art date
Application number
TW101110408A
Other languages
English (en)
Other versions
TWI660457B (zh
Inventor
Mehul B Naik
zhen-jiang Cui
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201244006A publication Critical patent/TW201244006A/zh
Application granted granted Critical
Publication of TWI660457B publication Critical patent/TWI660457B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

201244006 六、發明說明: 【發明所屬之技術領域】 本發明的實施例大體上關於半導體處理技術,並且更 詳細地說是關於用以製造鑲嵌結構而不損壞此結構中的 低-k介電材料的改善方法。 【先前技術】 積體電路已經發展成能在單一晶片上包括數百萬個部 件(例如電晶體、電容器與電阻器)的複雜元件。對於更 大的電路密度的需求係需要積體電路部件的尺寸的減小 (例如次微米尺寸)以及製造元件的各種材料的利用以達 到更快速且更佳的電性效能(諸如被用在金屬線而具有 更问導電率的材料、被用作成絕緣材料的具有更低電容 率(低-k)介電常數的材料等卜對於積體電路製造,具有 低電:的金屬内連線(諸如銅與鋁内連線)在積體電路元 件上提供介於積體電路部件之間的導電路徑。大體上, 金屬内連線藉由介電塊絕緣材料而彼此電性地分隔。在 次微米尺寸,雷& 耗5係潛在地發生在相鄰的金屬内連 、”良之間電合輪合會造成干擾(⑽“驗)與/或電阻電容 (RC)延遲且會劣化積體電路的總效能。 一種用以形成用於積體電路部件的垂直與水平内連線 的方法是藉由鑲嵌或雙鑲 .^ ^ 芰碾嵌方法。通常,鑲嵌結構具有 "電塊絕緣層與導電金 、屬層(诸如低介電常數材料與導 201244006 盥、s 1)被堆嗳在彼此的頂部上。垂直内連線(即介層洞〕 7平内連線(即溝槽)被蝕刻到介電塊絕緣層内,並且 曰電金屬層後續地被填充到介層洞與/或溝槽内且被平 (諸如藉由化學機械平坦化製程(CMP)),因此導電金 ^材料僅殘留在介層洞與/或溝槽中。在鑲嵌方式中,可 =需要相當複雜的介電膜堆疊,其中該介電膜堆疊包括 巧系列的硬罩幕、低_k介電質與蝕刻終止層等。為了獲 :此類堆疊’在以導電金屬材料來填充介層洞與溝槽之 前通常需要介層洞/溝槽微影、圖案化與濕式清潔。 而鑲肷結構的低_k材料在後續的處理步驟期間(諸 如银刻、濕式清潔、預金屬清潔A CMP等)容易遭受各 種才貝=。此類損壞會嚴重地增加低_k材料的介電常數, 而使付所產生的介電膜堆疊的總介電常數增加。 斤、&要種製造鑲嵌結構的製程,此製程對於介 電膜堆疊中的低切料具有零或最小的損壞。 【發明内容】 在一個實施例中,-種用以形成半導體結構的方法包 含以下步驟:沉積犧牲介電材料在介電基部層上方;形 成鑲嵌特徵結構到該犧牲介電材料與該介電基部層内; 以導電材料來填充該鎮嵌特徵結構;移除經填充的鑲喪 特徵結構之間的溝槽區域中的犧牲介電材料;及以低氺 介電材料來填充該等溝槽區域。在一個態樣中,該方法 201244006 更包含選擇性地沉積鈍化層在該導電材料的頂表面上, 其中該鈍化層由鈷或鈷合金形成。在另_態樣令,在移 除該犧牲介電材料之前,共形地形成鈕/氮化钽(Ta/TaN) .阻障層在該等溝槽區域的暴露表面上,以提供有效的阻 障而避免銅遷移到周圍的層内。含銅層(諸如域(CuMn) 合金)可進一步被沉積在該Ta/TaN阻障層上,以改善電 路元件的電性性質(諸如電遷移阻抗),該含銅層亦料 後續的銅沉積的晶種層。在一個實例中,可使用熱處理 製程來處理該誠(CuMn)合金,以形成自我形成的氧化 猛(MnOx)層’藉此提升銅的鈍化。 在另-實施例中’一種用以形成半導體結構的方法包 含以下步驟:沉積第-介層洞介電層在介電基部層上 方;沉積第-犧牲介電材料在該第一介層洞介電層上 方;形成期望的溝槽圖案到該第一犧牲介電材料與該第 一介層洞介電層内;共形地沉積導體層在該等溝槽圖案 的暴露表面上;以導電材料來填充該等溝槽圖案,並且 平坦化該導電材料以暴露該第一犧牲介電材料;從經填 充的溝槽圖案之間的區域中移除犧牲介電材料;及以超 低-k介電材料來填充經填充的溝槽圖案之間的區域。 【實施方式】 在此描述的實施例大體上提供用以在使用犧牲介電材 料與可選的阻障/帽蓋層的鑲嵌製程期間減少不期望的 201244006 低-k介電質損壞的方法。在各 透過被沉積在預存在 “歹’ _,鑲歲結構是 成,其t該㈣層可以是—或 =材料來形 導電特微往M 一 "電材料(諸如具有 二電=構被形成在其中的換雜或未摻雜 : 適备的金屬(諸如銅)來填充鑲嵌結 之間的溝样p祕占 真充在銅鑲嵌 行各種阻障/帽蓋機制而接者被移除’然後進 早^蛊機制而共形地或選擇性地 嵌結構的暴露表面。 復盖住幻鑲 # jtt # ° 電材料可填充先前 被真充有犧牲介電材料 “ 情屏槽區域。此製程可依需要被 重複,而具有任何期望的 ^ 案Μ刻製程’以在被填充 的超低'k介電材料上建立更介電層與/或結構,藉此延伸 元件中的内連線。 十匕、有低-k w電質損壞問題的傳統製造機制,本 發明製程流程可在鑲嵌製程期間避免金屬線之間的超低 _k介電材料暴露於各種損壞製程(諸如㈣、剝除、濕式 清潔、預金屬清潔# CMP製程),而形成具有整合或總 介電常數接近低-k材料的塊閥的鑲嵌結構。在銅填充或 犧牲介電材料移除之前或之後所執行的各種金屬純化製 程可進一步提升銅電遷移阻抗並因此改善總元件效能。 雙鑲嵌應用 第1A-1I圖圖示本發明的實施例之―,圖示被執行用 以形成雙鑲嵌結構的製程次序中的不同階段期間的基材 的示意剖視圖。第2圖圖示根據本發明的一或更多個實 施例用以製造與蝕刻第1A_U圖所圖示的雙鑲嵌結構的 201244006 不乾性製程次序200。大體上,製程次序2〇〇和各種膜 、:積與蝕刻製程以及各種鑲嵌製造機制(諸%介層洞第 :製造機制、BARC回餘製造機制、雙硬罩幕製造機制、 二層製造機制、雙硬罩幕轉移製造機制等)相容。應注 意’儘管第圖中的步驟是以三層機制為基底㈣ 述,可使用其他圖案化機制(諸如以TiN硬軍幕為基底的 方式)。#等不意圖使第1A_H圖所圖示的步驟的數量、 順序和次序偈限成在此所述的本發明的料,此是因為 可在不恃離在此所述的本發明的基本範嘴下添加、刪除 與/或重新安排一或更多個步驟。 在步驟202 ’提供介電基部層1〇5,介電基部層丄〇5具 有導電特徵結構1〇7(諸如銅特徵結構)被形成在其中,如 第1A圖所圖示。介電基部層1〇5可以是介電常數小於約 7(例如小於約5或甚至小於約25)的低·U電材料,諸 如碳摻雜二氧化矽介電材料、有機聚合物、有機矽酸鹽、 有機石夕酸鹽玻璃(〇SG)材料、旋塗式玻璃材料、氟擦雜石夕 玻璃(FSG)材料或被摻雜有碳基底摻質的諸如此類者。介 電基部層105可具有任何期望的尺寸,並且可包括一或 更夕個具有導電特徵結構(諸如金屬線)被形成在其上的 介電層。儘官未圖不,導電材料特徵結構1〇7可和元件(諸 如%效應與雙極性電晶體、二極體、電容器、電阻器與 電感器等)接觸’該等元件可被配線在—起而形成積體電 路。 在步驟204,可選地,底部阻障層110可被形成在介 201244006 電基部層105的上表面上,如第μ圖所圖示,以消除介 電基部層Π)5與後續地被沉積的材料之間的層間擴散。 可使用任何適當的方法(諸如以ALD或cvd為基底的方 式)來沉積底部阻障層11G。底部阻障層m可以是低士 介電材料’該低-k介電材料包括但不限於含碳的石夕材料 (諸如碳氧切(㈣)或碳切)、氮切卿)或含碳的 氮化石夕材料(SiCN)與上述的組合,或氮㈣(bn)、“ 切⑻随)、氮碳魏邦就N)或上述的組合。在底部 阻障層U〇是碳化石夕基底阻障層的情況中,碳化石夕基底 阻障層可受«處理或被暴露於電子束處理,以改:碳 化矽基底阻障層對介電基部層1〇5的黏附性。 。反 在步驟2G6’第-介層洞介電層112(諸如氧化石夕或碳 氧化矽)被沉積在可選的底部阻障層丨1〇上,如第1A圖 所圖示。第一介層洞介電層112可以是具有低介電常數 (諸如小於7.G ’例如介電常數介於約2與約5之間)的介 電材料。用於第一介層洞介電層112的適當材料的實例 可包括但不限於有機矽酸鹽材料、多孔氧化物材料、矽 酸鹽類材料(silseSquioxane material)、聚對二甲笨 (paralyne)、碳摻雜介電材料(例如碳摻雜有機矽酸鹽玻璃 (OSG)、碳摻雜二氧化矽等)、旋塗式玻璃材料(例如未摻 雜矽玻璃(USG)、氟摻雜矽玻璃(FSG)等)、含碳的矽材 料、碳氧化矽(Si〇C)與上述的組合。有機矽酸鹽基底的 低-k含碳的氧化矽(Si〇c)介電材料的實例包括可從美國 加州聖大克勞拉市的應用材料公司取得的 201244006 ⑽咖D”與BLackdiam_@i卜第—介層洞介 t層m可被沉積達到約例# 5_到約测〇a的厚 度> 取決於被製造的結構的尺寸。第―介層洞介電層ιΐ2 可接著被電敷製程或電子束技術處理,以移除污染物且 將第-介層洞介電層112的表面予以緻密化。 在步驟2〇8,可選的低4钱刻終止層114可被沉積在 第一介層洞介電層112上達到約5A_ iq(h)A㈣^ 如第1A圖所圖示。可選的低切刻終止層114可以是 碳化石夕材料或任何其他適當的材料(諸如氮切)。若期 望的話’低_k_終止層114可如上所述用於底部阻障 層110而受電聚處理。低4蝕刻終止層114可被圖案钱 刻’以定義特徵結構定義開σ i i 6 (例如介層洞圖案)且在 特徵結構定義120將被形成的區域中(第1C圊)暴露第一 ”層U層112。或者,低_k姓刻終止層i i 4可併同 後續層(諸如硬罩幕材料119、犧牲介電材料ιΐ8與下方 的第-介層洞介電材料112等)在當形成特徵結構定義 120的後面階段時(第lc圖)被圖案蝕刻。可使用傳統光 微影與利用I、碳和氧離子的蝕刻製程將低吨蝕刻終止 層114予以圖案蝕刻。若期望的話,可在沉積進—步材 料之前,將不含氮的碳化矽(未圖示)或氧化矽帽蓋層(未 圖示)沉積在低-k蝕刻終止層丨丨4上。 在步驟210’犧牲介電材料U8被沉積在低吨蝕刻終 止層114(右有使用的話)上且填充特徵結構定義開口 116,如第1B圖所圖示。犧牲介電材料i丨8可以是有機 10 201244006 的或無機的,並且主要要求是如下所述能和輕易的鑲嵌 銅處理以及輕易的後續移除的相容性,而不會損壞金屬 化結構與下方的第一介層洞介電層i 12。犧牲介電材料 11 8可包括但不限於氧化物、氧化矽、二氧化矽、氮化 矽或有機聚合物(諸如聚亞醯胺與聚芳香醚(p〇lyarylene ether))與上述的組合或均等物。或者,犧牲介電材料 、疋ί第"層’同介電材料112相同的材料。犧牲介 電2料118被沉積達到等於所需要用於期望溝槽特徵結 構定義的厚度。在一個態樣中,犧牲介電材汁斗11 8可被 沉積達到約5_到約3_Α的厚度,諸如18〇〇入。 在步驟212,可使用傳統光微影與韻刻製程將光阻劑 材料層m沉積在犧牲介電材们18上且將光阻劑材料 層122圖案化,以將期望的溝槽與介層洞圖案分別轉移 到犧牲介電材料118與第—介層洞介電層内。溝槽 :案可比特徵結構定義開口 116更寬。可使用反應性離 蝕刻或其他非等向性蝕刻技術來蝕刻該 (即犧牲介電材料^ ^ ^ 可選的低-k蝕刻終止層丨丨4與 方的第一介層洞介電層. 12〇, 2專),以形成雙鑲嵌特徵結構 制㈣ 所圖示。接著使用氧剝除或其他適當的 將任何殘餘的用以將該等塊介電層予以圖案化 的光阻劑移除。當 闽杀化 此時被移除。 $幕材料時,硬罩幕材料可於 在例中,共形的硬罩幕材㈣119可被形成 材料122與犧牲介電材㈣8之間,如第15圖 201244006 所圖不。在此類情況中,使用光阻劑材肖⑵作為罩幕 將硬罩幕材料119予以圖案化,並且圖案可在個別的敍 刻步驟中被轉移到犧牲介電材料u…在—個實例 中’可使用化學氣相沉積(CVD)碳硬罩幕,例如咖:⑽ patten^ fUmTM (ApF)。Αρρ是令人期望的,此是因為 APF能輕易地被钮刻而具有相對於大部分元件材料(諸 如氧化物、氮化物、鎢與/或多晶石夕)的極高選擇性。碳 硬罩幕亦容許以〇2電梁灰化來延伸元件钮刻量,此 化最終的硬罩幕移除。 熟習此技藝的人士應瞭解的是可藉由任何適當的方式 (諸如傳統光微影圖案化與乾式_製㈤ 所圖示的雙鎮嵌特徵結構12G。例如,可藉由進行介層 洞第-製程(其中第—光微影圖案化製程係被執行以圖 案化且餘刻介層洞開口 ),接著進行第二光微影圖案化製 程以圖案化且蝕刻介層洞開口上方的溝槽開口,來形成 雙鑲後特徵結才冓120。或者,可藉由如上所述用以形成 雙鑲嵌結構(或具有-或更多個介層洞部分與-或更多 個溝槽部分的任何結構)的傳統三層製造機制或硬罩幕 機制來形成雙鑲彼4#傲& — 1 η λ X又艰瓜将徵結構120β所卩,形成雙鎮嵌特 徵結構12〇的本發明不侷限於第以和ib圖中所描述且 圖示的製程流程。 在步驟214,接著藉由使用化學氣相沉積、物理氣相 沉積、電鍍或上述的組合將導電材料126(諸如銅、鋁、 鶴或組合)填充到雙鑲嵌特徵結構12〇内來形成金屬化社 12 201244006 構。在-個實施例中,銅被用來填充雙鑲嵌特徵結構 12〇,如第則所圖示。可選地,適當的阻障層124(諸 如氮化麵)可先共形地被沉積在金屬化圖案中,以避免銅 會遷移到周圍的矽與/或介電材料内。在特定實施例中, 此阻障層124可以是含銅材料,諸如鋼猛(CuMn)合金’ 如下文涉及步驟304所描述者。一旦雙鎮嵌特徵結構12〇 已經被填充有銅或其他金屬,使用化學機械研磨將導電 材料126的表面予以平坦化以暴露犧牲介電材料,而形 成被填充有導電材料126的雙鎮嵌結構128,如第⑴圖 所圖示。 ^步驟216,使用濕式清潔或乾_刻製程將存在於 該等雙鑲嵌結構128(或導電材料126)之間的區域中的犧 牲介電材料118移除,藉此形成溝槽特徵結才冓131,如 第1E圖所圖示。此钮刻製程應具有相對於導電材料⑶ 與:方的第—介層洞介電層112的良好蝕刻選擇性。此 類高姓刻選擇性可在触刻製程期間容許犧牲介電材料 118的移除’而不會造成顯著的介電f流失。在利用濕 式#刻製程的情況中’可藉由使此結構暴露於稀釋HF 溶液來㈣此結構,其中該稀釋HF溶液難刻該介電 層118的速率係比該稀釋HF溶液濕触刻該導電材料126 與下方的低切刻終止| 114與/或第一介層洞介電層 112的速率顯著地更高。在各種實施例中,犧牲介㈣ 料118相對於下方的低韻刻終止層U4與/或第—介層 洞介電I 112的触刻選擇性可位在介於約2:1與約1〇〇:1 13 201244006 之間的範圍中。 的第"層洞介電層112是氧化矽的情況中, ^ 式蝕刻製程(諸如SlC〇Ni蝕刻製程)。SiCoNi 」疋用W移除氧切的乾式㈣製程,該乾式钮刻製 程在電衆處理腔室(諸如SIC〇NI@預清潔腔室)内執行而 使用例如氣(贿3)與三I化氣(NF3)氣體混合物以從基材 2選擇性地移除各種氧化物。儘管在此沒有討論,可 、:出^疋被引進到腔室内的蝕刻氣體混合物與各氣體 :θ可是的,並且可被調整以適用於例如待移除的材 料的厚度以及被清潔的基材的幾何形態等。可在例如發 明名稱為「〇xide Etch福NH3_NF3吻」的美國 專利公開案號2007/〇12則中發現到用於ye·㈣ 的製程與設備的額外敘述,此案件以參考的方式在此被 併入到不會和在此的發明說明與申請專利範圍不一致的 程度。 在步騾218’可選的阻障層13〇可共形地被沉積在基 材上’而覆蓋住導電材料126以及實質上溝槽特徵結構 131的全部暴露表面(其令犧牲介電材料ιΐ8先前位在溝 槽特徵結構131處)’如第1F圖所圖示。阻障層被 沉積,以為了在後續的填隙製程的期間保護導電材料IK 避免氧化,或以為了消除第一介層洞介電層i丨2與後續 地被沉積的材料之間的層間擴散。可使用任何適當的方 法(諸如ALD或CVD基底的方式)來沉積阻障層13〇。用 於阻障層130的材料可類似於先前在步驟2〇4所討論的 201244006 底部阻障I 110。一種用於阻障層的示範性材料θ BL〇k™(阻障低_k)膜’ BL〇kTM(阻障低_k)膜是可從美疋 州聖大克勞拉市的應用材料公司取得的碳切膜。、在^ 個實例令,可選的阻障層130可被沉積達到約例如5— 到約200A的厚度。或者,此阻障層13〇可以是自我 的氧化猛(Mn〇x)阻障層’如下文涉及步驟308所描述者 在步驟220,超低_k(ULK)介電材料132被沉積在第一。 介層洞介電層112上方(即若有使用可選的阻障層130的 =沉積在可選的阻障層13〇上),以將溝槽 131(第1F圖)予以填隙,如第⑴圖所圖示。若期望的話^ 超低-k介電材料132可被 ° 散尥度,儿積,以為了適當地填存 溝槽特徵結構13卜超低_k '充 丨电何科132可破沉積遠至,丨 專於所需要用於期望溝_ & Μ # n + μ 呈屏匕特徵結構定義的期望厚度。
藉由將空氣空隙併入&丨你 J 介電材料132,而建二:電質母體内來獲得超低 的方法通常涉及形成含有 1電質 下兩個部分的「前驅物膜. 成孔劑(通常是有機材料1如 ^ 體或介電材料(例如含 口及、構別 基材上,可使用_::)。一旦前驅物膜被形成在 ..、(在足以使有機成孔劑崩潰且蒎發 =下)來移除成孔劑部分,而留下結構上完整的多、孔 介電質母體或氧化物網 的夕孔 小於約5的介電^ ^ 介電材料132可具有 想出的是可以任何適3或小於約2.5°可設 介電基部層1G5或第—電材料(諸如上文所討論的 "層洞介電層112)來取代超低_k 201244006 介電材料1 3 2,取決於應用。 在步驟222 ’ 一旦填隙完成了,第二介層洞介電層134 被沉積在超低-k介電材料132上,如第iH圖所圖示。 第一介層洞介電層1 34可以是類似於先前在步驟2〇6所 討論的第一介層洞介電層112的低_k材料。第二介層洞 介電層134可被沉積達到約例如5〇〇人到約i 5〇〇〇人的厚 度,取決於被製造的結構的尺寸。 在步驟224,帛二介層洞介電| i 34 可選地被㈣ 或被往回研磨,以致第二介層洞介電層具有等於所需要 用於應用的期望介電質厚度,如第π圖所圖示。 在步驟226’可選的犧牲介電層136可被沉積在第二 "層洞介電層134上’並且可依需要重複在步驟以 中所摇述的製程,以延伸雙鑲嵌結構中的内連線。用於 可選的犧牲介電層136的材料可類似於上述步驟210中 :犧牲介電層118。或者,可選的犧牲介電層136可且 :類似於介電基部層1〇5的材料。儘管未圖示,若執: =驟212·224中所摇述的製程的話,可選的犧牲介電 線广可包括被形成在其中的導電特徵結構(諸如銅内連 單鎮哉·應用 第3圖圖示根據本發明用以製造愈 山 如第4A-4G圖所圖示的單 / ⑽結構(例 300。 “#)的不範性製程次序 404被沉積在第一介 在步騾302,第一犧牲介電材料 16 201244006 Θ ’同屯層402上方且被圖案钮刻,以形成特徵結構定 義406的垂直内連線,如第4八圖所圖示。大體上,特徵 結構定義4〇6被形成為暴露下方的第-介層洞介電層 的°卩刀第一犧牲介電材料4〇4可被沉積達到所 需要用於期望溝槽特徵結構定義的厚度。用於第一介層 洞η電層402的材料可類似於上述步驟2〇6中的第—介 層同"電層112。類似地,用於第—犧牲介電材料他 的材料可類似於上述㈣21〇中的犧牲介電材料u8。 或者’第一犧牲介電材才斗4〇4可使用和介電基部層4〇2 相同的材料。 在特疋實施例中’在第一犧牲介電材料4〇4的沉積之 前,可選的阻障層(未圖示)可被沉積在第一介層洞介電 層402上,以消除第—介層洞介電層術與後續地被沉 積的材料之間的層間擴散。一種用於此可選的阻障層的 示範性材料是BLOk™(阻障低_k)膜,BL〇kTM(阻障低 膜是可從美國加州聖大克勞拉市的應用材料公司取得的 碳化石夕膜。在—個態樣中,可選的阻障層m可被沉積 達到約例如5A到約800A的厚度,諸如約5〇〇A。 在步驟304,導體層4〇7共形地被沉積在特徵結構定 義406的暴露表面(例如側壁和底部)上,如第4a圖所圖 示。在一個實施例中,導體層4〇7可包括含銅材料,諸 如銅錳(CuMn)合金。咸信’ CuMn合金可改善電路元件 的電性性質(諸如電遷移阻抗),CuMn合金亦作為後續的 銅沉積的晶種層。 17 201244006 在替代實施例(如第4 A’圖所圖示)中,導體層407可以 是阻障/晶種層’該阻障/晶種層包括阻障層409以及被沉 積在阻障層409上的晶種層411。此阻障層4〇9有助於 避免後續的銅原子擴散到周圍的低_k介電質内。在此類 情況中,阻障層409可包括一或更多個阻障材料,諸如 组、氮化组、氮矽化组、鈦、氮化鈦、氮矽化鈦、氮化 鎢、氮化矽、氮化釕、上述的衍生物、上述的合金與上 述的組合。可使用適當的沉積製程(諸如ALD、cVD、pvD 或無電沉積)來形成阻障層4〇9。在一個實例中,丁^丁… 雙層可被沉積成阻障層409,其中藉由ALD、CVD與/ 或PVD製程來獨立地沉積鈕層與氮化鈒層。類似地,晶 種層4 1 1疋類似於導體層4〇7的含銅材料,晶種層4 11 可以是銅錳(CuMn)合金晶種層。 在乂驟306,使用任何適當的技術(諸如化學氣相沉 積、物理氣相沉積、電錄或上述的組合)將導電材料 4〇8(諸如銅)填充在經蝕刻的特徵結構定義4⑽内。一旦 特徵結構定義406已經被填充了導電材料4〇8,可執行 化學機械研磨製程,以平坦化導電材_ 4〇8而暴露下方 的第—犧牲介電材料404,如第4B圖所圖示。 ,308,使用適當的技術(諸如濕式钱刻或乾式银 =程)將存在於該等導電材料4〇8之間的溝槽區域中的 ::牲介電材料404移除’如帛化圖所圖示。此钱刻 :應具有相對於導電材料權與下方的第一介層洞介 3 4〇2的良好钮刻選擇性。用以移除第—犧牲介電材 18 201244006 料4 04的钮刻製程可類似 於先前所討論的步驟2 1 6。 在特定實施例中,可執行後處理製程(諸如退火、熱氧 化製程或上述的組合),以提升銅的鈍化。如先前所討 挪9導體層407可以是銅猛(CuMn)合金晶種層,或可以 是阻障/晶種層’該阻障/晶種層包括阻障層409以及被沉 積在阻障層409上的CuMn合金晶種層4 η。在任一情況 中CuMn合金晶種層4〇7或411可在氧化大氣中被熱處 理以致CuMn合金内的錳的一部分或全部被氧化,而 故成自我形成的氧化錳(Μη〇χ)阻障層413,如第4C圖所 圖不。已經觀察到的是此自我形成的氧化難障層能夠 改善電性性質(諸如電遷移阻抗)。 或者可在移除該等導電材料4〇8之間的第一犧牲介 電材料404的期間或之前執行後處理製程。在此類情況 ’’銅錳合金内的錳原子會擴散到被包含在相鄰的第一 犧牲介電材料404中的氧原子内且和氧原子發生反應, 而造成自我對準氧化^(Μη〇χ)阻障層的形成。第一犧牲 介電材料404的剩餘部分接著被移除。 在步驟310,由於銅在暴露於大氣條件或環境時會輕 易地形成氧化銅的已知事實’在已經移除第一犧牲介電 材料例之後’可執行金屬封裝製程,以選擇性地沉積 鈍化層415在導電材料楊上而進一步提升鋼的表面純 化1為該等金屬氧化物會造成金屬層的電阻的增加與/ 或變成微粒問題的來源’鈍化層的使用不僅可避免銅氧 化,亦可對於_電遷移到鄰近的超低切電層内的電遷 201244006 移提供有效的阻障,其中該超低_k介電層將被形成在該 等導電材料之間的溝槽區域上且被間隙填充該等導電材 料之間的溝槽區域。 鈍化層415可以是不會和銅或其他導電金屬(諸如鈷、 釕、鈕、鎢、鈀、鎳、錫、鈦、鉬、鉑、鐵與鈮與上述 者的合金)形成固體溶液的金屬。在一個實施例中,純化 層415是鈷或鈷合金,鈍化層415可以導電且對於銅的 電遷移是良好阻障。鈷合金的適當實例可包括但不限於 鈷-鎢合金、鈷-磷合金、鈷-硼合金,包括三元合金(諸如 鈷-鶴-麟與鈷_鶴-删)。在使用鈷或鈷合金的情況中,可 藉由無電鍍覆技術將鈍化層415選擇性地沉積在導電材 料408的頂表面上,如第4D圖所圖示。若期望的話,可 在導電材料4〇8(即銅)的頂表面上使用催化材料(諸如 把)’以使銅表面對於鈷沉積能活化。
在步驟312,使用適當的技術(諸如CVD)將超低 (ULK) ’丨電層414沉積在第一介層洞介電層上方,以 間隙填充第一犧牲介電材料4〇4先前所在處(如第4C圖 所圖示)的該等溝槽區域。超低_k介電層414可過量地被 沉積’以綠保溝槽區域適當地被填充。可利用適當的技 術(諸如化學機械研磨製程)將超低_k介電層々Μ蝕刻或 往回研磨’以獲得期望的厚度。用於超低_k介電層^ 的材料可類似於上述步驟22〇中的超低汰介S 132。超低4介電層414可具有小於約3或小於約2 介電常數。 201244006 在特定實施例中,可省略先前在步驟31〇中使用的鈍 化層415。也就是說,在已經移除該等導電材料4〇8之 間的溝槽區域中的第一犧牲介電材料4〇4之後,超低_k 介電層414將直接地沉積在第一介層洞介電層4〇2上方 以間隙填充該等溝槽區域,而不必在導電材料4〇8上選 擇性地形成鈍化層。在此類情況中,超低_k介電層4 i 4 可被往回研磨以暴露下方的導電材料4〇8,接著進行介 電帽蓋層417的沉積,如第4E’圖(替代實施例A)所圖 示。此外或替代地,鈍化層415可存在於導電材料4〇8 上’並且介電巾ΐ蓋層417被形成在純化層415與超低_k 介電層414上。在任一情況中,介電帽蓋層417對於銅 電遷移到可被形成在介電帽蓋層417上的鄰近的介電質 或超低-k介電層内提供有效的阻障。 在一個替代實施例中,在步驟306之後,介電帽蓋層 可共形地被形成在結構上,而覆蓋住導電材料4〇8、自 我形成的氧化錳(MnOx)阻障層413與第一介層洞介電層 402的暴露表面,如第4E’’圖(替代實施例B)所圖示。在 又另一實施例中’在步驟3 10之後,介電帽蓋層417可 共形地被沉積在經選擇性地沉積的純化層4 1 5、自我形 成的氧化猛(MnOx)阻障層413與第一介層洞介電層402 的暴露表面上,如第4E,’’圖(替代實施例C)所圖示。在 任一情況中’介電帽蓋層41 7係被形成為能對於銅電遷 移到鄰近的介電質或超低_k介電層内提供有效的阻障。 於在此所述的各種實施例中,介電帽蓋層41 7可以是任 21 201244006 2介電材科,諸如SiCN、SiN、Sic或Sioc/SiC。在一 申"電帽蓋層4 1 7可被沉積達到約5Α到約200A 的厚度,例如約70人。 2驟314,在超低_k(ULK)介電層414已經被沉積在 金—介層洞介電層402上方之後,第二介層洞介電層416 與第二犧牲介電材料418可依序地被沉積在超低冰介電 層414 i ’如第4F圖所圖示。用於第二介層洞介電層 人與第—犧牲介電材料41 8的材料可分別類似於第一 I θ洞Μ電層402與第一犧牲介電材料4〇4,如先前在 ^驟302所討論者。或者,第二犧牲介電材料418可和 第犧牲介電材料404相同。可依需要重複在步驟 302 3 14中所描述的製程,以延伸單鑲嵌結構中的内連 =所以,儘官第4F圖未圖示,可設想出的是第二犧牲 介電材料418可包括被形成在其中的金屬特徵結構(諸如 銅内連線)。第4G圖圖示可藉由在步驟3〇2_314中所描 述的製程而具有期望圖案與溝槽银刻製程而被建構在超 低-k介電層4 1 4上的示範性單鑲嵌結構。 應瞭解的是如上所述涉及第4A_4E圖(包括第4E,、4E,, 和4E圖)的各種金屬鈍化製程能應用在雙鑲嵌製程。 例如,在步驟212之後且在將導電材料126填充於特徵 、、’。構疋義120内之前,上述步驟3〇4中的阻障/晶種層可 共形地被形成在特徵結構定義12〇的暴露表面上,2作 為後續的銅沉積的晶種層,同時可避免後續的銅原子搪 散到周圍的低_k介電質内。在此類情沉中,在步驟216 22 201244006 =斤述的製程之後(即在已經移除犧牲介電材肖118之 後)’可執行上述步驟308令的後處理製程,以 形成的氧化錳(Mn〇x;)阻# 成自我 遷移阻抗”此外,電性性質(諸如電 叙、. 執仃上述步驟310中的金屬封裝製 ’以選擇性地沉積純化層在導電材料126上、一 步提升銅的表面純化。類似地,上述步驟312中= 帽蓋層的各種實例可被併入到步驟22〇中所描述的製程 内’以提供有效的阻障而避免銅遷移到周圍的層内。 相對於具有低-k介電質損壞問題的傳統製造機制,本 發明製程流程可在鑲嵌製程期間避免金屬線之間的超低 材料暴露於各種損壞製程(諸如㈣、剝除、濕式清 潔、預金屬清潔或CMP製程),而形成具有整合或總介 電常數接近低-k材料的塊閥的鑲嵌結構。在銅填充或犧 牲介電材料移除之前或之後所執行的各種金屬鈍化製程 可進—步提升銅電遷移阻抗並因此改善總元件效能。 儘官上述說明導向本發明的實施例,可在不悖離本發 明的基本範疇下設想出本發明的其他與進一步實施例, 並且本發明的範疇是由隨附的申請專利範圍來決定。 【圖式簡單說明】 可藉由參考本發明的實施例來詳細暸解本發明的上述 特徵’本發明的說明簡短地在前面概述過,其中該些實 施例的一些在附圖中圖示。但是應注意的是,附圖僅圖 23 201244006 示本發明的典型實施例,並且因此附圖不應被視為會對 本發明範臂構成限制,此是因為本發明可允許其他等 實施例。 第1A-1I圖圖示使用第2圖的製程次序的基材在不同 階段期間的示意剖視圖。 第2圖圖示根據本發明的一或更多個實施例用以製造 與蝕刻第1 A-11圖所圖示的雙鑲嵌結構的示範性製程次 序。 第3圖圖示用以製造與蝕刻單鑲嵌結構的示範性製程 次序。 第4A-4G圖圖示使用第3圓的製程次序的基材在不同 階段期間的示意剖視圖。 【主要元件符號說明】 1〇5介電基部層 11 〇底部阻障層 114低-k蝕刻終止層 11 8犧牲介電材料 12 0特徵結構定義 124阻障層 128雙鑲嵌結構 13 1溝槽特徵結構 107導電特徵結構 112第一介層洞介電層 116特徵結構定義開口 11 9硬罩幕材料 1 2 2光阻劑材料層 12 6導電材料 1 3 0阻障層 132超低-k (ULK)介電材料 24 134201244006 200 300 402 406 408 411 413 414 416 418 136犧牲介電層 202-226 步驟 302-314 步驟 404第一犧牲介電材料 407導體層 4 0 9阻障層 第二介層洞介電層 製程次序 製程次序 第一介層洞介電層 特徵結構定義 導電材料 晶種層 自我形成的氧化錳(MnOx)阻障層 超低-k(ULK)介電層 415鈍化層 第二介層洞介電層 417介電帽蓋層 第二犧牲介電材料 25

Claims (1)

  1. 201244006 七、申請專利範圍: 1。 一種用以形成一半導體結構的方法, ^ J ^ ^ 包含以下步驟: 沉積一犧牲介電材料在一介電基部層上方; 形成一鑲嵌特徵結構到該犧牲介電材料與該介 電基部層内; 以一導電材料來填充該鑲嵌特徵結構; 移除該經填充的鑲嵌特徵結構之間的溝槽區域 中的該犧牲介電材料; 以一低-k介電材料來填充該等溝槽區域;及 選擇性地沉積一鈍化層在該導電材料的一頂表 面上’其中該純化層包含姑或銘合金。 2. 如請求項1所述之方法’更包含以下步驟: 在移除該犧牲介電材料之前’共形地形成一阻障 層在該等溝槽區域的暴露表面上。 3 ·如請求項2所述之方法’其中該阻障層包含钽/氮化 组(Ta/TaN)。 4.如請求項3所述之方法,更包含以下步驟: 共形地形成一含鋼層在該Ta/TaN阻障層上。 5·如請求項4所述之方法’其中該含銅層包含一銅錳 (CuMn)合金。 26 201244006 6·如靖求項5所述之方法,更包含以下步驟: 使該鋼錳(CuMn)合金經歷一熱處理製程,以形成 自我形成的氧化錳(MnOx)層。 7. ^晴求項6所述之方法,其中該熱處理製程包含一電 漿處理 '—退火製程、一熱氧化製程或上述的組合。 8. 如晴求項6所述之方法,其中在移除該犧牲介電材料 的期間或之前執行該熱處理製程。 9. 種用以形成一半導體結構的方法,包含以下步驟: 沉積一第一介層洞介電層在一介電基部層上方; 况積一第一犧牲介電材料在該第一介層洞介電 層上方; ^形成期望的溝槽圖案到該第一犧牲介電材料與 該第—介層洞介電層内; 共形地沉積一導體層在該等溝槽圖案的暴露表 面上; ^ U —導電材料來填充該等溝槽圖案,並且平坦化 該導電材料以暴露該第一犧牲介電材料; 從該經填充的溝槽圖案之間的區域中移除該犧 牲介電材料;及 乂 超低-k介電材料來填充該經填充的溝槽圖 27 201244006 案之間的該等區域。 1 〇.如請求項9所述之方法,其中該導體層包含一銅猛 (CuMn)合金。 11.如請求項9所述之方法,其中該導體層包含一阻障層 與被沉積在該阻障層上的一晶種層。 12·如請求項n所述之方法,其中該阻障層選自從鈕、 氮化組、氮矽化钽、鈦、氮化鈦、氮矽化鈦、氮化鎢、 化妙、氮化釕、上述的衍生物、上述的合金與上述 的組合構成的群組。 1 3 .如請求項11所述之方法,其中該阻障層是一钽/氮化 钽(Ta/TaN)雙層。 14. 如請求項丨丨所述之方法,其中該晶種層包含一銅錳 (CuMn)合金。 15. 如請求項14所述之方法,其中該銅錳(CuMn)合金在 一氧化大氣中被熱處理以形成一氧化錳(MnOx)層。 1 6.如請求項9所述之方法,更包含以下步驟: 在移除該犧牲介電材料之後’選擇性地沉積一鈍 28 201244006 化層在被填充在該等溝槽圖案内的該導電材料上。 17.如請求項16所述之方法,其中該鈍化層包含鈷或一 銘合金。 18.如請求項17所述之方法,更包含以下步驟: 共形地形成一介電帽蓋層在該鈍化層上,該介電 帽蓋層包含 SiCN、SiN、SiC 或 SiOC/SiC。 19·如請求項18所述之方法,其中該第一介層洞介電層 /、4 ;|電基部層包含一介電常數介於約2與約$之間 的ϋ介電材料’並且該超低_k介電材料具有小於 約3的一介電常數。 20.如請求項 和該第 19所述之方法中該犧牲介電材料包含 介層洞介電層相同的材料。 29
TW101110408A 2011-03-31 2012-03-26 具有減少低-k介電質損壞的鑲嵌結構之製程 TWI660457B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161470386P 2011-03-31 2011-03-31
US61/470,386 2011-03-31
US13/174,621 2011-06-30
US13/174,621 US8951911B2 (en) 2011-03-31 2011-06-30 Process for damascene structure with reduced low-k damage

Publications (2)

Publication Number Publication Date
TW201244006A true TW201244006A (en) 2012-11-01
TWI660457B TWI660457B (zh) 2019-05-21

Family

ID=56080492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101110408A TWI660457B (zh) 2011-03-31 2012-03-26 具有減少低-k介電質損壞的鑲嵌結構之製程

Country Status (3)

Country Link
US (1) US8951911B2 (zh)
KR (1) KR101606178B1 (zh)
TW (1) TWI660457B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI825770B (zh) * 2022-03-30 2023-12-11 南亞科技股份有限公司 具有複合阻障結構的半導體裝置及其製備方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224643B2 (en) * 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US9129967B2 (en) * 2012-11-05 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device having a copper interconnect
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US9130022B2 (en) * 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (BEOL) fabrication, and devices formed by the method
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9570341B2 (en) 2014-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9496224B2 (en) 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
KR102282195B1 (ko) 2014-07-16 2021-07-27 삼성전자 주식회사 저항 구조체를 갖는 반도체 장치의 제조 방법
US9224686B1 (en) 2014-09-10 2015-12-29 International Business Machines Corporation Single damascene interconnect structure
US9870994B2 (en) 2014-09-17 2018-01-16 United Microelectronics Corp. Semiconductor device and method for fabricating the same
EP3029724B1 (en) 2014-12-01 2017-06-07 IMEC vzw Metallization method for semiconductor structures
US9799558B2 (en) * 2015-11-16 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
KR20170110332A (ko) 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP3236494B1 (en) 2016-04-18 2018-09-26 IMEC vzw Method for producing an integrated circuit including a metallization layer comprising low k dielectric material
KR102647695B1 (ko) 2016-08-12 2024-03-14 삼성디스플레이 주식회사 트랜지스터 표시판 및 그 제조 방법
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US20180130705A1 (en) * 2016-11-07 2018-05-10 Corning Incorporated Delayed Via Formation in Electronic Devices
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
CN113571466B (zh) * 2020-04-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
EP4248491A1 (en) * 2020-11-17 2023-09-27 Corning Incorporated Semiconductor electronic devices including sidewall barrier layers and methods of fabricating the same
US11749602B2 (en) * 2020-11-17 2023-09-05 International Business Machines Corporation Topological semi-metal interconnects

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455425B1 (en) * 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US6448177B1 (en) * 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US7169701B2 (en) * 2004-06-30 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene trench formation to avoid low-K dielectric damage
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
WO2008094792A1 (en) 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US7955968B2 (en) * 2009-03-06 2011-06-07 Freescale Semiconductor, Inc. Pseudo hybrid structure for low K interconnect integration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI825770B (zh) * 2022-03-30 2023-12-11 南亞科技股份有限公司 具有複合阻障結構的半導體裝置及其製備方法

Also Published As

Publication number Publication date
KR101606178B1 (ko) 2016-03-24
TWI660457B (zh) 2019-05-21
US8951911B2 (en) 2015-02-10
US20120252206A1 (en) 2012-10-04
KR20120112232A (ko) 2012-10-11

Similar Documents

Publication Publication Date Title
TW201244006A (en) Process for damascene structure with reduced low-k damage
US9941199B2 (en) Two step metallization formation
TWI316739B (en) Methods of forming dual-damascene metal wiring patterns for integrated circuit devices and wiring patterns formed thereby
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
KR100482180B1 (ko) 반도체 소자 제조방법
CN100442474C (zh) 制造半导体器件的方法
US7051934B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
KR101578166B1 (ko) 금속 컨택과 상호 접속부 사이에 캐핑층을 가진 집적회로 및 그 제조 방법
TWI246730B (en) A novel nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US8134234B2 (en) Application of Mn for damage restoration after etchback
TWI389252B (zh) 互連結構與其製造方法
TWI248647B (en) Semiconductor device and method for manufacturing the same
TW200805563A (en) Process for producing semiconductor integrated circuit device
KR20040003232A (ko) 반도체 소자의 다층 배선 형성방법
TWI228794B (en) Method of selectively making copper using plating technology
US9911698B1 (en) Metal alloy capping layers for metallic interconnect structures
US10672653B2 (en) Metallic interconnect structures with wrap around capping layers
US8980745B1 (en) Interconnect structures and methods of forming same
JP2011003883A (ja) 半導体装置の製造方法
CN103094184B (zh) 一种铜互连结构的制造方法
US20130217225A1 (en) Method for manufacturing semiconductor device
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
US20230045140A1 (en) Barrier Schemes for Metallization Using Manganese and Graphene

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees