TW201230223A - Method and system for providing tool induced shift using a sub-sampling scheme - Google Patents

Method and system for providing tool induced shift using a sub-sampling scheme Download PDF

Info

Publication number
TW201230223A
TW201230223A TW100134855A TW100134855A TW201230223A TW 201230223 A TW201230223 A TW 201230223A TW 100134855 A TW100134855 A TW 100134855A TW 100134855 A TW100134855 A TW 100134855A TW 201230223 A TW201230223 A TW 201230223A
Authority
TW
Taiwan
Prior art keywords
sampling
values
sub
wafer
stack
Prior art date
Application number
TW100134855A
Other languages
English (en)
Other versions
TWI445112B (zh
Inventor
Pavel Izikson
Guy Cohen
Original Assignee
Kla Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp filed Critical Kla Tencor Corp
Publication of TW201230223A publication Critical patent/TW201230223A/zh
Application granted granted Critical
Publication of TWI445112B publication Critical patent/TWI445112B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

201230223 六、發明說明: 【發明所屬之技術領域】 本發明大體而言係關於一種用以提供跨越一半導體表面 之系統偏移(TIS)值的方法及系統,且更特定而言,一種用 以產生及執行與一内插程序一致之一半導體晶圓之一 TIS 次取樣方案的方法及系統。 本申請案係關於且依據35 USC § 119(e)主張來自以下所 列申請案(「相關申請案」)之最早可用有效申請曰期之權 益(例如,主張除臨時專利申請案以外之最早可用優先權 曰期或主張臨時專利申請案、相關申請案之任一及所有父 代申請案、祖父代申請案、曾祖父代申請案等之權益)。 出於USPTO額外法定要求之目的,本申請案構成指定 Pavel Izikson作為發明人於2010年9月30日申請之申請案序 列號為 61/388,427 且標題為 METHOD TO REDUCE NUMBER OF MEASUREMENT POINTS USED FOR TIS CORRECTION之美國臨時專利申請案之一正式(非臨時)專 利申請案。 【先前技術】 隨著半導體裝置及組件之尺寸繼續減小,對一給定樣本 之各種層或一單個層内之特徵之間的增加之對準控制之需 要將繼續增加。在半導體處理之上下文中,可藉由在一基 板上製作一系列層來生產基於半導體之裝置,某些或所有 層包含各種結構。在一單個層内及相對於其他層中之結構 兩者之此等結構之相對位置對裝置之效能而言至關重要。 158870.doc 201230223 半導體製作製程之實例包含(但不限於)化學機械拋光 (CMP)、蝕刻、沈積及離子植入。可將多個半導體裝置製 作於一單個半導體晶圓上之一配置中且然後將其分離成個 別半導體裝置。 在一半導體製造製程期間之各種步驟處使用度量衡方法 來監視並控制一或多個半導體層製程。舉例而言,使用度 望衡方法來量測一晶圓之一或多個特性,諸如在一製程步 驟’月間形成於該晶圓上之特徵之尺寸(例如,線寬、厚度 等),其中可藉由量測該一或多個特性來判定該製程步驟 之品質。一種此類特性包含疊對誤差。 一登對量測通常指定一第一經圖案化層相對於安置於; 上面或下面之一第二經圖案化層對準的準確程度或一第一 圖案_於安置於相同層上之一第二圖案對準的準確毛 度。豐對誤差通常係藉助具有形成於—卫件(例如,半驾 曰圓)之或多個層上之結構之一疊對目標來判定。充 疋半導體裝置之層或圖案未恰當形成,則一個層或圖 ^之結構往往相對於p層或圖案上之結構偏移或誤對 準。半導體積體電路製造之不同階段處所使用之任何圖案 之間的誤對準稱為「#對誤差」。 ” 力日日圓 < -經量測特性(諸⑹’疊肖誤差)係不可 個二生列如’超出該特性之—預定範圍),則可使用-或多 個特性之量測來變 夕 _造之額外晶圓具:二:參數以使得藉由該 …誤差之情形下,可使用-疊對量測來校正-微影 158870.doc 201230223 製程以使疊對誤差保持在所期望範圍内。舉例而言,可將 疊對量測料至計算可由操作者制⑽佳對準晶圓處理 中所使用之微影工具之「可校正值」及其他統計值之一分 析常式中。 在一般意義上,度量衡應用(諸如,疊對量測)需要高品 質光學器件以滿足先進微影製程之要求。在疊對度量衡之 情形下,-實施系統之光學組件之光學不完全性(例如, 像差)可導致系統偏移⑽)。以此方式,—光學系統之光 學不完全性可致使所量測疊對相對於實際疊對之—偏移。 舉例而言,存在於一度量衡之—光學柱中之光學像差可導 致抓。TIS之標準量測涉及量測第一位置處之疊對且然後 將晶圓旋轉180度且重複該疊對量測。 然而,存在使用度量衡方法及工具來量測一晶圓之一或 多個特性以用於程序監視及控制之應用存在若干個缺點。 舉例而言,特定而言與檢測系統相比,大多數度量衡工且 相對慢。因此,度量衡方法通常係在晶圓上之一個位以 =數目個位置處執行以使得可以—相對適宜之方式獲得 度里衡結果。然而,用於制;生主道遍# 曰^ 用於製導體裝置之諸多製程生產 :、二跨越晶圓之表面變化之特性之晶圓。如此,使用在一 個位置或有限數目個位置處執行之度量衡量測 及批供關於晶圓之特性之^夠資訊以使得可準確監視 旦^此’度量衡方法之取樣計劃可明顯影響度 里衡結果之意義性及有用性。 在一實際意義上 ’所有光學度量衡系統皆產 生達某一程 I58870.doc 201230223 度之系統偏移。如此,在半導體裝置製作處理期間必須校 正TIS,從而導致增加的處理時間及成本。此等低效率因 一單個TIS量測需要兩個疊對量測(零度晶圓定向處之一第 一疊對量測及180度定向處之一第二疊對量測)之事實而增 加。 因此,可期望提供一種方法及/或系統,該方法及/或系 統提供一更高效TIS取樣方案,從而允許對一選定晶圓之 較少量測’同時藉由利用一近似方法來提供關於未經量測 取樣位置之適當TIS資訊而減輕量測資訊之損失。 【發明内容】 本發明揭示一種用以提供跨越一半導體表面之系統偏移 值之方法。在一項態樣中,方法可包含(但不限於):經由 一全知取樣程序量測一批晶圓中之至少一個晶圓上之系統 偏移(丁1 S)其中δ亥全知取樣程序包含量測該至少一個晶圓 之每一場之每一量測位置處之TIS;隨機產生複數個次取 樣方案中該冑次取樣方t中之每一者中欲㈣之場之 數目係預選的,隨機產生之次取樣方案集合中之每一者具 有相同數目個取樣場’次取樣方案之數目係'預選的;量測 該等隨機產生之次取樣方案中之每一者之每一位置處之 Tis,利用來自該等隨機產生之次取樣方案中之每一者之 該等TIS量測來近似該等隨機產生之次取樣方案中之每一 者之TIS值集合,其中利用經組態以利用在一隨機產生 之人取樣方案之每一位置處所量測之該TIS來近似未包含 於該隨機產生之次取樣方案中之每一位置之一 TIS值之一 158870.doc 201230223 内插程序來計算該等隨機產生之次取樣方案中之每一者之 每一 TIS值集合;及藉由比較該等所計算TIS值集合中之每 一者與該全知取樣程序之該所量測TIS來判定一選定次取 樣方案’其中該次取樣方案包含該至少一個晶圓之一量測 位置集合。 在另一態樣中’ 一方法可包含(但不限於):產生 偏移(TIS)次取樣方案,其中利用一或多個統計準則、選定 數目個取樣位置及跨越一半導體晶圓表面之TIS相依性之 一選定模型類型來界定該TIS次取樣方案,其中該TIS次取 樣方案包含該半導體晶圓之一量測位置集合;藉由量測該 所產生之TIS次取樣方案之該等量測位置中之每一者處之 TIS來判定一第一TIS值集合;及藉由利用一内插程序來近 似未包含於該所產生之TIS次取樣方案中之一位置集合中 之每一者之TIS來判定一第二TIS值集合,其中該内插程序 利用該第TIS值集合以計算未包含於該所產生之TIS次取 樣方案中之5亥位置集合中之每一者之一所近似之值。 在另一恶樣中,一方法可包含(但不限於广經由一全知 取樣序纟里測一第一晶圓定向處之—.比晶圓中之至少一 個B曰圓上之璺對,其中該全知取樣程序包含量測該至少一 :晶圓之每一場之每一量測位置處之疊對;藉由識別具有 介於一第一疊對值與一第二疊對值之間的一疊對值之一量 測位置集合來產生—次取樣方案其中該次取樣方案包含 忒至少-個晶圓之一量測位置集合;量測相對於該次取樣 案之。亥等里測位置中之每一者處之該第—晶目定向旋轉 158870.doc 201230223 180度之一第二晶圓定向處之該至少一個晶圓上之疊對; 利用在該第一晶圓定向處所量測之該疊對及在相對於該第 一晶圓定向旋轉180度之該第二晶圓定向處所量測之該疊 對來判定該次取樣方案之該量測位置集合之一第一系統偏 移(TIS)值集合;及藉由利用一内插程序來近似未包含於該 所產生之次取樣方案中之該至少一個晶圓之一量測位置集 合中之每一者之TIS來判定一第二TIS值集合,其中該内插
程序利用該第一TIS值集合以計算未包含於該所產生之TIS 次取樣方案中之該位置集合中之每一者之一所近似之TIS 值。 在另一態樣中,一方法可包含(但不限於):經由一全知 取樣程序來量測一第一晶圓定向處之一批晶圓中之至少一 個晶圓上之疊對,纟中該全知取樣程序包含量測該至少一 個晶圓之每一場之每一量測位置處之疊對;利用經由該全 知取樣程序所量測之該疊對之-或多個結果來產生一第一 處理工具可校正值集合’其中該第一處理工具可校正值集 合包含針對該至少—個晶圓之每—場之每—量測位置所計 异之-處理工具可校正值’彡中使用該至少一個晶圓之所 有量測位置之該所量測疊對來計算與該至少—個晶圓之一 經分析量測位置相關聯之該第一處理工具可校正值集合中 之處理工具可奴正值;利用經由該全知取樣程 =疊對之-或多個結果來產生—第二處理工具可校= '口其中邊第二處理工具可校正值集合包含針對該至少 -個晶圓之每-場之每—量測位置所計算之—處理工具可 158870.doc -9- 201230223 杈正值’其中使用該至少一個晶圓的除一經分析量測位置 外之所有量測位置之該所量測疊對來計算與該至少一曰 〆 丨*—^ θ日 圓之該經分析量測位置相關聯之該第二處理工具可校正值 集合中之一處理工具可校正值;藉由比較該第一所產生之 處理工具可校正值集合與該第二所產生之處理工具可校正 值集合來產生一次取樣方案,其中該次取樣方案包含一量 測位置集合,其中該次取樣方案之該量測位置集合包含選 定數目個次取樣量測位置,其中具有該第一所產生之處理 工具可校正值集合與該第二所產生之處理工具可校正值集 合之間的最大差的該至少一個晶圓之該選定數目個量測位 置形成該次取樣方案之該量測位置集合;量測在相對於該 所產生之次取樣方案之該等量測位置中之每一者處之該第 一晶圓定向旋轉180度之一第二晶圓定向處之該至少一個 晶圓上之疊對;利用在該第一晶圓定向處所量測之該疊對 及在相對於該第一晶圓定向旋轉180度之該第二晶圓定向 處所量測之該疊對來判定該次取樣方案之該次取樣量測位 置集合之一第一系統偏移(TIS)值集合;及藉由利用一内插 程序來近似未包含於該所產生之次取樣方案中之該至少一 個晶圓之-位置集合中之每—者之TIS來判定—第二叫 集合’其中該内插程序利用該第—TIS值集合以計算未_ 含於該所產生之TIS次取樣方案中之該位置集合中之每_ 者之一所近似之TIS值。 兩者皆僅為例示 併入本說明書中 應理解,前述大體闡述及以下詳細闡述 性及解釋性且不必限制所請求之本發明。 158870.doc •10- 201230223 並構成本說明書之一部分的附圖圖解說明本發明之實施 例’並與該大體闡述一起用於解釋本發明之原理。 【實施方式】 熟習此項技術者可藉由參考附圖而較佳理解本發明之眾 多優點。 現在將詳細參考圖解說明於隨附圖式甲之所揭示之標的 物。 大體參考圖1A至圖7,根據本發明闡述用以提供跨越一 半導體表面之系統偏移值的一方法及系統。採用與一或多 個内插程序組合之一系統偏移(TIS)次取樣方案可改良半導 體晶圓TIS判定及後續處理工具校正。習用地,一半導體 晶圓之固定位置處實施用於判定ns之疊對度量衡。本發 明係針對用以提供制與—内插程序(例如,小波分析、 仿樣内插、多Μ内插或神經網路内插)相—致之一次取 樣方案(例如’經最佳化 '經增強或經簡化度量衡取樣方 案)之跨越-半導體表面之系統偏移值的—方法及系統。 该内插程序允許一經測試半導體晶圓之未經量測場處之 s之近似。由於次取樣方案連同内插程序,較少量測位 二_允》午使用者以可接受方式更高效地收集準確 TIS資Λ,藉此增加一半導體製作製程之生產量。 如本發明通篇所使用,術語「晶圓」通常指代由一半導 體或非半導體材料形成之一基板。舉例而言,一半導體或 銦…f材料可包含(但不限於)單晶石夕、石申化鎵及麟化 B曰圓可包含一或多個層。舉例而言,此等層可包含 (仁不限於)—抗蝕劑、一電介質材料、一導電材料及一半 158870.doc -11- 201230223 導電材料。諸多不同類型之此等層在此項技術令為人所習 的且本文_所使用之術語晶圓意欲囊括於其上可形成 所有類型之此等層之一晶圓。 型半導體處理包含按批進行之晶圓處理。如本文中 所使用,一「批」係一起經處理之晶圓之一群組(例如Μ 個曰s圓组)。3亥批令之每一晶圓包括藉助微影處理工具 (例如’步進Is、掃描器等)產生之諸多曝露場。每一場内 :存在多個晶粒。一晶粒係最終變成一單個晶片之功能性 單元。在產品晶圓上,疊對度量衡標記通常置於劃線區域 中(舉例而言’在該場之4個拐角中)。此係通常繞該曝露場 之周界(及在該晶粒外部)無電路之一區。在某些例項中, 將疊對目標置於係介於晶粒之間但不在該場之周界處之區 之道中。置於產品晶圓上在主要晶粒區域内之疊對目標係 相當罕見’此乃因電路特別需要此區域。然而,工程:特 性化晶圓(非產品晶圓)通常貫穿不涉及此等限制之該場之 中心具有諸多疊對目標。由於「劃線」度量衡標記盘主要 晶粒電路之間的空間分離’因此在產品晶圓上所量測之處 ^需要最佳化之處之間存在差異。需要劃線度量衡標記及 其解釋兩者之進步。 形成於-晶圓上之-或多個層可經圖案化或未經圖案 化。舉例而言,一晶圓可包含複數個晶粒,每—晶粒且有 可重複之經圖案化特徵。此等材料層之形成及處理可最終 產生完整裝置。可在一晶圓上形成諸多不同類型之裝置, 且如本文中所使用之術語晶圓意欲囊括於其上製作:項技 術中所習知之任一類型之裝置之一晶圓。 158870.doc -12· 201230223 圖2圖解說明用以提供使用具有智慧型内插之一次取樣 方案之跨越一半導體表面之系統偏移值的—系統 200。在一項實施例令,系統2〇〇可包含一度量衡系統 202,諸如經組態以在半導體晶圓之識別位置處執行疊對 度量衡或CD度量衡之一度量衡系統。度量衡系統2〇2可包 含此項技術中所習知之任一適當度量衡系統。舉例而言, 度量衡系統202可包含經組態以對一半導體晶圓2〇4執行系 統偏移(TIS)量測之一度量衡系統2〇5。TIS可經界定為: 瓜=〇帆⑽。)+帆(〇。)](方程式1}
其中OVL(0。)表示一第一位置處所量測之疊對且〇VL (18 0。)係在樣本相對於該第一位置旋轉丨8 〇度之後之所量測 疊對。 在此心義上,度量衡系統2〇5可經組態以量測晶圓2〇4之 集口里測位置之疊對誤差。然後,在使晶圓2〇4旋轉刚 度之後,度量衡系統205可再次量測相同量測位置集合處 之疊對誤差。可將該等疊對量測傳輸至電腦系統2〇6且可 利用與以上方程式1相—致之—演算法來計算T】§。 在進步實施例中,度量衡系統2〇2可經組態以接受 來自系、.先200之另一子系統之指令以實施一指定度量衡計 」+例而。,度里衡系統2〇2可接受來自系統2〇〇之一或 夕個電系滅2〇6之指令。在接收來自電腦系統施之指令 後度里衡系統202可在所提供指令中所識別之半導體晶
S 158870.doc -13- 201230223 圓204之各種位置處執行疊對度量衡。如本文中將進一步 更詳細論述,由電腦系統208提供之指令可包含一次取樣 方案,可將其輸入至度量衡工具202(例如,度量衡工具 205)中以量測一半導體晶圓204上之可用量測位置之一選 定子集之TIS。 在一項態樣中,系統200之一或多個電腦系統206可經組 態以基於利用使用者輸入之數目個量測位置、一使用者輸 入之跨越晶圓204之TIS之模型(例如,基於多項式之模型) 及一選定最佳準則(例如,A最佳性、B最佳性、D最佳性 以及諸如此類)所判定之一最佳取樣方案而產生一次取樣 方案。 在一項實施例中,一或多個電腦系統206可經組態以接 收經由系統200之一使用者介面(未展示)輸入之使用者選定 數目個次取樣位置及一使用者選定之TIS模型類型。一或 多個電腦系統206可進一步經組態以使用一最佳設計演算 法212來計算一 TIS次取樣方案。在此意義上,最佳設計演 算法212利用所輸入之數目個取樣位置及TIS模型類型以判 定相對於一選定統計準則(例如,A最佳性、B最佳性、D 最佳性及諸如此類)集合而經最佳化之次取樣方案。 在另一態樣中,系統200之一或多個電腦系統206可經組 態以基於一第一批之一測試晶圓之一全知取樣之一分析而 產生一次取樣方案。在一項實施例中,一或多個電腦系統 206可經組態以接收在一測試批之一或多個晶圓之一全知 取樣程序中由度量衡系統202(例如,度量衡系統205)執行 158870.doc -14- 201230223 之一量測集合 一或多個電腦系統206可進一步經組態以 使用來自一全知取樣程序之所接收量測來計算一 TIS值集 合。一或多個電腦系統2〇6可經組態以使用一隨機次取樣 演算法214來隨機產生一晶圓之多個量測位置集合(例如, 跨越晶圓、跨越個別場,或兩者),其中一使用者輸入每 一次取樣方案之大小(亦即,每一方案之量測位置之數目) 及次取樣方案之數目。使用此等隨機選定之位置,電腦系 統206可然後藉由將自多個TIS次取樣方案中之每一者之位 置所取之所量測TIS值輸入至一内插演算法來計算跨越一 整個晶圓及/或場之多個經建模之TIS集合。内插演算法可 然後近似跨越該晶圓及/或該晶圓之場(其未包含於該等次 取樣方案中之每一者之隨機選定之取樣位置集合中)之 tis。然後,電腦系統206可使用經建模之tis集合(亦即, 經内插且自隨機位置選擇所取之值)來與全知取樣程序^ 所獲得之tis值集合相比較。電腦系統2()6可然後藉由判; β等次取樣方案中之哪—者最佳地使經建模之τι§與經e 全知取樣所獲得之所量測之TIS之間的差異最小化而判^ 一較佳次取樣方案。在另—實施財,電腦系統20叫 由判定該等次取樣方案中之哪一者使經建模之爪與經^ 全知取樣所獲得之所量測之TIS之間的差異減小低於—驾 疋臨限位準而判定一次取樣方案。 △在另-祕中,系統200之-或多個電腦系統⑽可經組 態以藉由使用臨界度量演算法216來識別在—第—疊對值 與-第二疊對值之間的一苐一旋轉定向(亦即,零幻處具
S 158870.doc -15- 201230223 有一疊對值之一量測位置集合而產生一次取樣方案。在一 項實施例中,系統200可識別顯示最大疊對值之;^個量測位 置。在另一實施例中,系統2〇〇可識別顯示最小疊對值之N 個里測位置。在一額外實施例中,系統2〇〇可識別顯示介 於一第一疊對位準與一第二疊對位準之間的疊對值之1^個 量測位置。系統200可然後使用所識別之次取樣方案來量 測在該次取樣方案之位置中之每一者處之18〇度處之疊 對。電腦系統206可然後計算該次取樣方案之量測位置中 之每一者之TIS。此外,利用一經預程式化演算法,可經 由由系統200之一或多個電腦系統2〇6實施之一或多個内插 程序來近似未包含於該次取樣方案中之量測位置之耵^。 在另一態樣中’系統200之一或多個電腦系統2〇6可經組 態以藉由使用臨界度量演算法2丨6來識別對一處理工具可 杈正值集合具有最大影響之一量測位置集合而產生一次取 樣方案。系統200可然後使用所識別之次取樣方案來量測 在該次取樣方案之位置中之每一者處之180度處之疊對。 電腦系統206可然後計算該次取樣方案之量測位置中之每 一者之TIS。此外’利用一經預程式化演算法,可經由由 系統200之一或多個電腦系統2〇6實施之一或多個内插程序 來近似未包含於該次取樣方案中之量測位置之TIS。 應認識到’ 一單電腦系統206或(另一選擇為)一多電腦 系統206可實施本發明通篇所闡述之各種步驟。此外,系 統200之不同子系統(諸如,度量衡系統202)可包含適於實 靶以上所闡述之步驟之至少一部分之一電腦系統。因此, 158870.doc 201230223 以上闡述除了僅係一圖解說明外不應解釋為對本發明之一 限制。此外,一或多個電腦系統206可經組態以執行本文 中所闡述之方法實施例中之任一者之任何其他步驟。 在另一實施例中,一或多個電腦系統206可然後將指示 所產生之次取樣方案(諸如以上所闡述之彼等次取樣方 案)之指令傳輸至量測系統202(例如,度量衡系統2〇5)。此 外,電腦系統206可經組態以根據本文中所闡述之實施例 中之任一者而產生取樣方案。 在另一實施例中,一或多個電腦系統2〇6可將指示基於 所量測之疊對及TIS之一處理工具可校正值集合之指令傳 輸至一或多個處理工具。此外,所傳輸指令可含有指示疊 對可校正值、焦點可校正值及劑量可校正值之資訊。此 外,一或多個電腦系統206可經組態以執行本文中所闡述 之方法實施例中之任一者之任何其他步驟。 在另一實施例中,電腦系統206可以此項技術中所習知 之任方式以通仏方式耦合至度量衡系統2〇2或另一處理 工具。舉例而言,一或多個電腦系統2〇6可耦合至一度量 衡系統202之一電腦系統(例如,一度量衡系統2〇5之電腦 系統)或耦合至一處理工具之一電腦系統。在另一實例 中’度量衡系統202及另一處理工具可由_單電腦系統控 制。以此方式,系統200之電腦系統2〇6可耦合至一單個度 量衡_處理工具電腦系統。此外’系統2〇。之電腦系統2二 可經組態以藉由可包含纜線及/或無線部分之一傳輸媒體 自其他系統接收及/或獲得資料或資訊(例如,來自一檢測 158870.doc -17· 201230223 系統之檢測結果,來自一度量衡系統之度量衡結果或自諸 如KLA-Tencors KT分析器之一系統計算之處理工具可校正 值)。以此方式’傳輸媒體可用作電腦系統2〇6與系統2〇〇 之其他子系統之間的一資料鏈路。此外,電腦系統2〇6可 經由一傳輸媒體來將資料發送至外部系統。舉例而言,電 腦系統206可將一所產生之次取樣方案或處理工具可校正 值集合發送至獨立於所闡述系統2〇〇而存在之—單獨度量 衡系統。 電腦系統206可包含(但不限於)_個人電腦系統、主機 電腦系統、工作站、影像計算機、平行處理器或此項技術 中所習知之任一其他裝置。一般而言,術語「電腦系統」 可經廣泛界定以囊括具有一或多個執行來自一記憶體媒體 之指令之處理器之任一裝置。 用以在一電腦系統之上下文中使用疊對量測來產生且提 供一經最佳化取樣方案的一方法及系統大體闡述於在加⑽ 年4月22日提出申請之第12/1〇7,346號美國專利申請案中, 且將其以引用之方式併入本文中。 可將實施諸如本文中所闡述之彼等方法之方法之程式指 令210在載體媒體208上傳輸或儲存於載體媒體上。該 載體媒體可係一傳輪媒體’諸如一有線、纜線或無線傳輸 鏈路。該載體媒體亦可包含諸如一唯讀記憶體、一隨機存 取記憶體、-磁碟或光碟或—磁帶之—儲存媒體。 圖2中圖解說明之系統2〇〇之實施例可如本文中所闊述進 一步經組態。另外’系統可經組態以執行本文中所闡 158870.doc •18· 201230223 述之該(等)方法實施例中之任一者之任何其他步驟。 圖3係圖解說明用以提供使用具有智慧型内插之一次取 樣方案之跨越一半導體表面之系統偏移值之一方法中執行 之步驟之—流程圖。在一項態樣中,認識到處理流程300 中之資料處理步驟可經由藉由電腦系統2〇6之一或多個處 理器執行之一經預程式化演算法實施。然而,熟習此項技 術者應認識到,系統200不應解釋為對方法300之一限制, 此乃因預期各種系統組態可實施處理流程300。 在一第一步驟302中,可使用一全知取樣程序來對一第 批曰曰圓中之至少一第一晶圓執行一第一系統偏移(TIS)量 測。β亥全知取樣程序可包含量測該批晶圓中之該第一晶圓 之每一量測位置處之一或多個TIS值。舉例而言,全知取 樣私序可包含量測第一批晶圓中之該第一晶圓之每一場之 每里測位置處之TIS(例如,量測〇度及丨8〇度處之疊對並 計算TIS)。 4方法包含在一批晶圓中之一或多個晶圓上之所有量測 點處對該一或多個晶圓執行TIS量測。此步驟在本文中稱 為「全知取樣」。如圖丨A中所示,在一項實施例中,所有 量測點包含一或多個晶圓1〇2上之所有場1〇4。舉例而言, 如圖1A中所示,晶圓1〇2具有形成於其上之多個場1〇4。儘 官圖1A中展示晶圓1〇2上之特定數目及配置之場1〇4,但晶 圓上之場之數目及配置可依據(舉例而言)形成於晶圓上之 裝置而變化。可在形成於晶圓102上之所有場104處及至少 一個批中之其他晶圓上所有場處執行量測。舉例而言,形 158870.doc -19· 201230223 成於至少一個批中之所有晶圓上之每場可執行量測至少一 次。可對形成於該等場中之裝置結構及/或形成於該等場 中之测試結構執行量測。另外,在場中之每一者中執行之 量測可包含度量衡方法期間執行之所有量測(例如,一或 多個不同量測)。 隹乃—實施例 王沖糾保狂斤,丨里閃及所有重測 點可包含至少-個批中之一或多個晶圓上之所有目標。舉 例而言,如圖以中戶斤示,形成於一晶圓1〇2上之場1〇4可包 含目標106。儘管圖⑺中展示場104中之特定數目及配置之 目標106,但場104中之日庐,〇。 _ 之目私106之數目及配置可依據(舉例 而言)形成於晶圓102上之裝置而變化。目標咖可包含裝 置,構及/或測試結構。因此,在此實施例中,可對形成 :% 104中之所有目標1〇6執行量測。另外形成於場 = 中之每一目標可執行量測至少—次。量測亦可包含度 里衡方法期間執行之所有量測(例如,一或多個不同量 1) Μ此項技術者應認識到,圖1Α及圖ιβ之目標1〇6及 % 1 04並非按比例緣示, 乃因出於闡明之目的該等場及 目“係相對於晶圓1〇2而擴大。 實施例中’所有量測點包含至少一個批中之晶圓 上之所有場及所有目標。舉 々曰门 ^而呂’經展示形成於圖1Α中 之日日圓1〇2上之場1〇4中之每— ,, 者可包含一或多個目標,諸 如圖1B中所示之目標1〇6 + 可對形成於至少一個批 中之日日固102中之每一者上 in. , 野1〇4_之每一者中之目標 106中之母一者執行量測。 158870.doc -20- 201230223 在一第二步驟304中,可隨機產生多個次取樣方案。在 一項態樣中’次取樣方案中之每一者之取樣位置之數目 (Ν)可由一使用者預選,而彼等取樣位置之位置可係隨機 產生。在一項實施例中,多個次取樣方案之取樣位置(例 如’晶圓内之位置或晶圓之每一場内之位置)可經由一蒙 地卡羅(Monte Carlo)模擬方法而隨機產生。在另一實施例 中,在次取樣方案中欲取樣之取樣位置之數目可經預選以 歸屬於次取樣位置之一範圍内。舉例而言,一使用者可選 擇最小及/或最大數目個欲取樣之取樣位置。然後,在選 擇取樣位置之數目或取樣位置之範圍之後,一相關聯電腦 系統可隨機選擇次取樣方案中之每一者之次取樣位置之位 置。在一進一步實施例中,一電腦系統可隨機選擇後續處 理步驟中欲分析之多個場集合及該等選定場内之量測位 置在另一態樣中,隨機產生之次取樣方案之數目(μ)可 由一使用者預選。舉例而言, 個隨機產生之次取樣方案。 一使用者可預選以產生1000 在一項實施例中 次取樣方案中之每一者可包含晶圓之
158870.doc 包含總數目個量測點(例如,疊對目標)
S -21 ^ 201230223 中之一子集。 在另-實施例中’一使用者可選擇一額外約束。舉例而 言’可能需要由隨機產生之次取樣方案之取樣位置之位置 形成之圖案以具有一選定之空間對稱,諸如18〇。或9〇。旋 轉對稱。 在一第三步驟306中,可在於步驟304中所產生之隨機產 生之认取樣方案中之每一者之每一位置處量測tis ◎應注 意’用於表徵與隨機產生之次取樣方案之量測位置相關聯 之TIS之量測程序闡述於302之全知取樣步驟中。 在一第四步驟308中,可經由一内插程序來產生關於隨 機產生之次取樣方案中之每一者之未包含於該等次取樣方 案中之位置之一TIS值集合。以此方式,一内插程序可應 用於步驟304之隨機選定之量測位置中之每一者以產生關 於未包含於隨機產生之次取樣方案中之位置之一所近似之 TIS值集合。舉例而言,針對隨機產生之次取樣方案中之 每一者,一内插程序可用於利用自步驟3〇4之隨機選定之 場位置獲得之TIS值來計算未經取樣位置(亦即,非由步驟 304之隨機選擇選定之位置)處之Tig。 在一項貫施例中,内插程序可包含(但不限於)仿樣内 插、多項式内插、小波内插或一神經網路内插程序。在一 般思義上,本發明中可實施適用於一輸入值集合以計算或 建模一輸出值集合之任一内插演算法。 在半導體度量衡系統之上下文内使用之建模之實例大體 闡述於以下美國專利中:第6,7〇4,661號美國專利、第 158870.doc -22· 201230223 6,768,967號美國專利、第6,867,866號美國專利、第 6,898,596號美國專利'第6,919,964號美國專利、第 7,069,153號美國專利、第7,145,664號美國專利、第 7,873,585號美國專利及第12/486,830號美國專利申請案, 所有美國專利以引用之方式併入本文中。 在一第五步驟310中,可藉由比較步驟302中之全知取樣 程序中所量測之TIS值與使用次取樣與内插之組合形成之 次取樣方案集合中之每一者來判定一較佳(或「選定」)次 取樣方案。 在一項實施例中,全知取樣與使用次取樣方案之量測集 合之間的比較可包含選擇經組態以提供全知取樣程序之 TIS與步驟308之次取樣/内插程序之TIS之間的低於一預選 位準之一差之一次取樣方案。 在另一實施例中’全知取樣與使用次取樣方案之量測j 合之間的比較可包含選擇最佳地使全知TIS取樣與使用《 取樣方案之TIS量測集合之間的差最小化之次取樣方案£ 以此方式,最佳地使全知TIS取樣與使用次取樣方案之TI 畺測集合之間的差最小化之取樣方案係較佳取樣方案。 在一項實施例中,較佳次取樣方案可包含一最佳取樣力 案。舉例而言,在比較全知取樣與使用次取樣方案之量调 集合之後,可藉由判定晶圓之最佳量測位置集合而找到一 最佳取樣方案。如此,次取樣方案可包含判定數目個量濟 位置及判定數目個量測位置之位置。在—般意義上,該最 佳取樣方案係最佳地使所量測TIS與所近似之跨越晶圓之 5 158870.doc •23· 201230223 TIS之間的差最小化之子集取仿樣件(例如,量測位置之位 置及數目)。沾習此項技術者應認識到,可使用一習知技 術(包含但不限於,D最佳化方法及Feder〇v交換演算法)來 完成一子集取樣方案之最佳化。 在另一實施例中,較佳次取樣方案可包含一增強取樣方 案。在一般意義上,一增強取樣方案允許比經最佳化之取 樣方案高之取樣率。在另—實施例中,較佳次取樣方案可 包含-經簡化取樣方案。在一般意義上,經簡化取樣方案 提供比該經最佳化取樣方案低之一取樣率。最佳的、經增 強的及經簡化的次取樣方案大體闡述於2〇〇8年4月Μ日提 出申吻之第12/1 〇7,346號美國專利申請案中,將該美國專 利申請案以引用之方式併入本文中。 應6忍識到一經判定最佳之次取樣方案並非本發明之一需 要。而是,針對本發明中之實施方案僅需判定一適當次取 樣方案舉例而言,在本發明及方法之一個上下文中可需 f一設定準確度位準,因此,僅需要提供使全知取樣之所 量貝]TIS _、、昼建模TIS之間的差減小至低於此選定位準之一 值之一次取樣方案。 應進—步認識到,可以任-適合形式產生-或多個次取 ,十J牛例而δ,檔案格式可經組態以使得其可由此項 技#中所f知之任—量測系統或處理工具使用。 在進步步驟312中,在步驟310中所產生之次取樣方 案之量測位置隼人φ > 果口中之母一者處對一後續批晶圓中之至少 一個晶圓執行—你病ττη Θ 後、哭TIS置測。在一項態樣中,該量測位 158870.doc -24- 201230223 置集合可包含晶圓之場之子集及晶圓之每一場内之量測位 置之子集。對一後續批中之一或多個晶圓執行之TIS量測 可包含類似於步驟302中實施之量測之TIS量測。以此方 式,可將步驟302中所概述之各種量測及量測方法應用於 經由較佳次取樣方案提供至量測系統之量測位置(例如, 選定之場及每一場内之量測位置)。 在一進一步步驟3 14中,可利用一内插程序來針對未包 ^於較佳次取樣方案中之後續批中之至少—個晶圓之未經 里測之置測位置中之每一者而近似TIS。在一項態樣中, 内插程序可使用較佳次取樣方案中之量測位置中之每—者 之所置测TIS作為輸入。用於近似未包含於次取樣方案中 之场之可校正值之内插程序類似於步驟308中所概述之 插程序。 進一步預期,步驟314中所計算之TIS值(其包含較佳次 取樣方案内之位置之TIS量測)及步驟3丨4中所近似之τα值 (其包含藉由跨越未包含於較佳次取樣方案中之量測位置 内插(使用經取樣位置作為輸人)而近似之TIS值)可經組合 至—個表中。單個可校正表可以任—方便電職案格式: 集。 a在一進一步實施例中,步驟314之内插程序可實施經組 。、内插來自先w内插程序之資訊之—可訓練歷史演管 法。舉合丨丨而十,—p ^ σ 可在一當前晶圓之内插程序期間利用來自 由系’‘先200先别處理之一晶圓(例如’相同批中之晶圓 不同批中之晶HI、, )之貝訊。就此而言,歷史演算法可用於 15S870.doc -25· 201230223 判定先前處理晶圓中存在之約束。舉例而言,歷史演算法 可利用與跨越-先前處理之晶圓之⑽之空間相依性相關 ^貝來改良—當前晶圓之内插程序。舉例而言,與-先 前處理之晶圓之徑向相依性或全域或區域最大/最小及諸 如此類相關之資訊可用於約束—當前處理之晶圓之相依 性。 f 一額外步驟(未展示)中,在所產生之選定次取樣方案 之置集合中之一或多個量測位置處之一後續批晶圓 2之一晶圓上之一後續TIS量測之後,可計算自一或多個 里測位置獲仵之兩個或兩個以上TIS值之—平均值。然 後,y將經平均之兩個或兩個以上TIS值H給所有; =個里測位置。舉例而言,此程序可用於執行跨越半導體 表面之TIS之空間|仏 , 間+均。此可在一給定晶圓之TIS跨越該晶 圓之一部公直士 /上 利。以此方式、, 具有)相對低之可變性時尤其有 X 可使用空間平均來空間地平均TIS值,此 可用於增加生產量。 至-或ίΓΪ ’可然後將以上所閣述之單個可校正表傳輸 供校正^里測卫具或處理卫具以對此等及相關聯系統提 圖5係圖解$ ΒΒ 圔解。兄明用以提供使用具有智慧型内插之一次 樣方案之跨越—坐曾 執行之步驟之t 之系統偏移值之—替代方法中 由系統2〇〇之電。在一項態樣中’認識到可經由藉 程式化演篡;/ 或多個處理器執行之-經預 决异法而實施處理流程500之資料處理步驟。块 158870.doc
• 26 - 201230223 而,熟習此項技術者應認識到系統200不應解釋為對方法 500之一限制’此乃因預期各種系統組態可實施處理流程 500 ° 在一第一步驟502中,可產生一系統偏移(TIS)次取樣方 案。在一項態樣中’一使用者可將次取樣方案之量測位置 之數目(N)輸入至系統200中。在另一態樣中,使用者可輸 入用於建模跨越晶圓及/或晶圓之場之Tis之模型之類型。 舉例而言。使用者可為跨越晶圓及/或場之TIS選擇一基於 系統多項式之TIS模型。 在另一態樣中,TIS次取樣方案係由一或多個統計準 則、所輸入之N數目個量測位置及所輸入之TIS模型類型而 界定。就此而言,系統200可利用此等輸入來判定最佳TIS 次取樣方案。舉例而言,使用者可輸入系統2〇〇可與其一 起使用以最佳化或幾乎最佳化Tis次取樣方案之一選定之 統計準則。舉例而言,選定之統計準則可包含(但不限 於)A最佳性、B最佳性、D最佳性、G最佳性、1最佳性、v 农佳性或諸如此類。热習此項技術者將認識到可利用各種 統汁準則來最佳化本發明之TIS次取樣方案。可適用於 次取樣方案之最佳化之設計最佳化之原理大體闡述於
Stephen Boyd與Lieven Vandenberghe的 C⑽ve;c ⑽ (2009^ > A (Cambridge University Press) ^ 第7次印刷)中,將其以引用之方式併入本文中。 在—項實施例中’步驟502之TIS次取樣方案可由一最佳 取樣方案組成。在另—實施例中,TIS次取樣方案可包含 s 158870.doc -27· 201230223 一增強取樣方案。在另一竇煸你丨士 ± 貫&例中’較佳次取樣方案可包 含一經簡化取樣方案。最佳、姑 系敢住經增強且經簡化之次取樣方 案大體闡述於在2008年4月22 a趄山* μ 干月Ζ日知出申請之第12/107,346號 美國專利申請案中,將該美國真 秀四寻利申凊案以引用之方式併 入上文。 如在方法300中’應認識到—最佳次取樣方案並非本發 明之-需要。而是,針對本發明中之實施方案僅需判定一 適當次取樣方案。舉例而言,在本發明及方法之—個上下 文中可需要-設定料度位準,因此,僅需要提供在給定 所輸入數目個取樣位置之條件下提供所需之―準確度位準 之一次取樣方案。預料到,在一般意義上,步驟302之所 判定之次取樣方案中之諸多方案可在性質上係次最佳的。 在一第二步驟504中,可藉由量測步驟5〇2之Tls次取樣 方案之量測位置中之每一者處之TIS來判定一第一tis值集 合。在一般意義上,本文中先前所闡述之方法3〇〇2Tis量 測程序可擴展至步驟5〇4。 在一第三步驟506中,可藉由利用一内插程序來近似未 包含於步驟502之TIS次取樣方案中之位置中之每一者之 TIS而判定一第二TIS值集合。在一項態樣中,内插程序使 用在步驟502之次取樣方案之位置中之每一者處所量測之 TIS值作為一輸入。如在方法3〇〇中,内插程序可包含(但 不限於)仿樣内插、多項式内插、小波内插或一神經網路 内插程序。在一般意義上’本文中先前所闡述之方法3〇〇 中所包含之内插程序可擴展至步驟5〇6。 158870.doc -28- 201230223 在一般意義上,方法300之各種實施例應解釋為擴展至 方法500,除非另外注明。 圖6係圖解說明用以提供使用具有智慧型内插之一次取 樣方案之跨越一半導體表面之系統偏移值之一替代方法中 執行之步驟之一流程圖。在一項態樣中,認識到可經由藉 • 由電腦系統206之一或多個處理器執行之一經預程式化演 算法而實施處理流程600之資料處理步驟。然而,熟習此 項技術者應認識到系統2〇〇不應解釋為對方法6〇〇之一限 制’此乃因預期各種系統組態可實施處理流程6〇〇。 在步驟602中,可使用一全知取樣程序在一第一晶圓定 向處對一第一批晶圓之至少一第一晶圓執行一疊對量測。 該全知取樣程序可包含量測一第一晶圓定向處之該批晶圓 中之該第一晶圓之每一量測位置處之一或多個疊對誤差 值。舉例而言,該第一晶圓定向可出於本發明之目的稱為 「零度定向」。舉例而言,全知取樣程序可包含量測在零 度定向處在第一批晶圓中之該第一晶圓之每一場之每一量 測位置處之疊對誤差。應認識到,該全知取樣程序類似於 處理流程300中之以上所闡述之全知取樣程序。如此,方 法3〇0之全知取樣之闡述應解釋為擴展至本處理步驟602 β 舉例而s,如圖1Α中所示,全知疊對誤差取樣程序可量測 所有場處之疊對。 在步驟604中,可藉由識別具有介於一第一疊對值與一 第一疊對值之間的一疊對之量測位置集合來產生一次取樣 方案。在-項實施例中,可藉由識別具有介於一第一叠對
S 158870.doc •29· 201230223 值與晶圓之所量測疊對值集合中之最大疊對值之間的一疊 對值之量測位置集合來產生次取樣方案。在此意義上,可 識別顯示在全知取樣程序中晶圓之所量測疊對值集合之最 大疊對值之量測位置集合。此等經識别量測位置可然後用 作次取樣方案之位置。 在另-實施例中,可藉由識別具有介於一第一疊對值與 T晶圓之所量測之疊對值集合中之一最小疊對值之間的一 I對值之里測位置集合來產生次取樣方案。在此意義上, 可識別在全知取樣程序中顯示該晶圓之所量測 < 疊對值集 合中之最小疊對值之量測位置集合。此等經識別量測位置 可然後用作次取樣方案之位置。 在另一實施例中,可藉由識別具有介於一第一疊對值與 一第一疊對值之間的一疊對值之量測位置集合來產生次取 樣方案舉例而吕,系統200可在全知取樣程序中所量測 之涇里測璺對之中位疊對誤差值之一標準偏差内選擇N個 里測位置。在另一實例中,系統200可在全知取樣程序中 所里測之經夏測疊對之中位疊對誤差值之三個標準偏差内 選擇N個量測位置。 在另一實施例中’—使用者可選擇次取樣方案之量測位 置之數目(N)。在此意義上,系統2〇〇可選擇顯示次取樣方 案中欲利用之最大疊對之N個位置。另一選擇為,系統2〇〇 可選擇顯不次取樣方案中欲利用之最小疊對之N個位置。 另外’系統200可在次取樣方案中欲利用之疊對值範圍内 選擇N個位置。 158870.doc 201230223 在另一貫施例中,一使用者可選擇用於判定次取樣方案 之臨界度量。舉例而言,系統200可選擇次取樣方案是使 用顯示最大疊對之N個位置、顯示最小疊對之N個位置還 是顯示介於一第一值與一第二值之間的疊對之\個位置來 產生。 在步驟606中,可在相對於步驟6〇4之次取樣方案之量測 位置中之每一者處之第一晶圓定向旋轉18〇度之一第二晶 圓疋向處1測疊對誤差。應認識到i 8〇度處之疊對之量測 類似於零度處(亦即,樣本之第一旋轉位置)之疊對之量 測。如此,以上與零度處之疊對量測相關之闡述應解釋為 擴展至步驟606。 在步驟608中,可利用180度處所進行之疊對量測及零度 處所量測之相同位置之疊對來判定次取樣方案之量測位置 集合之一第一TIS值集合。以此方式,系統2〇〇可應用與本 發明中之方程式1相一致之一演算法並計算次取樣方案之 量測位置中之每一者之一 TIS值。 在步驟610中’可藉由利用一内插程序來近似未包含於 步驟604中所產生之次取樣方案中之晶圓之量測位置集合 中之每—者之TIS而判定一第二TIS值集合β在本文中認識 到步驟61 〇之内插程序類似於本文中先前所闡述之内插程 序°如此’以上所闡述之内插程序之闡述應解釋為適用於 步驟610。 圖7係圖解說明用以提供使用具有智慧型内插之一次取 樣方案之跨越一半導體表面之系統偏移值之一替代方法中
S 158870.doc •31- 201230223 執行之步驟之一流程圖。在一項態樣中,認識到可經由藉 由電腦系統206之一或多個處理器執行之一經預程式化演 算法而實施處理流程700之資料處理步驟。然而,熟習此 項技術者應認識到系統200不應解釋為對方法700之一限 制,此乃因預期各種系統組態可實施處理流程700。 在步驟702中,可使用一全知取樣程序在一第一晶圓定 向處對一第一批晶圓之至少一第一晶圓執行一疊對量測。 應認識到,該全知取樣程序類似於處理流程600中之以上 所闡述之全知取樣程序。如此,方法600之全知取樣之闡 述應解釋為擴展至本處理步驟702。 在步驟704中,可利用經由步驟702之全知取樣程序所量 測之疊對之一或多個結果來產生一第一處理集合。在一項 態樣中,第一處理工具可校正值集合可包含針對至少一個 晶圓之每一場之每一量測位置所計算之一處理工具可校正 值。此外,可針對晶圓之量測位置中之每一者計算一處理 工具可校正值。舉例而言,可使用至少一個晶圓之所有量 測位置之所量測疊對來計算一給定經分析量測位置之一處 理工具可校正值。在此意義上,步驟704起作用以基於自 晶圓之所有量測位置獲得之疊對誤差值而產生一處理工具 可校正值集合。 在一項實施例中,疊對或CD度量衡資料可用於針對所 量測晶圓之每一場計算一疊對可校正值、一劑量可校正值 或一焦點可校正值。可然後將此等可校正值發送至一微影 工具以改良微影工具效能。在一般意義上,可校正值資料 158870.doc -32- 201230223 I用於校正-微影工具(例如’步進器)或掃描器工具之對 準以相對於疊對效能改良後續微影圖案化之控制。 ^统上’可使用自-晶圓之場所取得之疊對誤差來判定 一線性疊對函數。此線性疊對函數 ^ T a. ^ , …、後用作一相關聯處 理,具(诸如-掃描器或步進器工具)之可校正值。除了線 性豐對函數以外,一高階非線 ” 函數以計算一給定處理工具 一一八化 -之對應之可校正值。舉例而 ' KLA'TenC〇r,s 型,該等模型然後可用於輪入疊對及cd度量 衡-貝料以跨越—晶圓在逐場基礎上計算可校正值。場内可 权正值可包含(但不限於)疊對可校正值、售 劑量可校正值。針對所量一 β ’、、、‘’又值及 校正值之相關聯表此::-場所產生之場内可 值。 匕3此項技術中所習知之任一可校正 處理工具可校正值之計曾 於2011年1月9^ 斤使用之豐對函數大體闡述 月5日發行之第7,87Μ38號美 該美國專利以引用之方式併入本文中。 且將 在步驟706中, 一或多個結果^ 取樣程序量測之疊對之 二處理工具可於纟一第二處理集合。在-項態樣中,第 尸一〃 乂正值集合可包含針對至少-個晶圓之每 場之每一量測位置 1U日日W之母一 針對晶圓之量測位二之:處理工具可校正值。此外,可 值。舉例㈣,可❹之母—者計4 —處理卫具可校正 測位置外之所有個晶圓的除—給定經分析量 有置測位置之所量測疊對來計算針對該經分
S 158870.doc -33- 201230223 析量測位置計算之一處理工具可校正值。 在步驟708中,可藉由比較第一處理工具可校正值集合 (在步驟704中產生)與第二處理工具可校正值集合(在步驟 706中產生)而產生一次取樣方案。在一項態樣中,次取樣 方案(如以上先前所闡述)可包含選定數目(N)個量測位置, 其中位置之數目係可由一使用者選擇。在一進一步態樣 中,顯示第一處理工具可校正值集合與第二處理工具可校 正值集合之間的最大差之N個量測位置形成次取樣方案之 量測位置。 本文中預期,顯示第一處理工具可校正值集合與第二處 理工具可校正值集合之間的最大差之量測位置構成顯示校 正之最大影響之量測位置集合。以此方式,藉由專注於對 處理工具可校正值具有最大影響之N數目個量測位置,系 統200可更高效地取樣晶圓,此乃因不浪費時間進行分析 對處理工具可校正值具有少許或沒有影響之量測位置。 在步驟710中,可在相對於步驟708之次取樣方案之量測 位置中之每一者處之第一晶圓定向旋轉180度之一第二晶 圓定向處所量測之疊對誤差。應認識到1 80度處之疊對之 量測類似於零度處(亦即,樣本之第一旋轉位置)之疊對之 量測。如此,以上與零度處之疊對量測相關之闡述應解釋 為擴展至步驟710。 在步驟712中,可利用1 80度處所進行之疊對量測及零度 處所量測之相同位置之疊對來判定次取樣方案之量測位置 集合之一第一 TIS值集合。以此方式,系統200可應用與本 158870.doc -34- 201230223 發明中之方程式1相一致之一演算法並計算次取樣方案之 里測位置中之每一者之·一 TIS值。 在步驟714中,可藉由利用一内插程序來近似未包含於 步驟708中所產生之次取樣方案中之晶圓之量測位置集合 中之每一者之TIS而判定一第二TIS值集合》在本文中認識 到步驟714之内插程序類似於本文中先前所闡述之内插程 序。如此,以上所闡述之内插程序之闡述應解釋為適用於 步驟714。 本文中預期,以上處理流程700可以判定由對額外疊對 度里(諸如,但不限於,疊對殘差或最大預測疊對)具有最 大影響之量測位置集合成之一次取樣方案之一方式實施。 本文中進一步預期,次取樣方案可由對疊對度量(諸如, 仁不限於,處理工具可校正值、殘差或最大預測疊對)之 一組合具有最大影響之量測位置集合成。 本文中所闡述之所有方法可包含將方法實施例之一或多 個步驟之結果儲存於—儲存媒體中。結果可包含本文中所 闡述之、.、。果中之任一者且可以此項技術中所習知之任一方 式儲存。儲存媒體可包含本文中所闡述之任—儲存媒體或 此項技術中所習知之任—其他適合儲存媒體。在已儲存結 果之後’該等結果可在該儲存媒體中存取且由本文中所闊 述之方法或系統實施例中之任一者使用,經格式化以用於 向一使用者顯示,由任-軟體模組、方法或系統等使用。 舉例而5 ’在方法產生次取樣方案之後’該方法可包含將 该次取樣方案儲存於一儲存媒體中之一度量衡方法中。另
S I58870.doc •35- 201230223 外’本文中所闌述之實施例之結果或輸出可藉由一度量衡 系統(諸如一CD SEM)來儲存及存取以使得—度量衡系統 可將該次取樣方案用於度量衡,假定該度量衡系統可理解 輸出檔案。此外,可「永久性地」、「半永久性地」、臨時 性地或在某—時間週期内儲存結果。舉例而言,儲存媒體 可係隨機存取記憶體(RAM),且結果可不必無限期地存留 於$亥儲存媒體中。 進一步預期,以上所闡述之方法之實施例中之每一者可 包含本文中所闡述之任何其他方法之任何其他步驟。另 外’以上所闡述之方法之實施例中之每一者可由本文 闡述之系統中之任一者執行。 熟習此項技術者將認識到此項技術之狀態已進展 態樣之硬體與軟體實施方案之間存在很少區別之階^ 體或軟體之使用通常係(但非始終,此乃因在某些:’ 中,硬體與軟體之間的選擇可變得明顯)表示成本= 折衷之-設計選擇。熟f此項技術者將瞭解,存> 所閣述之方法及/或系統及/或其他技術可受其影绝之^ 載具(例如,硬體、軟體及/或韌體),且較佳 。 部署方法及/或系統及/或其他技術之上下文而變化1 = 而言,若-實施者料速度及準確度料重㈣ = 施者可選擇-主要硬體及/或勃體載具;另 ^亥貫 靈活性係最重要的,則該實施者 2為,若 宰;哎者,又一另、空埋* 要軟體實施方 累戍者X另-選擇為,該實施者可選擇 及/或韌體之某一組合。因此,# 敕體 此存在本文_所間述之方法 158870.doc • 36 _ 201230223 及/或裝置及/或其他技術可受其影響之數種可能載具其 中沒有一者係天生優於另一者,此乃因欲利用之任一載具 係依據其中將部署該載具之上下文及實施者之具體關注問 . 題(例如,速度、靈活性或可預測性)(其中任一者可變化) 之一選擇。熟習此項技術者將認識到實施方案之光學態樣 • 通常將採用經光學定向之硬體、軟體及/或韌體。 熟習此項技術者將認識到在此項技術中以本文闡明之方 式闡述裝置及/或方法,且此後使用工程實踐將此等所闡 述裝置及/或方法整合至資料處理系統中係常見的。亦 即,本文中所闡述之裝置及/或方法之至少一部分可經由 一合理量之實驗而被整合至一資料處理系統中。熟習此項 技術者將認識到一典型資料處理系統通常包含以下裝置中 之一或多者:一系統單元外殼、一視訊顯示裝置、諸如揮 發性及非揮發性記憶體之一記憶體、諸如微處理器及數位 信號處理器之處理器、諸如作業系統、驅動器、圖形使用 者介面及應用程式之計算實體、諸如一觸摸板或螢幕之— 或多個互動裝置’及/或包含回饋迴路及控制馬達(例如, 用於感測位置及/或速率之回饋;用於移動及/或調整元件 及/或數量之控制馬達)之控制系統。可利用任一適合市場 . 上可購得組件(諸如通常發現於資料計算/通信及/或網路計 算/通信系統中之彼等組件)來實施—典型f料處m 本文所闡述之標的物有時圖解說明含在不同其他組件中 之不同組件或與不同其他組件連接之不同組件。應理解, 此等所繪示架構僅係例示性,且實際上可實施達成相同功 158870.doc •37· 201230223 隸之諸多其他架構。在一概念意義上,達成相同功能性 之任—組件配置係有效地「相關聯」以使得達成所期望之 功能性。因此,可將本文中經組合以達成一特定功能性之 任何兩個組件視為彼此「相關聯」以使得達成所期望之功 能性,而無論架構或中間組件如何。同樣,如此相關聯之 任何兩個組件亦可視為彼此「以可操作方式連接」或「以 可操作方式麵合」以達成所期望之功能性,且能夠如此相 關聯之任何兩個組件亦可視為彼此「以可操作方式耦合」 以達成所期望之功能性。可以可操作方式輕合之特定^ 包含(但不限於)可實體配合及/或實體互動之組件及/或可 乂無線方式互動及/或以無線方式互動之組件及/或以邏輯 方式互動及/或可以邏輯方式互動之組件。 雖然已展示並闡述了本文中所闡述之本標的物之特定態 樣但熟習此項技術者將基於本文之教示明瞭:可在不背 離本文中所闡述之標的物及其更廣泛之態樣之情況下作: 改變及修改,且因此,隨附申請專利範圍欲將所有此等改 變及修改囊括於其範疇内,如同此等改變及修改歸屬於本 文中所闡述之標的物之真正精神及範疇内一般。 儘管已圖解說明本發明之特定實施例,但應明瞭,熟習 此項技術者可在不背離前述揭示内容之範疇及精神之情況 下作出本發明之各種修改及實施例。因此,本發明之範疇 應僅受隨附申請專利範圍限制。 據仏,藉由前述闡述將理解本發明及諸多其隨附優點, 且將明瞭可在不背離所揭示標的物或不犧牲所有其材料優 158870.doc •38- 201230223 點之情況下在組件之形式、構造及配置方面作出各種改 變。所闡述形式僅係解釋性,且以下申請專利範圍之意圖 係囊括並包含此等改變。 【圖式簡單說明】 圖1A圖解說明具有若干經劃界之場之一半導體晶圓之一 俯視平面圖。 圖1B圖解說明一半導體晶圓之—個別場之一俯視平面 圖’其中展示該場内之複數個目標。 圖2係圖解說明根據本發明之一項實施例之用以提供跨 越半導體表面之系統偏移值的一系統之一方塊圖。 圖3係圖解說明根據本發明之一項實施例之用以提供跨 越半導體表面之系統偏移值的一方法之一流程圖。 圖.4圖解說明適合於本發明中之實施方案之一例示性次 取樣方案。 圖5係圖解說明根據本發明之一項實施例之用以提供跨 越半導體表面之系統偏移值的一方法之一流程圖。 圖6係圖解說明根據本發明之一項實施例之用以提供跨 越一半導體表面之系統偏移值的一方法之一流程圖。 圖7係圖解說明根據本發明之一項實施例之用以提供跨 越半導體表面之系統偏移值的一方法之一流程圖。 【主要元件符號說明】 102 晶圓 104 場 106 目標
S 158870.doc -39- 201230223 200 糸統 202 度量衡系統 204 半導體晶圓 205 度量衡系統 206 電腦糸統 208 載體媒體 210 程式指令 212 最佳設計演算法 214 隨機次取樣演算法 216 臨界度量演算法 400 晶圓 402 次取樣方案 404 場 406 場 158870.doc .40-

Claims (1)

  1. 201230223 七、申請專利範圍: 工.-種用以提供跨越-半導體表面之系統偏移值之方法, 該方法包括: 經由一全知取樣程序量測一批晶圓中之至少一個晶圓 上之系統偏移(TIS),其中該全知取樣程序包含量測該至 少一個晶圓之每一場之每一量測位置處之TIS ; 隨機產生複數個次取樣方案,其中該等次取樣方案中 之每一者中欲取樣之場之數目係預選的,隨機產生之次 取樣方案集合中之每-者具有相同數目個取樣場,次取 樣方案之數目係預選的; 量測該等隨機產生之次取樣方案令之每一者之每一位 置處之TIS ; 利用來自該等隨機產生之次取樣方案中之每一者之該 等TIS量測來近似該等隨機產生之次取樣方案中之每一 者之一 TIS值集合,其中利用經組態以利用在一隨機產 生之次取樣方案之每一位置處所量測之該TIS來近似未 包含於該隨機產生之次取樣方案中之每一位置之一TIS 值之-内插程序來計算該等隨機產生之次取樣方案中之 每一者之每一 TIS值集合;及 藉由比較該等所計算TIS值集合中之每一者與該全知 取樣程序之該所量測TIS來判定—選定次取樣方案,其 中該次取樣方案包含該至少一個晶圓之一量測位置集 合。 2.如請求項1之方法,其進一步包括: S 158870.doc t 201230223 卜^所產生之選定次取樣方案之該量測位置集合中之 每-者處對一後續批晶圓中之至少一個晶圓執行—後續 TIS量测;及 利用-或多個内插程序來近似未包含於該後續批晶圓 中=該至少一個晶圓之該所產生之選定次取樣方案中之 星劂位置集合令之每一者之一 TIS值。 3.如°月求項2之方法,其中該利用一或多個内插程序來近 似未包含於該後續批晶圓中之該至少一個晶圓之該所產 生之選定次取樣方案中之一量測位置集合中之每一者之 一 TIS值包括: 利用一或多個内插程序來近似未包含於該後續批晶圓 中之5亥至少一個晶圓之該所產生之選定次取樣方案中之 一量測位置集合中之每-者之一TIS值,其中該内插程 序之一部分利用經組態以内插來自先前内插程序之資訊 之一可訓練歷史演算法。 4.如請求項1之方法,其進一步包括: 在》亥所產生之選定次取樣方案之該量測位置集合中之 或户個置測位置處對一後續批晶圓中之至少一個晶圓 執行一後續TIS量測; 計算自該所產生之次取樣方案之該量測位置集合中之 該一或多個量測位置獲得之兩個或兩個以上TIS值之一 平均值;及 將該經平均之兩個或兩個以上TIS值指派給該所產生 之選定次取樣方案之該量測位置集合中之所有該一或多 158870.doc -2- 201230223 個量測位置。 5. 如請求項1之方法,其中藉由比較該等所計算之TIS值隼 合=每-者與該全知取樣程序之該所量測爪來產^ ^選疋次取樣方案包括下列動作,其中該次取樣方案包 3該至少一個晶圓之一量測位置集合: 藉由計算該等所計算之m值集合中之每一者盘該全 知取樣程序之該所量測TIS之間的一差來產生一選定次 取樣方案。 6. =請求項1之方法,其中藉由比較該等所計算之TIS值集 s —每H玄全知取樣程序之該所量測tis來產生 一選定次取樣方秦包括下列動作,其中該次取樣方案包 t該至少—個日日日81之—場位置集合及該至少-個晶圓之 每一場内之一量測位置集合·· 藉由計算該等所計算之TIS值集合中之每一者與該全 知取樣程序之該所量測TIS之間的一差來產生一選定次 ,案八中該較佳次取樣方案經組態以提供該全知 :耘序之4所量測TIS與該所近似之間的低於一 選位準之一差。 7.如請求項1之方、土 , ’,、中該内插程序包含仿樣内插程 序多項式内插程序或神經網路内插程序中之至少- 者。 8· 如清求項1之方、土 ^ , ’八中該隨機產生複數個次取樣方案 包括: 一蒙地卡羅分析程序。 158870.doc 201230223 9. 一種用以提供跨越一半導體表面之系統偏移值之方法, 該方法包括: 產生系統偏移(TIS)次取樣方案,其中利用一或多個 統計準則、選定數目個取樣位置及跨越一半導體晶圓表 面之TIS相依性之一選定模型類型來界定該TIS次取樣方 案’其中該TIS次取樣方案包含該半導體晶圓之一量測 位置集合; 藉由量測該所產生之TIS次取樣方案之該等量測位置 中之每一者處之TIS來判定一第一 TIS值集合;及 藉由利用一内插程序來近似未包含於該所產生之TIS 次取樣方案中之一位置集合中之每一者之TIS來判定一 第一 TIS值集合’其中該内插程序利用該第一 TIS值集合 以計算未包含於該所產生之TIS次取樣方案中之該位置 集合中之每一者之一所近似之TIS值。 10. 如凊求項9之方法,其中該所產生之TIS次取樣方案包含 一批晶圓中之一半導體晶圓之一可用場子集。 11. 如研求項9之方法,其中該所產生之TIS次取樣方案包含 批B曰圓中之一半導體晶圓之每一場中之可用量測位置 之一量測位置子集。 12_如請求項9之方法,其中取樣位置之數目係可由一使用 者選擇。 13.如明求項9之方法,其中跨越一半導體晶圓表面之相 依性之該模型類型係可由一使用者選擇。 14·如印求項9之方法,其中該所產生之tis次取樣方案包含 158870.doc 201230223 一最佳取樣方案一經增強取樣方案或—㈣化取 案中之至少一者。 15. 如請求項9之方法,中該内柄 八甲涿内播%序包含一仿樣内插程 序、—多項式内插程序或-神經網路内插程序或一基於 小波之内插程序中之至少一者。 、 16. -種用以提供跨越—半導體表面之系統偏移值之方法, 該方法包括: 經由一全知取樣程序來量測一第一晶圓定向處之—批 晶圓中之至少一個晶圓上之疊對,其中該全知取樣程序 包含量測該至少一個晶圓之每一場之每一量測位置處之 疊對; 藉由識別具有介於一第一疊對值與一第二疊對值之間 的一疊對值之一量測位置集合來產生一次取樣方案,其 中s亥次取樣方案包含該至少一個晶圓之一量測位置集 合; 量測相對於該次取樣方案之該等量測位置中之每一者 處之該第一晶圓定向旋轉180度之一第二晶圓定向處之 該至少一個晶圓上之疊對; 利用在該第一晶圓定向處所量測之該疊對及在相對於 該第一晶圓定向旋轉180度之該第二晶圓定向處所量測 之該疊對來判定該次取樣方案之該量測位置集合之一第 一系統偏移(TIS)值集合;及 藉由利用一内插程序來近似未包含於該所產生之次取 樣方案中之該至少一個晶圓之一量測位置集合中之每一 158870.doc 201230223 者之TIS來判定一第二TIS值集合,其中該内插程序利用 該第一 TIS值集合以計算未包含於該所產生之TIS次取樣 方案中之該位置集合中之每一者之一所近似之TIS值。 17.如請求項16之方法,其中該内插程序包含仿樣内插程 序、多項式内插程序或神經網路内插程序中之至少一 者。 18·如請求項16之方法,其中該識別具有介於一第一疊對值 與第二疊對值之間的一疊對值之一量測位置集合包 括: 識別具有介於一第一疊對值與一最大疊對值之間的一 疊對值之一量測位置集合,其中該最大疊對值係針對該 至少一個晶圓所量測之該最大疊對值。 19. 如請求項16之方法,其中該識別具有介於一第一疊對值 與一第二疊對值之間的一疊對值之一量測位置集合包 括: 識別具有在該全知取樣程序中所量測之該等量測位置 之該等最大疊對值之一量測位置集合,其中具有該等最 大疊對值之該量測位置集合包含選定數目個量測位置。 20. 如請求項16之方法,其甲該識別具有介於一第—疊對值 與第一 &對值之間的一疊對值之一量測位置集合包 括: ’、口匕 識別具有介於一第-疊對值與-最小疊對值之間的一 對值之$測位置集合,其中該最小疊對值係針對該 至少一個晶圓所量測之該最小疊對值。 158870.doc -6- 201230223 21. 如明求項16之方法,其中該識別具有介於一第一疊對值 第一疊對值之間的一疊對值之一量測位置集合包 括: 識別具有在該全知取樣程序中所量測之該等量測位置 之该等最小疊對值之_量測位置集合,纟中具有該等最 小疊對值之該量測位置集合包含選定數目個量測位置。 22. 如清求項16之方法,其中該識別具有介於一第一疊對值 與第一疊對值之間的一疊對值之一量測位置集合包 括: 識別具有介於一第一疊對值與一第二疊對值之間的一 疊對值之-量測位置集合,其中該第一疊對值與該等第 二疊對值界定一疊對值範圍,其中該疊對值範圍包含一 中位疊對值。 23. —種用以提供跨越一半導體表面之系統偏移值之方法, 該方法包括: 經由一全知取樣程序來量測—第一晶圓定向處之一批 晶圓中之至少-個晶圓上之疊對’其中該全知取樣程序 包含量測該至少一個晶圓之每—場之每一量測位置處之 疊對; 利用經由該全知取樣程序所量測之該疊對之一或多個 結果來產生-第一處理工具可校正值集合,其中該第一 處理工具可校正值集纟包含針對該至少一個晶圓之每一 場之每一量測位置所計算之一處理工具可校正值,其中 使用該至少一個晶圓之所有量測位置之該所量測疊對來 158870.doc 7 201230223 計异與該至少一個晶圓之一經分析量測位置相闕聯之該 第一處理工具可校正值集合中之一處理工具可校正值/ 利用經由該全知取樣程序所量測之該疊對之一或多個 結果來產生-第二處理工具可校正值集合,其中該第二 處理工具可校正值集合包含針對該至少一個晶圓之每: 場之每-量測位置所計算之—處理卫具可校正值,其中 使用該至少-個晶圓的除—經分析量測位置外之所有量 測位置之該所量測疊對來計算與該至少一個晶圓之該經 分析量測位置相關聯之該第二處理工具可校正值集合中 之一處理工具可校正值; 藉由比較該第一所產生之處理工具可校正值集合與該 第二所產生之處理卫具可校正值集合來產生—次取樣方 案’其中該次取樣方案包含—量測位置集合,其中該次 取樣方案之該量測位置集合包含選定數目個次取樣量測 位置二其中具有該第一所產生之處理工具可校正值集合 與該第二所產生之處理工具可校正值集合之間的最大差 的該至少-個晶圓之該選定數目個量測位置形成該次取 樣方案之該量測位置集合; 量測在相對於該所產生之次取樣方案之該等量測位置 中之每者處之該第一晶圓定向旋轉180度之一第二晶 圓定向處之該至少—個晶圓上之疊對; 利用在該第一晶圓定向處所量測之該疊對及在相對於 該第一晶圓定向旋轉18〇度之該第二晶圓定向處所量測 之該疊對來判定該次取樣方案之該次取樣量測位置集合 158870.doc
    -8 - 201230223 之一第一系統偏移(TIS)值集合;及 藉由利用一内插程序來近似未包含於該所產生之次取 樣方案中之該至少一個晶圓之一位置集合中之每一者之 TIS來判定一第二TIS值集合,其中該内插程序利用該第 一 TIS值集合以計算未包含於該所產生之TIS次取樣方案 中之該位置集合中之每一者之一所近似之TIS值。 s 158870.doc
TW100134855A 2010-09-30 2011-09-27 用以利用次取樣方案提供系統偏移的方法及系統 TWI445112B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US38842710P 2010-09-30 2010-09-30
US13/231,333 US9606453B2 (en) 2010-09-30 2011-09-13 Method and system for providing tool induced shift using a sub-sampling scheme

Publications (2)

Publication Number Publication Date
TW201230223A true TW201230223A (en) 2012-07-16
TWI445112B TWI445112B (zh) 2014-07-11

Family

ID=45890545

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100134855A TWI445112B (zh) 2010-09-30 2011-09-27 用以利用次取樣方案提供系統偏移的方法及系統

Country Status (5)

Country Link
US (1) US9606453B2 (zh)
JP (1) JP5916738B2 (zh)
KR (1) KR101869573B1 (zh)
TW (1) TWI445112B (zh)
WO (1) WO2012044702A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814653B (zh) * 2018-12-19 2023-09-01 荷蘭商Asml荷蘭公司 樣本方案產生及最佳化之方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US20160342147A1 (en) * 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
US11429091B2 (en) * 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly
KR102655300B1 (ko) * 2023-08-11 2024-04-05 (주)오로스 테크놀로지 오버레이 계측 장치의 보정 방법 및 오버레이 계측 장치의 보정 시스템

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
JP3414086B2 (ja) 1995-11-28 2003-06-09 ソニー株式会社 重ね合わせ精度の管理方法およびこれに用いる重ね合わせ精度測定装置
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
JP3902839B2 (ja) 1997-01-24 2007-04-11 宮城沖電気株式会社 重ね合わせ精度測定方法
AU2001279247A1 (en) 2000-08-10 2002-02-25 Sensys Instruments Corporation Database interpolation method for optical measurement of diffractive microstructures
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6898596B2 (en) 2001-10-23 2005-05-24 Therma-Wave, Inc. Evolution of library data sets
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7069153B2 (en) 2003-01-28 2006-06-27 Therma-Wave, Inc. CD metrology method
US7145664B2 (en) 2003-04-18 2006-12-05 Therma-Wave, Inc. Global shape definition method for scatterometry
US7608468B1 (en) 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7433039B1 (en) * 2004-06-22 2008-10-07 Kla-Tencor Technologies Corporation Apparatus and methods for reducing tool-induced shift during overlay metrology
JP4410202B2 (ja) * 2005-03-02 2010-02-03 エーエスエムエル ネザーランズ ビー.ブイ. 2重計量サンプリングを使用したオーバレイ制御のための処理方法
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7583359B2 (en) 2006-05-05 2009-09-01 Asml Netherlands B.V. Reduction of fit error due to non-uniform sample distribution
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
JP5408975B2 (ja) 2008-12-02 2014-02-05 アズビル株式会社 検査位置決定方法、検査情報管理システム及び検査支援方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814653B (zh) * 2018-12-19 2023-09-01 荷蘭商Asml荷蘭公司 樣本方案產生及最佳化之方法

Also Published As

Publication number Publication date
TWI445112B (zh) 2014-07-11
WO2012044702A3 (en) 2012-06-14
US9606453B2 (en) 2017-03-28
US20120084041A1 (en) 2012-04-05
JP5916738B2 (ja) 2016-05-11
KR20130114132A (ko) 2013-10-16
KR101869573B1 (ko) 2018-06-20
WO2012044702A2 (en) 2012-04-05
JP2013545276A (ja) 2013-12-19

Similar Documents

Publication Publication Date Title
TW201230223A (en) Method and system for providing tool induced shift using a sub-sampling scheme
JP5808347B2 (ja) プロセスツールの補正値を与える方法及びシステム
TWI473142B (zh) 用於提供製程工具校正之方法及系統
TWI532112B (zh) 使用一晶圓幾何度量之疊對及半導體製程控制
Poulain et al. Performances of Wang-Landau algorithms for continuous systems
TW201835676A (zh) 計算度量衡
TWI672753B (zh) 用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法
US20160217233A1 (en) System and method for performing directed self-assembly in a 3-d virtual fabrication environment
TWI659257B (zh) 控制圖案化程序之方法、器件製造方法
TWI804793B (zh) 用於光學關鍵尺寸計量的方法及系統
WO2011159625A2 (en) Advanced process control optimization
TW200903585A (en) Parameter adjustment method, semiconductor device manufacturing method, and recording medium
TWI825209B (zh) 微電子元件製造用的系統和方法
TWI582539B (zh) 用於改良程序控制之品質度量的方法及系統
TW201727381A (zh) 預測微影裝置之性能之方法、微影裝置之校正及器件製造方法
US10534275B2 (en) Method for use in process control of manufacture of patterned sample
CN107346749A (zh) 半导体制程及其制程设备与控制装置
Gunay-Demirkol et al. Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control
TWI544288B (zh) 疊對度量方法
Das et al. Scatterometry solutions for 14nm half-pitch BEOL layers patterned by EUV single exposure
TWI777353B (zh) 用於光學關鍵尺寸計量之方法及系統
TWI712945B (zh) 用於在3d虛擬製作環境中執行導向式自組裝之系統及方法
Schelcher et al. Feature grouping to enable edge placement error-aware process control in multi-feature logic use case
Chang et al. Innovative dual mark design for alignment verification and process monitoring in advanced lithography
JP5609593B2 (ja) 半導体レイアウトデータの設計検証方法及びシステム