TW201140692A - High-temperature selective dry etch having reduced post-etch solid residue - Google Patents

High-temperature selective dry etch having reduced post-etch solid residue Download PDF

Info

Publication number
TW201140692A
TW201140692A TW100112475A TW100112475A TW201140692A TW 201140692 A TW201140692 A TW 201140692A TW 100112475 A TW100112475 A TW 100112475A TW 100112475 A TW100112475 A TW 100112475A TW 201140692 A TW201140692 A TW 201140692A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
solid residue
precursor
fluorine
Prior art date
Application number
TW100112475A
Other languages
English (en)
Other versions
TWI456652B (zh
Inventor
Kiran V Thadani
Jing Tang
Nitin Ingle
dong-qing Yang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201140692A publication Critical patent/TW201140692A/zh
Application granted granted Critical
Publication of TWI456652B publication Critical patent/TWI456652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Description

201140692 六、發明說明: 【交互參照之相關申請案】 本申請案主張受惠於61/330,097號的美國臨時專利申 請案’其於2010年4月30日提出申請,發明名稱為 「Concurrent Sublimation SiConi Etch」’其全文在此併 入做為參考。 【發明所屬之技術領域】 本申睛案關於製造技術解決方案,其涉及用在沉積、 圖案化與處理薄膜和塗層上的設備、製程與材料,其代 表性範例包括(但不限於)涉及半導體與介電材料及元 件、石夕類晶圓與平板顯示器(如TFT )之應用。 【先前技術】 可透過錯綜複雜地生產圖案化材料層於基材表面上之 製程製作積體電路。生產圖案化材料於基材上需要數種 用於移除暴露材料的受控制之方法。化學㈣用於各種 ^的&括將光阻中的圖案轉移到下伏層中、薄化滑或 薄已呈現於基材表面上的特徵結構的側向尺度。經常 肩望’、有帛蝕刻製程蝕刻一種材料較另一者快,而助 於例如圖案轉移製程進行。此類㈣製程稱為對受㈣ 較快的材料具選擇性。材料、電路與製程多樣性的結果, 钱刻製程已朝各種材料之選擇性發展。 201140692
SiC〇niTM用於指遠端電聚辅助的乾蝕刻製程之類別, 棋社及同時將基材暴露至含氫與含氟電漿流出物。氣與 氟物料的遠端電漿激發容許無電漿損壞的基材處理。已 開發的Siconi ϋ刻對氧化矽層具大量共形與選擇性, 但不易蝕刻矽,無論石夕是非晶形、結晶、或多晶形。選 擇性提供諸如調整間隙填充輪廓、移除氧化物墊、與凹 陷氧化物間隙填充之類的應用許多優點。sicwM蝕刻 製程亦移除其他切介電層’諸如氮切與氮氧化石夕。 目前為止’ SiC〇niTM製程產生固體副產物,其在基材 材料移除時在基材表面生長。因固體副產物堆積而進程 最終停止’故這些自我限制製程蝕刻得較緩慢。固體副 產物後續在基材溫度升高時透過昇華移除。可重覆姓刻 昇華循環以移除較大厚度的含矽介電層。 期望有額外的方法增加於一些應用上的介電層之有效 蝕刻速率。 【發明内容】 在=描述乾_切介電膜的方法。該方法包括維 相對问’皿度的介電膜同時蝕刻,以達成蝕刻表面上固 殘餘物減少。部俗—' ^^ P知或全避免固體殘餘物的堆積增加 钮刻速率。 —在此揭露於—基材處理腔室的—基材處理區域中⑷ -基材上的-切層之方法。該等方法包括將一含^ 201140692 驅物與一含氫前驅物流進一遠 啼电戒&域,同時在該電 漿區域中形成一電漿以產生電 浆爪出物,s亥遠端電漿區 域以流通式耦接該基材處理區域 ^ 該等方法進一步包括 透過將該等電漿流出物流進該 材處理區域,同時將該 基材維持在約6 0 C至約16 〇。r ^ 、]16〇 c的一處理溫度,而蝕刻 該含石夕層。 額外實施例與特徵在隨後的說明書中提出,而部份對 於此技術領域中熟習技藝者而言在料此說明書後可易 於瞭解’或者此技術領域中熟習技藝者可透過操作該等 揭露的實施例而瞭解部份額外實施例與特徵。透過找 明書中描述的設備、々士人物Hip v L . '、〇 σ物與方法,可瞭解與獲得所揭 露的實施例之特徵與優點。 【實施方式】 在此描述乾餘刻含石夕介電膜的方法。該方法包括維持 相對高溫度的介電臈同時蝕刻,以達成蝕刻表面上固體 殘餘物減少。部份或完全避免固體殘餘物的堆積增加了 蝕刻速率》
Si_iTM钮刻製程已使用氨(ΝΗ3)之氫源及三氣化氣 (NF3 )之氟源’—起流進遠端電漿系統(Rps卜在其 中生成的電漿流出物流進基材處理區域。先前,基材溫 度在蝕刻操作期間保持在相對地低,以在每一蝕刻昇華 循環期間移除給定量的材料。在執行Sic〇niTM蝕刻的同 201140692 時,固體副產物形成在分佈於橫越基材表面的成核位 點。當材料從基材頂層消耗時,固體副產物生長更大量 地生長。當固體副產物生長,蝕刻速率降低而基材可能 變成凹坑狀。在SiconiTM蝕刻製程應用到圖案化基材 時,亦可能觀察到圖案負載效應。副產物昇華前的尺寸 與圖案負載效應的量級相關,對於較窄的溝槽而言,圖 案負載效應本身呈現較大的姓刻速率。 為了較佳地瞭解及認識本發明,現在請參考第丨圖, 該圖是選擇性乾蝕刻製程的流程圖1〇〇,該製程應用到 具有暴露的氧化矽之圖案化基材。其他實施例中,圖案 化基材已暴露氮氧化矽及/或氮化矽。該製程開始於當圖 案化基材傳送進入處理腔室時(操作11〇)。啟動氨與三 氟化氮流進入與處理區域分開的電漿區域(操作卜 在此該分開的電漿區域可指遠端電漿區域且可為與處理 腔室截然不同的模組,或者在處理腔室内藉由穿孔板與 基材處理區域分開的隔間。在遠端電漿區域中生成的電 漿流出物行進至基材處理區域中(操作13〇),在該處他 們與圖案化基材交互作用。該交互作用形成少量的固體 殘餘物(相對於Sic〇niTM蝕刻)於基材表面上。該固體 殘餘物是由來自氧化矽與電漿流出物二者的材料所構 成》基材溫度維持在8(KC (操作14〇)以確保固體殘餘 物的量是減少的,其增加暴露的氧化矽之有效蝕刻速率。 基材的相對高溫確保固體殘餘物比在低溫製程期間產 生的殘餘物生長得更緩慢。較慢的生長使得蝕刻製程進 201140692 行得更深入及/或更快读 、進氧化矽層。固體殘餘物的較 k生長亦助於維持較高 ;棚到迷率、減少凹坑、並且減 >'圖案負載效應。大體而言,含矽層在蝕刻(操作叫 :"間的溫度在所揭露的實施财可大於6(TC:、6VC、70 C'75〇C'80〇C'5fe 成85 C,以減少固體殘餘物在蝕刻 操作後存在於氧化石夕表面上的量。較高的基材溫度增加 ㈣速率並且強化所述的其他有利於許多應用中的效 應。另-方面,含石夕層在餘刻(操作15〇)期間的溫度 在所揭露的實施例中可低於95γ、9(Γ(:、85γ、75<、 或65»C’以確保電渡流出物以期望速率消耗含石夕層。任 何含石夕層溫度的上限可結合任何下限以形成額外的實施 例。 基材受熱而將固體副產物的餘留部份昇華(操作 K0)。固體殘餘物及氧化矽的溫度可升高到昇華溫度 上,例如 9(TC、95。(:、100»C、U(rc' 12(rc、或 14〇 °C之一者,以昇華餘留的固體副產物。昇華的歷時在所 揭露的實施例中可超過45秒、6〇秒、75秒、9〇秒、或 120秒之一者。操作丨6〇的昇華發生在蝕刻操作之後。 昇華160完成後,圖案化基材從基材處理區域移出(操 作 170 )。 前驅物的選擇不限於氨與三氟化氣。各種含氟前驅物 了與各種前驅物在遠端電毁區域中結合,以形成電渡流 出物(操作130) »大體上,揭露的實施例中,含氟前驅 物可包括三氟化氮(NF3)、氟化氫(HF)、雙原子說(F )、 201140692 單兀子氟(F)、與氟取代的碳氫化合物之一者或多者。 類似地’含氫前驅物在所揭露的實施例中可包括原子氫 (H)、分子氫⑻、氨(NH3)、聯孰(N2H4)、碳氮化 合物與不完㈣素取代的碳氫化合物之—者或多者。揭 露的實施例中,含氟前驅物與含氫前驅物的流率可經選 擇以造成大於約G.5:1及低於約2():1的氫龍原子流動 比例。揭露的實施例中,在飯刻期間(操作15〇)使用 在此揭露的製程參數所達成的ϋ刻速率可大於05 α/_、〇·7Α/—A/sec、15 “ec、或 2Α/^ 前 驅物的㈣可伴隨綠载氣,該惰性載氣亦可被涵蓋, 以點燃或增加遠端電漿區域中的電漿強度。可添加水蒸 氣(H20 )机伴隨二I化氮()到遠端電聚區域中, 以增加遠端電漿中與電漿流出物中的HF濃度。 第2圖疋氧化石夕钱刻製程的流程圖,該製程在钮刻操 作期間和用甚至更向的基材溫度,使得在蝕刻期間基本 上無固體副產物堆積。圖案化基材傳送進入處理腔室(操 作210) ’並且啟動氨與三氟化氮流進入與處理區域分開 的電漿區域(操作220 )。在遠端電漿區域中生成的電漿 流出物行進至基材處理區域中(操作23〇),以與圖案化 基材交互作用。此時,基材溫度維持在U(rc (操作 240 ) ’以在蝕刻250期間進一步減少或基本上消除任何 固體殘餘物的堆積。更大體而言,蝕刻25〇期間的基材 溫度在實施例中可大於80°C、85°C、90°C、95。(:、或 100 C之一者,使得基本上無固體副產物在蝕刻操作後 201140692 由於基本上無固體副產物堆積,在一 4b 揭露的實施例中,於步细 預隹一 ^ 、土材從處理區域移出(操作260) 月】 不需要分開的昇基牛 步驟。可以確定,少量的固體殘 =物之沉積可能例如以受限制的幾何形狀餘留,或者餘 處理的基材之邊緣處。痕量的非揮發性固體殘留 物亦可能源自前驅物中的雜質’或甚至是需要為的腔室 RPS因此’「基本上」一詞用於容許可接受的容忍度。 ”了 "第1圖的蝕刻期間獨特的基材溫度相關連的製程 外所有參考第1圖揭露的製程參數範圍與替代 性材料皆可應用到參考第2圖而描述的實施例,反之亦 然氧化矽層的溫度在實施例中於蝕刻操作期間低於1 155 C、150 C、或145 °C之一者’以維持顯著钱刻 速率。 此述的方法容許在個別蝕刻操作中移除較大量的材 料。蝕刻操作可從含矽層中移除超過15〇 Α、2〇〇Α、3〇〇 Α 5〇〇 Α或8〇〇 Α的材料。當實施此述的方法時’較大 重的移除材料提高有效蝕刻速率。在圖案化基材具有不 同寬度的溝槽之情況中,可減少溝槽之間蝕刻速率的差 異。窄溝槽内含矽層的蝕刻速率可在寬溝槽内蝕刻速率 的10%、5%、3%、或2%以内。窄溝槽的寬度在不同實 施例中可低於 35 nm、30 nm、25 nm、20 nm、或 15 nm 之一者’同時寬溝槽的寬度在不同實施例中可超過75 nm、100 nm、15〇 nm、200 nm、或 500 nm 之一者。在 此所用的「寬溝槽」一詞包括積體電路形成期間的開放 201140692 區域或周邊區域,其可甚至高達數十或數百微米。 在此述的蝕刻操作期間,流進基材處理區域的 露的:暫停以谷許固體殘餘物的堆積昇華。因為在所揭 =貫施例中基材溫度能到達或超過昇華溫度,暫停電 "I出物机進基材處理區域可使得固體殘餘物昇華。重 =啟動電㈣出物的流動隨後可造成更新的則速率趨 出接近银刻操作開始時的㈣速率。在電激流 ,動暫停期間,相對惰性的氣體可持續流入基材處 ^域’而可維持開啟遠端電漿功率。已在暫停期間維 氣流而不至不利地影響暫停對乾㈣速率的再生效 應°«流出物流動的暫停可受暫時性的中止或減少含 氟前驅物與含氫前驅物流進遠端電毁區域影響。暫停並 非必要意味著消除該等流動。不同實施例中,可在含 =已受银刻達5〇入或100人後使用暫停。暫停可持續達 2過1秒、2秒、或5秒的歷時,其取決於在第-部 ”、蝕刻刼作期間已堆積的固體殘餘物的量。當以相對 低的基材溫度㈣及/或期望的#刻量大時,多次暫停可 相對均等地分配在_操作内,以週期性更新_速率。 額外的高溫選擇性乾蝕刻製程參數揭露於描述示範性 處理系統的章節中。 示範性處理系統 第3圖是顯示說明性處理腔室3〇〇的部份剖面視圖, /、中可執行本發明之實施例。大體而言,含氫前驅物與 201140692 含氟前驅物可透過一個或多個口孔351進入遠端電漿區 域361-3 63並且由電漿功率源346激發。 在一個實施例中,處理腔室3〇〇包括腔室主體312、 蓋組件3 02與支撐組件3 1 蓋組件3 02配置在腔室主 體3 12的上端,而支撐組件3 1〇至少部份配置在腔室主 體312内。處理腔室3〇〇與相關聯的硬體較佳為由一種 或夕種製程相容材料(例如銘、不鏽鋼等)形成。 腔室主體312包括狹縫閥開口 36〇,其形成於腔室主 體之側壁中,以提供至處理腔室3〇〇内部的存取 (access)。狹缝閥開口 36〇選擇性開啟與關閉,以容許 透過BB圓搬運機器人(圖中未示)對腔室主體312内部 的存取。在一個實施例中,晶圓可通過狹縫閥開口 360 輸送進出處理腔室300至相鄰的傳送腔室及/或裝載鎖定 腔室,或群集工具中的另一腔室。可包括處理腔室300 的示範性群集工具顯示於第4圖。 在一個或多個實施例中’腔室主體312包括腔室主體 通道3 13,以使熱傳流體流過腔室主體3 12。熱傳流體可 為加熱流體或冷卻劑,並且用於在處理及基材傳送期間 控制腔至主體312的溫度。加熱腔室主體312可助於防 止非期望的氣體或副產物凝結於腔室壁上。示範性熱傳 流體包括水、乙二醇或前述者之混合物。示範性熱傳流 體亦可包括氮氣。支撐組件31〇可具有支撐組件通道 3 04,以使熱傳流體流過支撐組件3丨〇,從而影響基材溫 度。此述的相對高的基材溫度可受益於使用更直接(電 201140692 阻式、光學輻射) 體更高的溫度。可 流體結合使用。 =加熱器,其經設計以達成比熱傳流 單獨使用更直接的加熱器,或與熱傳 二室〇主體312可進—步包括襯墊如,其環繞支撐組 件31〇。襯塾333較佳為可移除以供維修及清潔。襯塾 333可由諸如鋁之金屬或陶瓷材料製成。然而,襯墊333 可為任何f程相容材料。襯墊333可受珠磨以增加任何 沉積於其上的材料之附著,因而防止材料㈣造成處理 腔室3〇0的污染°在—個或多個實施例中’襯墊333包 括形成於其中的一個或多個口孔335以及栗抽通道 329,該泵抽通道與真空系統流體連通。口孔335提供流 徑使氣體進人泵抽通道329,其提供氣體在處理腔室遍 内的出口。 真空系統可包括真空泵325以及節流閥327以調節氣 體通過處理腔室則的流動1空泵⑵純配置在腔 室主體312上的真空通口 331,因而與形成在概塾阳 内的泵抽通道329流體連通。除非以其他方式註明,否 則「氣體」與「多種氣體」可互換使用,並且是指一種 或多種反應物、催化劑、載氣、沖淨氣體、清潔氣體、 前述者之組合及任何其他導進腔室主體312之流體。 口孔335容許泵抽通道329與腔室主體312内的處理 區域340流體連通。處理區域34〇由蓋組件3〇2的下表 面與支撐組件310的上表面界定,並且由襯墊333環繞。 口孔335可尺寸一致,並且均等地繞襯墊333間隔開。 12 201140692 然而,可使用任何數目、位置、尺寸或形狀的口孔,該 等設計參數的每一者可取決於橫越基材接收表面的氣體 之期望流態而變化,如下文中更詳細討論者。此外,口 孔335的尺寸、數目與位置設以達成離開處理腔室3〇〇 的氣體之均勻流動《進一步言之,口孔尺寸與位置可設 以提供快速或高容量的泵抽,以助於快速地從腔室3〇〇 排放氣體。例如’緊鄰真空通口 331的口孔335數目與 尺寸可小於位在遠離真空通口 331之口孔335的尺寸。 氣體供應板(圖中未示)一般用於提供製程氣體通過 一個或多個口孔351至處理腔室300。所使用的特殊氣 體取決於在腔室3 00内執行的製程。說明性的氣體可包 括(但不限於)一種或多種前驅物、還原劑、催化劑、 載氣、沖淨氣體、清潔氣體或任何前述者之混合物或組 合。一般而言,導至處理腔室3〇〇的該一個或多個氣體 通過頂板350中的口孔351流進電漿空間361。以替代 式或結合形式,可將處理氣體更直接地通過口孔352而 導入處理區域340。口孔352繞過遠端電漿激發,並且 用於涉及氣體不需要電漿激發的製程或不會由額外激發 氣體而受益的製程。電子式操作的閥及/或流量控制機構 (圖中未示)可用於控制氣體從氣體供應器流入處理腔 至300。取決於製程’任何數目的氣體能夠遞送到處理 腔室3 00,並且可在處理腔室3〇〇中混合或在氣體遞送 到處理腔室300前混合。 蓋組件302可進一步包括電極345,以在蓋組件302 13 201140692 内生成反應性物料的電漿。在一個實施例中,電極345 由頂板35Q支撐並且透過插入電隔離環347而與頂板電 隔離,該電隔離環是由氧化鋁或其他絕緣且製程相容材 料製成》在一個或多個實施例中,電極345耦接功率源 346同時蓋組件302的其餘部份接地。據此,一種或多 種製程氣體的電漿可在遠端電漿區域中生成,該遠端電 漿區域由電極345與環狀裝設法蘭片322之間的空間 361、362及/或363構成。例如,可在電極345與阻擋組 件330的阻擋板之一者或二者之間啟動電漿並且維持 之。或者,在缺乏阻擋組件330的情況下,電漿可在電 極345與氣體遞送板320之間引燃並且被包納。在任一 實施例中’電聚良好地限制或包納在蓋組件3 〇 2内。據 此,該電漿是「遠端電漿」,因為電漿不被施加到基材處 理區域。於是,可避免電漿對基材的損害,因為電漿與 基材表面分隔》 各種功率源346能夠活化含氫前驅物(例如氨)與含 氮前驅物(三氟化氮)。例如,可使用射頻(RF )、直流 電(DC )或微波類(MW )功率放電技術。該活化亦可 由熱類技術、氣體裂解技術、高強度光源(例如UV能 量)或暴露至X射線源生成❶或者,可使用遠端活化源 (諸如遠端電漿生成器)以生成隨後遞送到腔室300的 反應性物料的電漿。示範性遠端電漿生成器可透自諸如 MKS Instruments,Inc.與 Advanced Energy Industries,Inc. 之販售商。在示範性處理系統中,RF功率供應器耦接電 201140692 極345 °更高功率的微波功率源346有利於亦使用功率 源3 46產生反應性氧的實例。 製程腔室主體312與基材的溫度可各由分別將熱傳介 質流過腔室者體通道313與支撐組件通道304而控制。 支樓組件通道304可形成於支撐組件310内,以助於輸 送熱能。腔室主體312與支撐組件310可獨立受冷卻或 加熱。例如,加熱流體可流過一者而冷卻流體流過另一 者0 其他方法亦可用於控制基材溫度。可透過以電阻式加 熱器將支撐組件310 (或其一部份,諸如底座)加熱或 透過其他手段加熱基材。在另一組態中,氣體遞送板32〇 可維持在高於基材的溫度,而基材可升高以提昇基材溫 度。在此情況中,基材以輻射式受熱,或透過使用氣體 以從氣體遞送板320傳導熱量至基材。基材可透過抬升 支撐組件3 10或透過利用舉升銷而升高。以替代方式或 結合方式,可將電磁輻射照射在基材表面,以更直接地 升高含矽膜及/或基材的溫度。 田在所述蝕刻製程期間’腔室主體312可維持在適當的 μ度範圍内,該範圍在不同實施例為5〇。匚至8〇。匚之 間’ 55 C至7rc之間,或6〇。。至7〇沱之間。在暴露 至電漿流出物及/或氧化劑_,基材可維持在參考第! 圖至第2圖所揭露的溫度。 電紫流出物包括各種分子 刀于、分子片段與離子化物料。 選擇性乾蝕刻的當前考量的么 里的理_機制可旎或可能不完全 15 201140692 正確’但電漿流出物被認為包括NH4F及NHJ HF,其 易於與此述的低溫基材反應。電漿流出物可與氧化砂2 面反應’以形成(NHASiFc ΝΑ及仏〇產物。注意到 (NH4)2SiFfi固體殘餘物(一些所揭露的實施例中,其堆 積在基材上)包含來自基材(Si)的材料與來自電漿流 出物(N、Η與F)的材料。NH3 &仏〇在此述的處理條 件下是氣態,且可從處理區域340透過真空栗325移除。 特別是在此述的較低的基材溫度下,固體副 產物之薄的連續或不連續層留在基材表面上。在較高的 基材溫度下,蝕刻製程完成後不會觀察到固體副產物。 因此’在所揭露的實施例中不需要昇華步驟。 將暴露相對低溫基材暴露至電漿流出物後,可能堆積 固體副產物。可加熱基材以移除餘留的副產物。在實施 例中,透過將加熱元件370結合至氣體遞送板32〇内或 附近而可將氣體遞送板3 2〇加熱。可透過減少基材與加 熱的氣體遞送板之間的距離而加熱基材。不同實施例 中’可將氣體遞送板3 20加熱到約l oo。c至1 5〇。C之間, 約110 C至140°C之間,或約12〇°c至13〇。(:之間。透 過減少基材與加熱的氣體遞送板之間的間隔,基材可被 加熱到參考第丨圖與第2圖所揭露的溫度。應使來自氣 體遞送板320輻射到基材的熱量足以分解或昇華基材上 的固體(NH4)2SiF6成揮發性的SiF4、nH3、及HF產物, 其可從處理區域340以泵抽離。亦可使用其他將熱量施 予基材的方法。注意到固體殘餘物含有來自基材(例如 16 201140692
Si)的材料與來自前驅物(n、h、及F)的材料。 不同實施例中,三氟化氮(或另一含氟前驅物)可以 介於約25 seem至約400 sccm之間、約50 sccm至約3〇〇 seem之間、或約1〇〇 sccm至約2〇〇 sccm之間的速率流 進遠端電漿空間361。氨(或大體上含氫前驅物)在不 同實施例中可以介於約50sccm至約4〇〇sccm之間約 100 seem 至約 350 sccm 之間、或約 2〇〇 sccm 至約 seem之間的速率流進遠端電漿空間361。含氫與含氟前 驅物進入遠端電漿區域的結合的流率可佔總氣體混合物 體積的0.05%至'約50%;其餘為載氣,載氣是透過遠端 電漿區域與透過基材處理區域附近的其他入口提供。在 一個實施例中,在反應性氣體之前首先起始沖淨氣體或 载氣進入遠端電漿區域,以穩定遠端電漿區域内的壓力。 電漿流出物的產生是在空間361、362及/或363内, 透過相對於蓋組件3〇2的其餘部份施加電漿功率至電極 345而發生。電漿功率可為各種頻率或多重頻率之組合。 在示範性處理系統中,電漿是由遞送到電極345的 功率提供。不同實施例中,RF功率可介於約丨w至約 1000 W之間、約5 w至約6〇〇 w之間、約l〇 w至約3〇〇 W之間、或約20 w至約1〇〇 w之間。不同實施例中, 在不範性處理系統中施加RF功率可低於約2〇〇 kHz、低 於約150 kHz、低於約12〇 kHz、或介於約5〇 Μζ至約 90 kHz之間。 於臭氧、氧氣、載氣及/或電漿流出物流進處理區域34〇 17 201140692 期間’處理區域340可維持在各種壓力。不同實施例中, 該壓力可維持在約500 mTorr至約30 Torr之間,約1 Torr 至約10 Torr之間’或約3 Torr至約6 Torr之間。較低的 壓力亦可用在處理區域340内。在不同實施例中,壓力 可維持在低於或約500 mTorr、低於或約250 mTorr、低 於或約100 mTorr、低於或約50 mTorr、或低於或約20 mTorr 〇 在一個或多個實施例中,處理腔室3〇〇可整合至各種 夕處理平台中,包括Producer™ GT、CenturaTM AP及 Endura ™平台,可購自美國加州Santa Clara的Applied Materials’ inc。此類處理平台能夠執行數種處理操作而 不破真空。 第4圖是說明性多腔室處理系統4〇〇的概略頂視圖。 該系統400可包括一個或多個裝載鎖定腔室、*⑽以 將基材傳送進出㈣彻。—般而言,由於系統4〇〇在 真空下’裝載鎖定腔室4〇2、4〇4可以果抽降(pump —η ) :入系統400的基材。第一機器人41〇可在裝載鎖定腔 室402、404與第一組一個或多個基材處理腔室ο〗、 414、416、418 (圖中顯示四個)之間傳送基材。每一處 理腔室412、414、416、418可經裝配以執行數個基材處 理操作,除了循環層沉積(CLD)、原子層沉積(AD), 化學氣相沉積(CVD)、物理氣相沉積(pvD)、钱刻、 預清潔、去氣、定向及其他基材製程之外 乾蝕刻製程。 18 201140692 第一機器人4 1 0亦能將基材傳送進出一個或多個傳送 腔室422、424。傳送腔室422、U4能用於維持超高真 空條件,同時使基材得以在系統400内傳送。第二機器 人430能在傳送腔室422、424與第二組處理腔室432、 434、43 6、438之間傳送基材。類似於處理腔室412、々Μ、 416、418,每一處理腔室432、434、436、438可經裝配 以執行各種基材處理操作,除了例如循環層沉積 (CLD )、原子層沉積(ALD )、化學氣相沉積(c VD )、 物理氣相沉積(PVD)、蝕刻、預清潔、去氣及定向之外 還包括此述的乾蝕刻製程。若無需由系統4〇〇執行的特 殊製程,基材處理腔室412、414、416、418、432、434、 436、438任一者可從系統4〇〇移除。 遠端電漿系統(RPS) 453可定位在選擇性乾蝕刻處理 腔室434的遠端或整合在一起(如圖所示)。或者,Rps M3可與處理腔室434分開但緊鄰或甚至實體上附接處 理腔室434。來自氣體處置系統455的製程氣體通過口 孔3S1導入,在製程空間361、362及/或363内由遠端 電漿激發。 系統控制器457用於控制馬違、閥、流量控制器、功 率供應器及其他需要執行此述製程配方的功能。系統控 制器457可仰賴來自光學感測器的反饋,以確定及調整 可移動機械組件的位置。機械組件可包括機器人、節流 闊與承載器(susceptor),其可在系統控制器457的控制 下透過馬達移動。 19 201140692 體= 生貫施例中,系統控制器457包括硬碟機(記憶 類比數:輪 =Γ及處理器。系統控制器457包括 ’輸出板、介面板及步進馬達控制板。含有 &理腔室3GG的多腔室處理系統彻的各種部件是由系 :控制15 457所控制。系統控制器執行系統控制軟體,、 "形式為儲存在電腦可讀媒體(諸如硬碟、軟碟、或快 ::憶體隨身碟)的電腦程式。亦可使用其他類型的記 ^體°電腦程式包括指令集,其指示時間、氣體混合、 至慶力、腔室溫度、灯功率層級、承載器位置及其他 特殊製程參數。 可使用由系統控制器執行的電腦程式實施用於在基材 —d ’儿積或以其他方式處理膜的製程或者用於清潔 至的製私。電腦程式編碼可以習知電腦可讀的程式語 言撰寫,例如 6_〇 組語、c'c++、pascai'F〇mani 其他者冑用習知的文件編輯器將適合的程式編碼編入 單檔案或多重檔案,並且儲存於電腦可使用媒體(如 電腦:記憶體系統)或由該媒體實施。倘若編入的編碼 内文是高階語言’制譯編碼,而所得的編譯編碼隨後 與預先編譯的Micros〇ft偏嶋⑧函式庫常式之目的碼 連、.·。4 了執行該連結、編譯的目的碼,系、統使用者援 用該目的碼’使電腦系統載人記憶體中的編碼。cpu隨 後讀取並且執行該編瑪,以操作程式中辨識的任務。 使用者與控制器之間的介面可透過接觸感應顯示器, 且亦可包括滑鼠與鍵盤。在—個實施财,使用兩個顯 20 201140692 示器,一個安裝在清潔室壁以供操作者使用,另一個在 壁後以供維修技術人員使用。兩個顯示器可同時顯示相 同資訊,該實例中,一次僅有一個接受輸入。為了 特殊的蝥幕或功能,操作者以手指或滑鼠接觸顯示器螢 幕上的指定的區域。接觸區域改變其強調色彩,或呈現 新的選單或螢幕’以確認操作者的選擇。 在此所使用的「基材」可為具有(或不具有)形成於 其上的膜層之支撐基材。該支撐基材可為有各種摻雜濃 度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電 路製造上的類型的半導體基材/氧化矽」可包括其他元 素組份(諸如氮、氫及碳等)的次要濃度。「前驅物」一 詞用於指任何參與反應以從基材移除材料或沉積材料於 基材上的製程氣體。氣體可為兩種以上氣體的組合。全 文中所用之溝槽(trench)—詞毫無暗指意味地是指蝕刻 過的地形具有大的水平深寬比。由表面上方所視’溝槽 可顯現圓形、卵形、多邊形、矩形或各種其他形狀。 透過上述數個實施例的說明,該領域技術人士應知多 種修飾例、替代架構與等效例皆不脫本發明之精神。此 外,說明書中不對多種習知處理與元件做說明,以避免 不必要地混淆了本發明。故,上述說明不應被視為對本 發明範疇之限制。 當提供數值範圍時,除非文字中另外清楚指明,應知 亦同時揭露介於該範圍的上下限值之間各個區間值至下 限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍 21 201140692 中之區間值以及與陳述範圍中任何另—陳述數值或區間 值之間的每個較小範圍。這些較小範圍的上限值與下限 值可獨立包含或排除於該範圍中,且各範圍(不管是包 含其中-個、包含兩個或不含其上限值與下限值)皆涵 蓋於本發明内所陳述之範圍+,除非有特別排除之限 制。當所陳述之範圍包括極限值的其中—者或兩者,其 也涵蓋s亥些排㉟其中一者或兩者所含極限值的範圍。 說明書與如附申請專利範圍中所使用之單數形式「一」 與「該」等用語也包括複數形式,除非文字中另外清楚 指明。因A,舉例而言’「一種製程」所指的包括複數個 這類製程,而「該介電材料」所指的包括一或多種前驅 物以及該領域技術人士所熟知的其等效例。 「2時,說明書與下述申請專利範圍中「包括」、「包含」、 有」3」以及「具有」等用語是指存在所陳述之 特,、組件、構件或步驟,但其並不排除存在或增加一 或夕種其他特徵、物體、構件、步驟、動作或群組。 【圖式簡單說明】 透過參考說明書的其餘部份及圖式,可進一步瞭解所 揭露的實施例之本質及優點。 第1圖疋根據所揭露的實施例的氧化矽蝕刻製程的流 程^圖。 第2圖疋根據所揭露的實施例的氧化矽蝕刻製程的另 22 201140692 一流程圖。 第3圖是根據所揭露的實施例的用於蝕刻之處理腔室 的剖面視圖。 第4圖是根據所揭露的實施例的用於蝕刻之處理系 統0 【主要元件符號說明】 100 流程圖 331 真空通口 110-170 操作 333 襯墊 200 流程圖 335 口孔 210-260 操作 340 處理區域 300 處理腔室 345 電極 302 蓋組件 346 功率源 304 支撙組件通道 347 電隔離環 310 支撐組件 350 頂板 312 腔室主體 351 ' 352 口孔 313 腔室主體通道 360 狹縫閥開口 320 氣體遞送板 361- .363遠端電漿區域 322 環狀裝設法蘭片 366 電漿功率源 325 真空泵 370 加熱元件 327 節流閥 400 糸統 329 泵抽通道 402 、404裝載鎖定腔 330 阻擋組件 室 23 201140692 410 第一機器人 理腔室 422 、424傳送腔室 453 430 第二機器人 454 412 、414 、 416 、 418 、 457 遠端電漿系統 氣體處置系統 系統控制器 432 、 434 、 436 、 438 處 24

Claims (1)

  1. 201140692 七、申請專利範圍: 1.種於基材處理腔室的一基材處理區域中蝕刻一 土材的s石夕層之方法,該方法包含以下步驟: 將一含氟前驅物與一含氫前驅物流進一遠端電 漿區域同時在該電榮·區域中形成一電聚以產生電 聚流出物,該遠端冑聚區域以流通式輛接該基材處 理區域;以及 _透過將該等電漿流出物流進該基材處理區域, 同夺將該基材維持在約60。C至約1 60。C之間的一 處理溫度,而蝕刻該含矽層。 2. ^請求項第1項之方法,其中該基材的處理溫度介於 、’々60 c至約100之間,且在該蝕刻操作後,一些 固$殘餘物餘留在該基材的表面上,且該方法進一步 3 ^下步驟.透過將基材升高到超過昇華溫度,而 昇華該固體殘餘物。 如明求項第1項之方法,其中該基材的處理溫度介於 約WOl 5幼… '' 之間’且在該触刻操作後,基本 上無固體殘餘物餘留在該基材的表面上。 如印求項第1項之方法’其進-步包含以下步驟: 暫杇該等電漿流出物進入該基材處理區域的流 25 201140692 動,以使得堆積的固體殘餘物昇華,且隨後重啟該 等電桌流出物的流動,而以一更新的蝕刻速率繼續 該飯刻操作。 5·如唄求項第1項之方法’其中該含氟前驅物包含至少 -種前驅物’該前驅物選自由三氟化氮、氟化氫、雙 原子氟、單原子氟及氟取代的碳氫化合物所構成之群 組》 6. 如请求項第1項之方法,其中該含氫前驅物包含至少 一種則驅物,該前驅物選自由原子氫、分子氫、氨、 聯乳、碳氫化合物及不完全函素取代的碳氫化合物所 構成之群組。 7. 如叫求項第1項之方法,其進一步包含以下步驟· 在將該含氟前驅物流進該遠端電漿區域之操作 的期間’將水蒸氣(H20 )流入該遠端電漿區域。 8. 如请求項第1項之方法,其中該含矽層包含氧化矽、 氮氧化矽、或氮化矽之一者。 9. 如明求項第1項之方法,其中該蝕刻操作從該含矽層 移除超過300 A的材料。 26 201140692 10.如請求項坌, 、昂1項之方法,其中該基材是具有一窄溝槽 與寬溝槽的一圖案化基材,該窄溝槽具有一窄的寬 度i而該寬溝槽具有一寬的寬度,而在該窄溝槽内的 該含矽瞑之蝕刻速率是在該寬溝槽内的蝕刻速率的 10%以内。 U.如咕求項第10項之方法,其中該窄的寬度低於35 咖,而該寬的寬度大於75nm。 12.如請求項第 電路於製造期間 項之方法’其中該寬溝槽是在一積體 的一開放區域或一周邊區域中。 13.如請求項第 項之方法,其中該含矽層的溫度在該蝕 刻操作期間低於1 5 〇。c。 14. 如請求項II ! θ $弟1項之方法’其中該含矽層由一基材支撐 底座在該蝕刻操作期間加熱。 15. 如叫求項第1項之方法,其中該含矽層是使用電磁輻 射在該蝕刻操作期間 0 27
TW100112475A 2010-04-30 2011-04-11 具有減少之後蝕刻固體殘餘物的高溫選擇性乾蝕刻 TWI456652B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33009710P 2010-04-30 2010-04-30
US12/839,651 US8475674B2 (en) 2010-04-30 2010-07-20 High-temperature selective dry etch having reduced post-etch solid residue

Publications (2)

Publication Number Publication Date
TW201140692A true TW201140692A (en) 2011-11-16
TWI456652B TWI456652B (zh) 2014-10-11

Family

ID=44857455

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100112475A TWI456652B (zh) 2010-04-30 2011-04-11 具有減少之後蝕刻固體殘餘物的高溫選擇性乾蝕刻

Country Status (3)

Country Link
US (1) US8475674B2 (zh)
TW (1) TWI456652B (zh)
WO (1) WO2011139435A2 (zh)

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20240021252A (ko) * 2021-06-15 2024-02-16 램 리써치 코포레이션 웨이퍼들에서 건식 현상 부산물들의 휘발을 위한 건식 현상 장치 및 방법들

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223608A (ja) * 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue

Also Published As

Publication number Publication date
TWI456652B (zh) 2014-10-11
WO2011139435A3 (en) 2012-01-26
US20110266252A1 (en) 2011-11-03
WO2011139435A2 (en) 2011-11-10
US8475674B2 (en) 2013-07-02

Similar Documents

Publication Publication Date Title
TW201140692A (en) High-temperature selective dry etch having reduced post-etch solid residue
TWI434346B (zh) 乾式蝕刻之可倒轉圖案負載
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
US9754800B2 (en) Selective etch for silicon films
US9093390B2 (en) Conformal oxide dry etch
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
KR101975236B1 (ko) 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제
JP2013048127A (ja) アッシュ後の側壁の回復