TW201130050A - Hardmask materials - Google Patents

Hardmask materials

Info

Publication number
TW201130050A
TW201130050A TW099140866A TW99140866A TW201130050A TW 201130050 A TW201130050 A TW 201130050A TW 099140866 A TW099140866 A TW 099140866A TW 99140866 A TW99140866 A TW 99140866A TW 201130050 A TW201130050 A TW 201130050A
Authority
TW
Taiwan
Prior art keywords
hardmask
hardness
film
germanium
mpa
Prior art date
Application number
TW099140866A
Other languages
Chinese (zh)
Other versions
TWI505364B (en
Inventor
Vishwanathan Rangarajan
George Andrew Antonelli
Ananda Banerji
Schravendijk Bart Van
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/631,691 external-priority patent/US8247332B2/en
Priority claimed from US12/631,709 external-priority patent/US8178443B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201130050A publication Critical patent/TW201130050A/en
Application granted granted Critical
Publication of TWI505364B publication Critical patent/TWI505364B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Abstract

Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about -600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of SixByCz, SixByNz, SixByCzNw, BxCy, and BxNy. In some embodiments, a hardmask film includes a germanium-rich GeNx material comprising at least about 60 atomic% of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.
TW099140866A 2009-12-04 2010-11-25 Hardmask materials TWI505364B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/631,691 US8247332B2 (en) 2009-12-04 2009-12-04 Hardmask materials
US12/631,709 US8178443B2 (en) 2009-12-04 2009-12-04 Hardmask materials

Publications (2)

Publication Number Publication Date
TW201130050A true TW201130050A (en) 2011-09-01
TWI505364B TWI505364B (en) 2015-10-21

Family

ID=44130378

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104126278A TWI547997B (en) 2009-12-04 2010-11-25 Hardmask materials
TW099140866A TWI505364B (en) 2009-12-04 2010-11-25 Hardmask materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104126278A TWI547997B (en) 2009-12-04 2010-11-25 Hardmask materials

Country Status (4)

Country Link
JP (1) JP5656010B2 (en)
KR (2) KR101798235B1 (en)
CN (2) CN102097364B (en)
TW (2) TWI547997B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI615906B (en) * 2016-02-09 2018-02-21 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
TWI713687B (en) * 2016-10-01 2020-12-21 美商應用材料股份有限公司 Chemical modification of hardmask films for enhanced etching and selective removal
TWI734876B (en) * 2016-12-26 2021-08-01 日商東京威力科創股份有限公司 Substrate processing method, substrate processing apparatus, substrate processing system, substrate processing system control device, semiconductor substrate manufacturing method, and semiconductor substrate

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5723243B2 (en) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 Film forming method, semiconductor device manufacturing method including the same, film forming apparatus, and semiconductor device
CN103258779B (en) * 2012-02-17 2015-05-20 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and manufacturing method thereof
JP5860734B2 (en) * 2012-03-13 2016-02-16 株式会社ライテック研究所 Hard coating member and method for producing the same
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6007031B2 (en) * 2012-08-23 2016-10-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2014078579A (en) * 2012-10-10 2014-05-01 Renesas Electronics Corp Semiconductor device manufacturing method
KR102178326B1 (en) * 2012-12-18 2020-11-13 램 리써치 코포레이션 Oxygen-containing ceramic hard masks and associated wet-cleans
JP6111097B2 (en) * 2013-03-12 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6111106B2 (en) * 2013-03-19 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20150024152A1 (en) 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
CN104947085B (en) * 2014-03-31 2017-12-19 中芯国际集成电路制造(上海)有限公司 The lithographic method of the deposition process of mask, mask and semiconductor devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6473269B2 (en) * 2016-02-29 2019-02-20 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102084296B1 (en) 2016-12-15 2020-03-03 도쿄엘렉트론가부시키가이샤 Film forming method, boron film, and film forming apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102020211B1 (en) * 2017-01-09 2019-11-04 주식회사 테스 Process for forming amorphous silicon layer including carbon and/or boron
CN110249410B (en) * 2017-02-01 2023-07-04 应用材料公司 Boron doped tungsten carbide for hard mask applications
JP6914107B2 (en) * 2017-06-05 2021-08-04 東京エレクトロン株式会社 Boron film removal method
CN107742607B (en) * 2017-08-31 2021-05-11 重庆中科渝芯电子有限公司 Method for manufacturing thin film resistor by ICP dry etching
US10474027B2 (en) * 2017-11-13 2019-11-12 Macronix International Co., Ltd. Method for forming an aligned mask
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TW202111147A (en) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 Low-k dielectric films
US11508573B2 (en) * 2019-12-31 2022-11-22 Micron Technology, Inc. Plasma doping of gap fill materials
US11676813B2 (en) 2020-09-18 2023-06-13 Applied Materials, Inc. Doping semiconductor films
CN114664649B (en) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 Optimization method of silicon carbide high depth-to-width ratio groove etching process
CN115241126B (en) * 2022-09-21 2022-12-30 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) * 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4895789A (en) * 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
KR100219550B1 (en) * 1996-08-21 1999-09-01 윤종용 Anti-reflective coating layer and pattern forming method using the same
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP3430091B2 (en) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
WO2005064403A1 (en) * 2003-12-26 2005-07-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
JP4837370B2 (en) * 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
JP5040913B2 (en) * 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7744746B2 (en) * 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7528078B2 (en) * 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7550758B2 (en) * 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
TWI455203B (en) * 2007-05-03 2014-10-01 Lam Res Corp Hardmask open and etch profile control with hardmask open

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI615906B (en) * 2016-02-09 2018-02-21 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US11232979B2 (en) 2016-02-09 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming trenches
TWI713687B (en) * 2016-10-01 2020-12-21 美商應用材料股份有限公司 Chemical modification of hardmask films for enhanced etching and selective removal
TWI745171B (en) * 2016-10-01 2021-11-01 美商應用材料股份有限公司 Chemical modification of hardmask films for enhanced etching and selective removal
TWI734876B (en) * 2016-12-26 2021-08-01 日商東京威力科創股份有限公司 Substrate processing method, substrate processing apparatus, substrate processing system, substrate processing system control device, semiconductor substrate manufacturing method, and semiconductor substrate

Also Published As

Publication number Publication date
TWI505364B (en) 2015-10-21
JP5656010B2 (en) 2015-01-21
KR20170126827A (en) 2017-11-20
CN105185707B (en) 2018-06-01
CN102097364A (en) 2011-06-15
TWI547997B (en) 2016-09-01
KR101907802B1 (en) 2018-12-05
CN105185707A (en) 2015-12-23
KR20110063386A (en) 2011-06-10
JP2011139033A (en) 2011-07-14
KR101798235B1 (en) 2017-11-15
TW201543574A (en) 2015-11-16
CN102097364B (en) 2015-10-14

Similar Documents

Publication Publication Date Title
TW201130050A (en) Hardmask materials
WO2012061593A3 (en) Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
Hegemann et al. Densification of functional plasma polymers by momentum transfer during film growth
Racine et al. Properties of amorphous carbon–silicon alloys deposited by a high plasma density source
CN101715466A (en) Transparent barrier film and method for producing the same
Wang et al. The effect of applied negative bias voltage on the structure of Ti-doped aC: H films deposited by FCVA
DE502004005476D1 (en) Protective layer for a body and method and arrangement for the production of protective layers
Chavin et al. Improvement of thermal stability and tribological performance of diamond-like carbon composite thin films
Martin et al. Mechanical properties and thermal stability of TiN∕ TiB2 nanolayered thin films
Murata et al. Characterization of N-doped DLC thin films prepared by hydrocarbons pyrolysis method
CN104046950A (en) High-transmittance anti-reflection anti-scratch ultrahard glass and preparation method thereof
WO2007111293A1 (en) Cutting tool and process for manufacturing the same
Lin et al. Fabrication of high transparency diamond-like carbon film coating on D263T glass at room temperature as an antireflection layer
Yang et al. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films
Lattemann et al. New approach in depositing thick, layered cubic boron nitride coatings by oxygen addition—structural and compositional analysis
Ye et al. Stress reduction of cubic boron nitride films by oxygen addition
WO2008013665A3 (en) Methods and apparatus for the vaporization and delivery of solution precursors for atomic layer deposition
Yang et al. Cubic boron nitride film residual compressive stress relaxation by post annealing
Peters et al. Effect of carrier gas on the deposition of titanium carbo-nitride coatings by a novel organo-metallic plasma immersion ion processing technique
Ulrich et al. Cubic boron nitride based metastable coatings and nanocomposites
WO2005010953A3 (en) Boride thin films on silicon
Lattemann et al. Stress reduction in nanocomposite coatings consisting of hexagonal and cubic boron nitride
Singh et al. A hard graphitelike hydrogenated amorphous carbon grown at high deposition rate (> 15nm∕ s)
Dergez et al. Low-stress and long-term stable a-SiNx: H films deposited by ICP-PECVD
US8784986B2 (en) Coated article and method for manufacturing same